Patents - stay tuned to the technology

Inventors list

Assignees list

Classification tree browser

Top 100 Inventors

Top 100 Assignees


Responsive to electromagnetic radiation

Subclass of:

438 - Semiconductor device manufacturing: process

438048000 - MAKING DEVICE OR CIRCUIT RESPONSIVE TO NONELECTRICAL SIGNAL

Patent class list (only not empty are listed)

Deeper subclasses:

Class / Patent application numberDescriptionNumber of patent applications / Date published
438069000 Including integrally formed optical element (e.g., reflective layer, luminescent layer, etc.) 576
438093000 Compound semiconductor 332
438064000 Packaging (e.g., with mounting, encapsulating, etc.) or treatment of packaged semiconductor 303
438098000 Contact formation (i.e., metallization) 301
438073000 Making electromagnetic responsive array 248
438059000 Having diverse electrical device 106
438096000 Amorphous semiconductor 76
438087000 Graded composition 69
438061000 Continuous processing 55
438068000 Substrate dicing 52
438097000 Polycrystalline semiconductor 49
438082000 Having organic semiconductor component 45
438085000 Having metal oxide or copper sulfide compound semiconductive component 42
438084000 Having selenium or tellurium elemental semiconductor component 34
438058000 Gettering of substrate 31
438063000 Particulate semiconductor component 18
438089000 Fusion or solidification of semiconductor region 15
438088000 Direct application of electric current 10
438091000 Avalanche diode 5
20100279457METHOD FOR MANUFACTURING A SEMICONDUCTOR LIGHT-RECEIVING DEVICE - Disclosed is a method for manufacturing a semiconductor light-receiving device having high reproducibility and reliability. Specifically disclosed is a semiconductor light-receiving device 11-04-2010
20120156826METHOD OF FABRICATING AVALANCHE PHOTODIODE - A method includes: forming an epitaxy wafer by growing a light absorbing layer, a grading layer, an electric field buffer layer, and an amplifying layer on the front surface of a substrate in sequence; forming a diffusion control layer on the amplifying layer; forming a protective layer for protecting the diffusion control layer on the diffusion control layer; forming an etching part by etching from the protective layer to a predetermined depth of the amplifying layer; forming a first patterning part by patterning the protective layer; forming a junction region and a guardring region at the amplifying layer by diffusing a diffusion material to the etching part and the first patterning part; removing the diffusion control layer and the protective layer and forming a first electrode connected to the junction region on the amplifying layer; and forming a second electrode on the rear surface of the substrate.06-21-2012
20140186991AVALANCHE PHOTODIODE WITH SPECIAL LATERAL DOPING CONCENTRATION - Avalanche photodiodes having special lateral doping concentration that reduces dark current without causing any loss of optical signals and method for the fabrication thereof are described. In one aspect, an avalanche photodiode comprises: a substrate, a first contact layer coupled to at least one metal contract of a first electrical polarity, an absorption layer, a doped electric control layer having a central region and a circumferential region surrounding the central region, a multiplication layer having a partially doped central region, and a second contract layer coupled to at least one metal contract of a second electrical polarity. Doping concentration in the central section of the electric control layer is lower than that of the circumferential region. The absorption layer can be formed by selective epitaxial growth.07-03-2014
20150079722AVALANCHE PHOTODIODE WITH A GUARD RING STRUCTURE AND METHOD THEREOF - Disclosed are an avalanche photodiode with a guard ring structure that relieves edge breakdown by an external voltage which is applied through a metal pad which is attached to the guard ring and a manufacturing method thereof. An avalanche photodiode with a guard ring structure includes a plurality of semiconductor layers laminated on a substrate; an active region partially formed above the semiconductor layers; a guard ring which is formed above the semiconductor layers and disposed so as to be spaced apart from the active region and have a ring shape that encloses the active region; and a connecting unit formed on the semiconductor layers to be electrically connected to the guard ring so as to apply an external voltage to the guard ring region. Therefore, the external voltage is applied to the guard ring of the avalanche diode through the connecting unit to relieve the edge breakdown.03-19-2015
20160155888MANUFACTURING METHOD OF AVALANCHE PHOTODIODE06-02-2016
438092000 Schottky barrier junction 4
20110143494SCHOTTKY BARRIER DIODES FOR MILLIMETER WAVE SiGe BICMOS APPLICATIONS - A method for forming a Schottky barrier diode on a SiGe BiCMOS wafer, including forming a structure which provides a cutoff frequency (F06-16-2011
20140004653Pseudo-Schottky photovoltaic cell by wet-printing a conductive paint01-02-2014
20150349192LIGHT DETECTION DEVICE - A method of forming a light detection device includes forming a non-porous layer on a substrate, forming a light absorption layer on the non-porous layer, the light absorption layer including pores formed in a surface thereof, forming a Schottky layer on the surface of the light absorption layer and in the pores thereof, and forming a first electrode layer on the Schottky layer.12-03-2015
20160204290BUFFER LAYER FOR HIGH PERFORMING AND LOW LIGHT DEGRADED SOLAR CELLS07-14-2016
438090000 Including storage of electrical charge in substrate 3
20100203669SOLID STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND IMAGING APPARATUS - A solid state imaging device having a light sensing section that performs photoelectric conversion of incident light includes: an insulating layer formed on a light receiving surface of the light sensing section; a layer having negative electric charges formed on the insulating layer; and a hole accumulation layer formed on the light receiving surface of the light sensing section.08-12-2010
20120282729METHODS FOR MANUFACTURING SEMICONDUCTOR APPARATUS AND CMOS IMAGE SENSOR - A method for manufacturing a semiconductor apparatus includes the first step of forming a silicon oxide film including a main portion on a second portion and a sub portion between a first portion and a silicon nitride film, the second step of forming a first conductivity type impurity region under the silicon oxide film, and the third step of forming a semiconductor element including a second conductivity type impurity region having an opposite conductivity to the first conductivity type impurity region in the first portion. In the second step, angled ion implantation is performed into a region under the sub portion at an implantation angle using the silicon nitride film as a mask.11-08-2012
20160141443RESTORATION METHOD OF SILICON-BASED PHOTOVOLTAIC SOLAR CELLS - The treatment method of a photovoltaic element comprises at least the following steps: 05-19-2016
438083000 Forming point contact 3
20110039367MASKED ION IMPLANT WITH FAST-SLOW SCAN - An improved method of producing solar cells utilizes a mask which is fixed relative to an ion beam in an ion implanter. The ion beam is directed through a plurality of apertures in the mask toward a substrate. The substrate is moved at different speeds such that the substrate is exposed to an ion dose rate when the substrate is moved at a first scan rate and to a second ion dose rate when the substrate is moved at a second scan rate. By modifying the scan rate, various dose rates may be implanted on the substrate at corresponding substrate locations. This allows ion implantation to be used to provide precise doping profiles advantageous for manufacturing solar cells.02-17-2011
20170236965METHOD FOR PRODUCING A REAR-SIDE CONTACT SYSTEM FOR A SILICON THIN-LAYER SOLAR CELL08-17-2017
20170236966CONTACTS FOR SOLAR CELLS08-17-2017
Entries
DocumentTitleDate
20080206916Solar cell and method and apparatus for manufacturing solar cell - A thin solar cell is provided, a decreased amount of an Al paste used for the solar cell without occurrence of a problem of ball-up which is a defect in appearance. A method of manufacturing such a solar cell as well as a manufacturing apparatus used therefor are provided. This manufacturing method is applicable with substantially no change in the conventional material and process. The solar cell has an Al paste electrode on the back surface and at least a part of an outer edge of the Al paste is thicker than any remaining part.08-28-2008
20080213934INTEGRATED DEVICE MANUFACTURING PROCESS - A process for manufacturing an integrated device includes the steps of: providing a silicon substrate on which a silicon dioxide structure is arranged; and forming a trench having first and second essentially vertical walls relative to the substrate in the structure by means of anisotropic-type etching. A concavity having a sloped wall relative to the substrate is formed by isotropic-type etching which removes the second wall so that the concavity is open to the trench and the sloped wall faces the first wall.09-04-2008
20080220558Plasma spraying for semiconductor grade silicon - A plasma spray gun configured to spray semiconductor grade silicon to form semiconductor structures including p-n junctions includes silicon parts such as the cathode or anode or other parts facing the plasma or carrying the silicon powder having at least surface portions formed of high purity silicon. The semiconductor dopant may be included in the sprayed silicon.09-11-2008
20080274577Method of the Application of a Zinc Sulfide Buffer Layer on a Semiconductor Substrate - A chemical bath deposition method of depositing on a semiconductor substrate a layer of zinc sulfide by dipping the semiconductor substrate into an aqueous solution of zinc sulfate and thiourea and ammonia.11-06-2008
20080274578METHOD OF FORMING A PIXEL SENSOR CELL FOR COLLECTING ELECTRONS AND HOLES - The present invention is a pixel sensor cell and method of making the same. The pixel sensor cell approximately doubles the available signal for a given quanta of light. The device of the present invention utilizes the holes produced by impinging photons in a pixel sensor cell circuit. A pixel sensor cell having reduced complexity includes an n-type collection well region formed beneath a surface of a substrate for collecting electrons generated by electromagnetic radiation impinging on the pixel sensor cell and a p-type collection well region formed beneath the surface of the substrate for collecting holes generated by the impinging photons. A circuit structure having a first input is coupled to the n-type collection well region and a second input is coupled to the p-type collection well region, wherein an output signal of the pixel sensor cell is the magnitude of the difference of a signal of the first input and a signal of the second input.11-06-2008
20080299696SOLID STATE IMAGING APPARATUS - A method for manufacturing a solid state imaging device includes steps of forming a photodiode layer buried in a semiconductor substrate by ion injection and of forming a shielding layer buried in the photodiode layer by ion injection. At least in the ion injection process in the step of forming the shielding layer, an ion injection pause period is provided at least one time during whole ion injection step. According to the method, crystal defects are prevented from generating even if ion injection is performed with high energy, thereby suppressing dark current without complexity in manufacturing process.12-04-2008
20080299697BANDGAP-SHIFTED SEMICONDUCTOR SURFACE AND METHOD FOR MAKING SAME, AND APPARATUS FOR USING SAME - Titania is a semiconductor and photocatalyst that is also chemically inert. With its bandgap of 3.2 and greater, to activate the photocatalytic property of titania requires light of about 390 nm wavelength, which is in the ultra-violet, where sunlight is very low in intensity. A method and devices are disclosed wherein stress is induced and managed in a thin film of titania in order to shift and lower the bandgap energy into the longer wavelengths that are more abundant in sunlight. Applications of this stress-induced bandgap-shifted titania photocatalytic surface include photoelectrolysis for production of hydrogen gas from water, photovoltaics for production of electricity, and photocatalysis for detoxification and disinfection.12-04-2008
20080299698Front Lip PIN/NIP Diode Having a Continuous Anode/Cathode - A photodetector includes a semiconductor substrate having first and second main surfaces opposite to each other. The photodetector includes at least one trench formed in the first main surface and a first anode/cathode region having a first conductivity formed proximate the first main surface and sidewalls of the at least one trench. The photodetector includes a second anode/cathode region proximate the second main surface. The second anode/cathode region has a second conductivity opposite the first conductivity. The at least one trench extends to the second main surface of the semiconductor substrate.12-04-2008
20080311696Manufacturing prpcess for photodetector - A manufacturing process for a photo-detector is provided. The present manufacturing process for a photo-detector comprises the steps of: (a) providing a thin-film Ge on a cheap substrate including a first processing area and a second processing area; (b) performing a defect-reduction processing to at least one of the first processing area and the second processing area; and (c) forming a photo-detector element on the Ge.12-18-2008
20080318358IMAGE SENSOR PIXEL HAVING PHOTODIODE WITH INDIUM PINNING LAYER - An active pixel using a pinned photodiode with a pinning layer formed from indium is disclosed. The pixel comprises a photodiode formed in a semiconductor substrate. The photodiode is an N12-25-2008
20090023241CLEAN RATE IMPROVEMENT BY PRESSURE CONTROLLED REMOTE PLASMA SOURCE - The present invention generally comprises a method for cleaning a large area substrate processing chamber. As chamber volume increases, it has surprisingly been found that simply scaling up the cleaning conditions may not effectively clean silicon from the exposed chamber surfaces. Undesired silicon deposits on exposed chamber surfaces may lead to contamination in solar panel formation. Increasing the pressure of the chamber to about 10 Torr or greater while maintaining the chamber at a temperature between about 150 degrees Celsius and 250 degrees Celsius increases plasma cleaning effectiveness such that silicon deposits are removed from the chamber. The combination of high pressure and low temperature may reduce substrate contamination without sacrificing substrate throughput in solar panel fabrication.01-22-2009
20090029502APPARATUSES AND METHODS OF SUBSTRATE TEMPERATURE CONTROL DURING THIN FILM SOLAR MANUFACTURING - Embodiments of the invention generally provide apparatuses and methods of substrate temperature control during thin film solar manufacturing. In one embodiment a method for forming a thin film solar cell over a substrate is provided. The method comprises performing a temperature stabilization process on a substrate to pre-heat the substrate for a substrate stabilization time period in a first chamber, calculating a wait time period for a second chamber, wherein the wait time period is bases on the availability of the second chamber, the availability of a vacuum transfer robot adapted to transfer the substrate from the first chamber to the second chamber, or a combination of both the availability of the second chamber and the availability of the vacuum transfer robot, and adjusting the temperature stabilization time period to compensate for the loss of heat from the substrate during the wait time period.01-29-2009
20090035886PREDOPED TRANSFER GATE FOR A CMOS IMAGE SENSOR - A novel CMOS image sensor Active Pixel Sensor (APS) cell structure and method of manufacture. Particularly, a CMOS image sensor APS cell having a predoped transfer gate is formed that avoids the variations of V02-05-2009
20090035887SOLID-STATE IMAGE PICKUP ELEMENT, METHOD FOR MANUFACTURING SUCH SOLID-STATE IMAGE PICKUP ELEMENT AND OPTICAL WAVEGUIDE FORMING DEVICE - A solid-state imaging device of the present invention includes a base 02-05-2009
20090042330Etching Of Solar Cell Materials - A solar cell is fabricated by etching one or more of its layers without substantially etching another layer of the solar cell. In one embodiment, a copper layer in the solar cell is etched without substantially etching a topmost metallic layer comprising tin. For example, an etchant comprising sulfuric acid and hydrogen peroxide may be employed to etch the copper layer selective to the tin layer. A particular example of the aforementioned etchant is a Co-Bra Etch® etchant modified to comprise about 1% by volume of sulfuric acid, about 4% by volume of phosphoric acid, and about 2% by volume of stabilized hydrogen peroxide. In one embodiment, an aluminum layer in the solar cell is etched without substantially etching the tin layer. For example, an etchant comprising potassium hydroxide may be employed to etch the aluminum layer without substantially etching the tin layer.02-12-2009
20090053847METHODS AND APPARATUS FOR DEPOSITING A MICROCRYSTALLINE SILICON FILM FOR PHOTOVOLTAIC DEVICE - Methods for depositing a microcrystalline silicon film layer with improved deposition rate and film quality are provided in the present invention. Also, a photovoltaic (PV) cell having a microcrystalline silicon film is provided. In one embodiment, the method produces a microcrystalline silicon film on a substrate at a deposition rate greater than about 20 nm per minute, wherein the microcrystalline silicon film has a crystallized volume between about 20 percent to about 80 percent.02-26-2009
20090068783METHODS OF EMITTER FORMATION IN SOLAR CELLS - Embodiments of the invention contemplate high efficiency emitters in solar cells and novel methods for forming the same. One embodiment of the improved emitter structure, called a high-low type emitter, optimizes the solar cell performance by equally providing low contact resistance to minimize ohmic losses and isolation of the high surface recombination metal-semiconductor interface from the junction to maximize cell voltage. Another embodiment, called an alternating doping type emitter, provides regions of alternating doping type for use with point contacts in the back-contact solar cells. One embodiment of the methods includes depositing and patterning a doped or undoped dielectric layer on a surface of a substrate, implanting a fast-diffusing dopant and/or a slow-diffusing dopant into the substrate either simultaneously or sequentially, and annealing the substrate to drive in the dopants. Another embodiment of the methods includes using a physical mask to form a patterned dopant distribution in a substrate.03-12-2009
20090087939COLUMN STRUCTURE THIN FILM MATERIAL USING METAL OXIDE BEARING SEMICONDUCTOR MATERIAL FOR SOLAR CELL DEVICES - A thin film material structure for solar cell devices. The thin film material structure includes a thickness of material comprises a plurality of single crystal structures. In a specific embodiment, each of the single crystal structure is configured in a column like shape. The column like shape has a dimension of about 0.01 micron to about 10 microns characterizes a first end and a second end. An optical absorption coefficient of greater than 1004-02-2009
20090093079METHOD OF PRODUCING AN ASYMMETRIC ARCHITECTURE SEMI-CONDUCTOR DEVICE - A method is for producing an asymmetric architecture semi-conductor device. The device includes a substrate, and in stacked relation, a first photosensitive layer, a non-photosensitive layer, and a second photosensitive layer. The method includes a first step of exposing a first zone in each of the photosensitive layers by a first beam of electrons traversing the non-photosensitive layer. A second step includes exposing at least one second zone of one of the two photosensitive layers by a second beam of electrons or photons or ions, thereby producing a widening of one of the first zones compared to the other first zone such that the second zone is in part superimposed on one of the first zones.04-09-2009
20090117680METHOD FOR MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device which is excellent in photoelectric conversion characteristics is provided by effectively utilizing silicon semiconductor materials. The present invention relates to a method for manufacturing a photoelectric conversion device using a solar cell, in which a plurality of single crystal semiconductor substrates in each of which a damaged layer is formed at a predetermined depth is arranged over a supporting substrate having an insulating surface; a surface layer part of the single crystal semiconductor substrate is separated thinly using the damaged layer as a boundary so as to form a single crystal semiconductor layer over one surface of the supporting substrate; and the single crystal semiconductor layer is irradiated with a laser beam from a surface side which is exposed by separation of the single crystal semiconductor layer to planarize the surface of the single crystal semiconductor layer.05-07-2009
20090124036METHOD OF PRODUCTION OF SEMICONDUCTOR DEVICE AND METHOD OF PRODUCTION OF SOLID-STATE IMAGING DEVICE - A method of production of a semiconductor device includes: forming a pattern having open element isolation regions on a first insulating film situated on a semiconductor substrate; forming trenches at the element isolation regions on the semiconductor substrate; forming a second insulating film on the first insulating film and inside the trenches; forming holes in the second insulating film in active regions sectioned by the element isolation regions; and leaving the second insulating film inside the trenches only. An interval between an outer perimeter of each the active regions and an outer perimeter of each of the holes in each of the active regions is set such that the interval in the first circuit region, in which a total area of the active regions is relatively large, is smaller than the interval in the second circuit region, in which the total area of the active regions is relatively small.05-14-2009
20090142874METHOD FOR MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE - A method for manufacturing a photoelectric conversion device typified by a solar cell, having an excellent photoelectric conversion characteristic with a silicon semiconductor material effectively utilized. The point is that the surface of a single crystal semiconductor layer bonded to a supporting substrate is irradiated with a pulsed laser beam to become rough. The single crystal semiconductor layer is irradiated with the pulsed laser beam in an atmosphere containing an inert gas and oxygen so that the surface thereof is made rough. With the roughness of surface of the single crystal semiconductor layer, light reflection is suppressed so that incident light can be trapped. Accordingly, even when the thickness of the single crystal semiconductor layer is equal to or greater than 0.1 μm and equal to or less than 10 μm, path length of incident light is substantially increased so that the amount of light absorption can be increased.06-04-2009
20090162964METHODS OF FORMING DOUBLE PINNED PHOTODIODES - A pinned photodiode, which is a double pinned photodiode having increased electron capacitance, and a method for forming the same are disclosed. The invention provides a pinned photodiode structure comprising a substrate base over which is a first layer of semiconductor material. There is a base layer of a first conductivity type, wherein the base layer of a first conductivity type is the substrate base or is a doped layer over the substrate base. At least one doped region of a second conductivity type is below the surface of said first layer, and extends to form a first junction with the base layer. A doped surface layer of a first conductivity type is over the at least one region of a second conductivity type and forms a second junction with said at least one region of a second conductivity type.06-25-2009
20090209056METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE - A method for manufacturing a solid-state imaging device in which a charge generator that detects an electromagnetic wave and generates signal charges is formed on a semiconductor substrate and a negative-charge accumulated layer having negative fixed charges is formed above a detection plane of the charge generator, the method includes the steps of: forming an oxygen-feed film capable of feeding oxygen on the detection plane of the charge generator; forming a metal film that covers the oxygen-feed film on the detection plane of the charge generator; and performing heat treatment for the metal film in an inactive atmosphere to thereby form an oxide of the metal film between the metal film and the oxygen-feed film on the detection plane of the charge generator, the oxide being to serve as the negative-charge accumulated layer.08-20-2009
20090233396FLOATING SHEET PRODUCTION APPARATUS AND METHOD - This sheet production apparatus comprises a vessel defining a channel configured to hold a melt. The melt is configured to flow from a first point to a second point of the channel. A cooling plate is disposed proximate the melt and is configured to form a sheet on the melt. A spillway is disposed at the second point of the channel. This spillway is configured to separate the sheet from the melt.09-17-2009
20090239326METHOD FOR MANUFACTURING MICROCRYSTALLINE SILICON SOLAR CELL - A method for manufacturing a microcrystalline silicon solar cell comprises forming a zinc oxide transparent electrode with a textured surface on an insulation substrate by chemical vapor deposition, etching the zinc oxide transparent electrode with acid water solution and depositing a microcrystalline silicon thin film on the zinc oxide transparent electrode with the textured surface.09-24-2009
20090246904Method for manufacturing a photovoltaic module - For manufacturing a photovoltaic module (10-01-2009
20090286345Image sensor and method for fabricating the same - An image sensor includes a first conductivity type substrate with a trench formed in a predetermined portion thereof, a second conductivity type impurity region formed in the first conductivity type substrate below the trench and being a part of a photodiode, a second conductivity type first epitaxial layer filling the trench and being a part of the photodiode, and a first conductivity type second epitaxial layer formed over the second conductivity type first epitaxial layer.11-19-2009
20090298217METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICES ON LIGHTWEIGHT SUBSTRATES - A method for making a semiconductor device having front-surface electrical terminals in which the device is manufactured so as to include a bottom electrode, a top electrode and a semiconductor body therebetween. A first bus bar is disposed in a groove in the semiconductor body. It is in electrical communication with the bottom electrode, and includes a tab portion which projects from the device. A second bus bar is in electrical communication with the top electrode, and is disposed atop the first electrode, and electrically insulated therefrom. The tab of the first bus bar provides one terminal of the device and is folded onto the second bus bar and is electrically insulated therefrom. The second bus bar provides the second terminal of the device.12-03-2009
20090305449Methods and Devices For Processing A Precursor Layer In a Group VIA Environment - Methods and devices for high-throughput printing of a precursor material for forming a film of a group IB-IIIA-chalcogenide compound are disclosed. In one embodiment, the method comprises forming a precursor layer on a substrate, the precursor is subsequently processed in a VIA environment.12-10-2009
20090325336METHODS FOR PRINTING AN INK ON A TEXTURED WAFER SURFACE - A method of printing an ink on a wafer surface configured with a set of non-rounded peaks and a set of non-rounded valleys is disclosed. The method includes exposing the wafer including at least some non-rounded peaks and at least some of the non-rounded valleys in a region to an etchant. The method further includes depositing the ink on the region, wherein a set of rounded peaks and a set of rounded valleys are formed.12-31-2009
20100009487ONO Spacer Etch Process to Reduce Dark Current - A method of forming a CMOS image sensor device. The method includes providing a semiconductor substrate having a P-type impurity characteristic. The semiconductor substrate includes a surface region. The method includes forming a gate oxide layer overlying the surface region and forming a first gate structure overlying a first portion of the gate oxide layer, the first gate structure has a top surface region and at least a side region. The method forms an N-type impurity region in a portion of the semiconductor substrate to form a photodiode device region from the N-type impurity region and the P-type impurity. The method includes forming a blanket spacer layer including an oxide on nitride on oxide structure overlying at least the first gate structure; and forming one or more spacer structures using the blanket spacer layer for the first gate structure while maintaining a portion of the oxide layer from the oxide on nitride on oxide overlying at least the photo-diode device region01-14-2010
20100009488METHOD TO FORM A PHOTOVOLTAIC CELL COMPRISING A THIN LAMINA - A very thin photovoltaic cell is formed by implanting gas ions below the surface of a donor body such as a semiconductor wafer. Ion implantation defines a cleave plane, and a subsequent step exfoliates a thin lamina from the wafer at the cleave plane. A photovoltaic cell, or all or a portion of the base or emitter of a photovoltaic cell, is formed within the lamina. In preferred embodiments, the wafer is affixed to a receiver before the cleaving step. Electrical contact can be formed to both surfaces of the lamina, or to one surface only.01-14-2010
20100015745METHOD AND STRUCTURE FOR A CMOS IMAGE SENSOR USING A TRIPLE GATE PROCESS - A method of forming a CMOS image sensor device, the method includes providing a semiconductor substrate having a P-type impurity characteristic including a surface region. The method form a first thickness of silicon dioxide in a first region of the surface region, a second thickness of silicon dioxide in a second region of the surface region, and a third thickness of silicon dioxide in a third region of the surface region. The method includes forming a first gate layer overlying the second region and a second gate layer overlying the third region, while exposing a portion of the first thickness of silicon dioxide. An N-type impurity characteristic is formed within a region within a vicinity underlying the first thickness of silicon dioxide in the first region of the surface region to cause formation of a photo diode device characterized by the N-type impurity region and the P-type substrate.01-21-2010
20100015746Method of Manufacturing Image Sensor - Provided is a method in which a photodiode layer is formed on a metal interconnection layer, and a hard mask layer is formed on the photodiode layer. Then, a photoresist pattern is formed on the hard mask layer to define a contact hole region, and a first hole is formed in the hard mask layer through an etching process. Next, an ion implantation etching layer is formed in the photodiode layer using the photoresist pattern as an ion implantation mask, and a second hole is formed by etching the ion implantation etching layer. A third hole is formed to expose the metal interconnection by etching a region of the metal interconnection layer corresponding to the second hole.01-21-2010
20100047950COMPLEMENTARY METAL OXIDE SEMICONDUCTOR IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - A complementary metal oxide semiconductor (CMOS) device and a method for fabricating the same are provided. The CMOS image sensor includes: a first conductive type substrate including a trench; a channel stop layer formed by using a first conductive type epitaxial layer over an inner surface of the trench; a device isolation layer formed on the channel stop layer to fill the trench; a second conductive type photodiode formed in a portion of the substrate in one side of the channel stop layer; and a transfer gate structure formed on the substrate adjacent to the photodiode to transfer photo-electrons generated from the photodiode.02-25-2010
20100047951IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor and a method for fabricating the same are provided. The image sensor includes a first conductive type substrate including a trench formed in a predetermined portion of the first conductive type substrate, a second conductive type impurity region for use in a photodiode, formed below a bottom surface of the trench in the first conductive type substrate, and a first conductive type epitaxial layer for use in the photodiode, buried in the trench.02-25-2010
20100055822BACK CONTACT SOLAR CELLS USING PRINTED DIELECTRIC BARRIER - Embodiments of the invention contemplate the formation of a high efficiency solar cell using novel methods to form the active doped region(s) and the metal contact structure of the solar cell device. In one embodiment, the methods include the steps of depositing a dielectric material that is used to define the boundaries of the active regions and/or contact structure of a solar cell device. Various techniques may be used to form the active regions of the solar cell and the metal contact structure.03-04-2010
20100075453SYSTEM ARCHITECTURE AND METHOD FOR SOLAR PANEL FORMATION - A method and apparatus for forming solar panels from n-doped silicon, p-doped silicon, intrinsic amorphous silicon, and intrinsic microcrystalline silicon using a cluster tool is disclosed. The cluster tool comprises at least one load lock chamber and at least one transfer chamber. When multiple clusters are used, at least one buffer chamber may be present between the clusters. A plurality of processing chambers are attached to the transfer chamber. As few as five and as many as thirteen processing chambers can be present.03-25-2010
20100112744Silicon Production with a Fluidized Bed Reactor Utilizing Tetrachlorosilane to Reduce Wall Deposition - Silicon deposits are suppressed at the wall of a fluidized bed reactor by a process in which an etching gas is fed near the wall of the reactor. The etching gas includes tetrachlorosilane. A Siemens reactor may be integrated into the process such that the vent gas from the Siemens reactor is used to form a feed gas and/or etching gas fed to the fluidized bed reactor.05-06-2010
20100120188METHOD FOR MANUFACTURING PHOTOVOLTAIC DEVICE - Provided is a method for manufacturing a photovoltaic device which is capable of easily forming a texture having an aspect ratio larger than 0.5. The method for manufacturing a photovoltaic device include the steps of: forming an etching-resistant film on a silicon substrate; forming a plurality of fine holes in the etching-resistant film with an irradiated laser beam which has a focal depth adjusted to 10 μm or more to expose a surface of the silicon substrate which is a base layer; and etching the exposed surface of the silicon substrate, in which the step of exposing the surface of the silicon substrate includes forming a fine recess at a concentric position to each of the fine holes in the surface of the silicon substrate which lies under the etching-resistant film.05-13-2010
20100120189METHOD FOR MANUFACTURING IMAGE SENSOR - A method for manufacturing an image sensor includes forming circuitry including a metal line over a semiconductor substrate, forming a photodiode over the metal line, and forming a contact plug in the photodiode such that the contact plug is connected to the metal line. The forming of the contact plug includes performing a first etch process to etch a portion of the photodiode, and performing a second etch process to expose a portion of the metal line by using a byproduct generated in etching, to form a via hole for the contact plug in the photodiode.05-13-2010
20100124799TECHNIQUE FOR MANUFACTURING A SOLAR CELL - Techniques for manufacturing solar cells are disclosed. In one particular exemplary embodiment, the technique may comprise disposing a mask upstream of the solar cell, the mask comprising a plurality of filaments spaced apart from one another to define at least one aperture; directing a ribbon ion beam of desired species toward the solar cell to ion implant a portion of the solar cell defined by the at least one aperture of the mask; and orienting the ribbon ion beam such that longer cross-section dimension of the ribbon beam is perpendicular to the aperture in one plane.05-20-2010
20100129948METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to manufacture a semiconductor substrate having a single crystal semiconductor layer with favorable characteristics, without requiring CMP treatment and/or heat treatment at high temperature. In addition, another object is to improve productivity of semiconductor substrates. Vapor-phase epitaxial growth is performed by using a first single crystal semiconductor layer provided over a first substrate as a seed layer, whereby a second single crystal semiconductor layer is formed over the first single crystal semiconductor layer, and separation is performed at an interface of the both layers. Thus, the second single crystal semiconductor layer is transferred to the second substrate to provide a semiconductor substrate, and the semiconductor substrate is reused by performing laser light treatment on the seed layer.05-27-2010
20100129949INCREASING SOLAR CELL EFFICIENCY WITH SILVER NANOWIRES - A method for improving the performance and efficiency of a solar cell comprising the steps of: providing a plurality of silver nanowires and depositing a layer of the silver nanowires onto an emitter surface of the solar cell.05-27-2010
20100129950Method and Structure for Fabricating Multiple Tiled Regions Onto a Plate Using a Controlled Cleaving Process - A reusable transfer substrate member for forming a tiled substrate structure. The member including a transfer substrate, which has a surface region. The surface region comprises a plurality of donor substrate regions. Each of the donor substrate regions is characterized by a donor substrate thickness and a donor substrate surface region. Each of the donor substrate regions is spatially disposed overlying the surface region of the transfer substrate. Each of the donor substrate regions has the donor substrate thickness without a definable cleave region.05-27-2010
20100129951Method and Structure for Fabricating Multiple Tiled Regions Onto a Plate Using a Controlled Cleaving Process - A reusable transfer substrate member for forming a tiled substrate structure. The member including a transfer substrate, which has a surface region. The surface region comprises a plurality of donor substrate regions. Each of the donor substrate regions is characterized by a donor substrate thickness and a donor substrate surface region. Each of the donor substrate regions is spatially disposed overlying the surface region of the transfer substrate. Each of the donor substrate regions has the donor substrate thickness without a definable cleave region.05-27-2010
20100144079METHOD FOR THE PRECISION PROCESSING OF SUBSTRATES - The invention relates to a method for the precision processing of substrates, in particular for the microstructuring of thin layers, local dopant introduction and also local application of a metal nucleation layer in which a liquid-assisted laser, i.e. laser irradiation of a substrate which is covered in the regions to be processed by a suitable reactive liquid, is implemented.06-10-2010
20100144080METHOD AND APPARATUS TO TRANSFER COAT UNEVEN SURFACE - A method and apparatus for transferring material on at least a portion of an uneven surface of a substrate in the manufacture of photovoltaic cells, which may include, but is not limited to a thin-film solar substrates (3-D TFSS). An apparatus for transfer coating onto an uneven surface comprising an applicator roll, a transport roll, a heating device, a drying system, a conveying system, a reservoir, a blade, and a substrate. A method for positioning a substrate, selectively coating the material on an uneven surface, compressing the material to conform at the uneven surface, heating the material to a temperature more than that of the substrate, and drying the material to form continuous material coverage on said uneven surface. A method and apparatus for passing the substrate in a controlled environment, agitating the material prior to step of selectively coating, and heating the conveying plane.06-10-2010
20100167445METHOD FOR MANUFACTURING BACK SIDE ILLUMINATION IMAGE SENSOR - Disclosed is a method for manufacturing a back side illumination image sensor. The method includes defining a pixel area by forming a first isolation area in a first substrate; forming a photo detecting unit buried in the pixel area; forming an ion implantation layer on the photo detecting unit; growing a second substrate on the first substrate having the ion implantation layer; forming a logic unit electrically connected to the first substrate on the second substrate; forming an insulting layer and an interconnection on the second substrate; and exposing the photo detecting unit by grinding a backside of the first substrate.07-01-2010
20100167446Method for Manufacturing a Junction - The present invention relates to a semiconductor device comprising a homojunction or a heterojunction with a controlled dopant (concentration) profile and a method of making the same. Accordingly, one aspect of the invention is a method for manufacturing a junction comprising forming a first semiconductor material comprising a first dopant having a first concentration and thereupon; forming a second semiconductor material comprising a second dopant, having a second concentration thereby forming a junction, and depositing by Atomic Layer Epitaxy or Vapor Phase Doping at least a fraction of a monolayer of a precursor suitable to form the second dopant on the first semiconductor material, prior to forming the second semiconductor material, thereby increasing the second concentration of the second dopant at the junction.07-01-2010
20100173439Methods and systems of transferring a substrate to minimize heat loss - A method of transferring one or more substrates between process modules or load lock stations while minimizing heat loss is provided. In some embodiments the method comprising the steps of: identifying a destination location D1 for a substrate S1 present at an initial processing location P1; if the destination location D1 is occupied with a substrate S2, maintaining the substrate S1 at the initial processing location P1; and if the destination location D1 is available, transferring the substrate S1 to the destination location D1. In accordance with additional embodiments, the method is carried out on a system for processing substrates which includes two or more process modules, a substrate handling robot, a load lock chamber, and a transverse substrate handler. The transverse substrate handler includes mobile transverse chambers configured to convey substrates to process modules, wherein each mobile transverse chamber is configured to maintain a specified gas condition during the conveyance of the substrates. The transverse substrate handler further includes a rail for supporting the mobile transverse chambers, wherein the rail is positioned adjacent to entry of the process modules, and drive systems for moving the mobile transverse chambers on the rail.07-08-2010
20100173440Nozzle-Based, Vapor-Phase, Plume Delivery Structure for Use in Production of Thin-Film Deposition Layer - A physical vapor deposition effusion method comprising translating a strip material through a physical vapor deposition zone in a deposition chamber and providing first and second substantially closed vessels located serially along the processing path in the same deposition chamber, each vessel emitting different source materials to produce overlapping plumes and having an array of vapor delivery nozzles distributed uniformly across the vessel along the width of the zone, and configured to expel overlapping plumes to create a fog having a substantially uniform composition across the width and a varying composition across the length of the zone. Also, an elongate vapor deposition effusion vessel having an elongate lid including plural nozzles spaced from each other along its elongate axis, and a continuous heating element in the lid encircling the plural nozzles, the heating element having electrical contacts connected to an electrical source on the same side of the vessel.07-08-2010
20100173441METHOD FOR PROCESSING ELONGATE SUBSTRATES AND SUBSTRATE SECURING APPARATUS - A method for processing elongate substrates, including forming a plurality of parallel elongate openings (07-08-2010
20100184242METHOD OF IMPLANTATION - Provided is a method of implanting dopant ions to an integrated circuit. The method includes forming a first pixel and a second pixel in a substrate, forming an etch stop layer over the substrate, forming a hard mask layer over the etch stop layer, patterning the hard mask layer to include an opening between the first pixel and the second pixel, and implanting a plurality of dopants through the opening to form an isolation feature.07-22-2010
20100184243MASK APPLIED TO A WORKPIECE - A method of fabricating a workpiece is disclosed. A material defining apertures is applied to a workpiece. A species is introduced to the workpiece through the apertures and the material is removed. For example, the material may be evaporated, may form a volatile product with a gas, or may dissolve when exposed to a solvent. The species may be introduced using, for example, ion implantation or gaseous diffusion.07-22-2010
20100184244SYSTEMS AND METHODS FOR DEPOSITING PATTERNED MATERIALS FOR SOLAR PANEL PRODUCTION - Method and system for forming one or more predetermined patterns on a substrate for making a photovoltaic device. The method includes aligning at least a first droplet source with a substrate, dispensing one or more first droplets associated with one or more first materials from the first droplet source, and forming at least a first pattern of one or more second materials on the substrate by at least the first droplet source. Additionally, the method includes providing a first light beam incident on at least the first pattern, obtaining a first signal associated with the first pattern in response to the first light beam, processing information associated with the first signal, and determining one or more first characteristics of the first pattern based on at least information associated with the first signal.07-22-2010
20100190286METHOD FOR MANUFACTURING SOLAR CELL - Disclosed is a method for manufacturing a solar cell, which includes the steps of: applying a first diffusing agent containing n-type impurities and a second diffusing agent containing p-type impurities onto a semiconductor substrate; forming a protective layer covering at least one of the first diffusing agent and the second diffusing agent; and diffusing at least one of the n-type impurities and the p-type impurities in a surface of the semiconductor substrate by heat treatment of the semiconductor substrate having the protective layer formed thereon.07-29-2010
20100210059SYSTEM AND METHOD FOR TOP-DOWN MATERIAL DEPOSITION - A method and apparatus for depositing a film on a substrate includes introducing a vaporizable material from a source positioned above a substrate. The vaporizable material is vaporized and directed as an vapor feed stream from the source, away from the substrate. The vapor feed stream is redirected as a plume from a redirector, towards the substrate and deposited as a film on the substrate.08-19-2010
20100240164RADIATION DETECTOR MANUFACTURING METHOD - A coating film is formed by applying, on a tentative support, a dispersion solution in which at least an inorganic semiconductor particle and a binder are dispersed. Then, a radiation photoconductive layer is formed by subjecting the coating film to thermal compression, and the radiation photoconductive layer is joined to an active matrix layer in which multiple switching elements are arranged. This allows the radiation photoconductive layer to generate a charge in response to radiation of an electromagnetic wave representing image information and to be arranged such that the charge is read out by the active matrix layer.09-23-2010
20100248408METHOD OF TEXTURING SOLAR CELL AND METHOD OF MANUFACTURING SOLAR CELL - Methods of texturing and manufacturing a solar cell are provided. The method of texturing the solar includes texturing a surface of a substrate of the solar cell using a wet etchant, and the wet etchant includes a surfactant.09-30-2010
20100248409METHOD OF MANUFACTURING SOLAR CELL AND PLASMA TREATMENT APPARATUS - This method of manufacturing a solar cell includes a step of forming a photoelectric conversion layer on a substrate with a plasma treatment apparatus including a first electrode provided in a treatment chamber, a second electrode and a gas supply source supplying gas into the treatment chamber. A recess portion having a bottom portion in the form of a curved surface is provided on another surface of the first electrode, while a plurality of through-holes are provided on the bottom portion of the recess portion.09-30-2010
20100285629METHOD FOR PLASMA DEPOSITION AND PLASMA CVD SYSTEM - In a film-forming process with a capacitively-coupled plasma (CCP) chemical vapor deposition (CVD) device, pulse control is performed on a low-frequency radio-frequency power source. During the pulse control, an ON time and an OFF time form one period. Furthermore, in the pulse control, a time interval between a time period from the moment that the electric power supply is stopped till the electron density decreases to a residual plasma threshold capable of causing an arc discharge and a time period from the moment that the electric power supply is stopped till the density of high-temperature electrons decreases to a specific plasma state serves as the OFF time; a saturation time during the rising process of the density of the high-temperature electrons in the plasma after the electric power supply is started serves as an upper limit of the ON time; and electric power is intermittently supplied under the above conditions.11-11-2010
20100291725Method of forming a flexible nanostructured material for photovoltaic panels - An efficient and low-cost method is intended for forming a flexible nanostructured material suitable for use as an active element of a photovoltaic panel. The method consists of evaporating a colloidal solution, which contains nanoparticles of various sizes and/or masses, from a flat surface of a rotating body on which the solution forms a thin and easily vaporizable layer, and simultaneously releasing the nanoparticles from the solution for their free flight through a gaseous medium toward the flexible substrate. As a result, the particles of different sizes and/or types of material are deposited onto the flexible substrate in a predetermined sequence that corresponds to the magnitude of resistance experienced by the nanoparticles during their free flight. In this method, the final, flexible nanostructured material is formed as a multilayer nanostructured film in which the nanoparticles of larger size and greater density are deposited onto the flexible substrate first and thus are located under the nanoparticles of smaller size and smaller density.11-18-2010
20100317140TECHNIQUES FOR FORMING THIN FILMS BY IMPLANTATION WITH REDUCED CHANNELING - Embodiments of the present invention relate to the use of a particle accelerator beam to form thin films of material from a bulk substrate. In particular embodiments, a bulk substrate having a top surface is exposed to a beam of accelerated particles. Then, a thin film of material is separated from the bulk substrate by performing a controlled cleaving process along a cleave region formed by particles implanted from the beam. To improve uniformity of depth of implantation, channeling effects are reduced by one or more techniques. In one technique, a miscut bulk substrate is subjected to the implantation, such that the lattice of the substrate is offset at an angle relative to the impinging particle beam. According to another technique, the substrate is tilted at an angle relative to the impinging particle beam. In still another technique, the substrate is subjected to a dithering motion during the implantation. These techniques may be employed alone or in combination.12-16-2010
20100323468METHOD OF FABRICATING IMAGE SENSOR PHOTODIODES USING A MULTI-LAYER SUBSTRATE AND CONTACT METHOD AND THE STRUCTURE THEREOF - The present invention relates to a photodiode of an image sensor using a three-dimensional multi-layer substrate, and more particularly, to a method of implementing a buried type photodiode and a structure thereof, and a trench contact method for connecting a photodiode in a multi-layer substrate and a transistor for signal detection.12-23-2010
20110020970 ETCHING OR PLATING PROCESS AND RESIST INK - The present invention provides a process of etching or plating comprising the steps of: i) ink jet printing an alkali removeable water insoluble hot melt ink jet ink onto a substrate to form a resist image; ii) etching or plating the substrate in an aqueous acid medium; and iv) removing the resist image with an aqueous alkali.01-27-2011
20110020971Combinatorial Screening of Transparent Conductive Oxide Materials for Solar Applications - Embodiments of the current invention include methods of improving a process of forming a textured TCO film by combinatorial methods. The combinatorial method may include depositing a TCO by physical vapor deposition or sputtering, annealing the TCO, and etching the TCO where at least one of the depositing, the annealing, or the etching is performed combinatorially. Embodiments of the current invention also include improved methods of forming the TCO based on the results of combinatorial testing.01-27-2011
20110027931Method for making solar cells with sensitized quantum dots in the form of nanometer metal particles - There is disclosed a method for making solar cells with sensitized quantum dots in the form of nanometer metal crystals. Firstly, a first substrate is provided. Then, a silicon-based film is grown on a side of the first substrate. A pattern mask process is executed to etch areas of the silicon-based film. Nanometer metal particles are provided on areas of the first substrate exposed from the silicon-based film. A metal electrode is attached to an opposite side of the first substrate. A second substrate is provided. A transparent conductive film is grown on the second substrate. A metal catalytic film is grown on the transparent conductive film. The second substrate, the transparent conductive film and the metal catalytic film together form a laminate. The laminate is inverted and provided on the first substrate. Finally, electrolyte is provided between the first substrate and the metal catalytic film.02-03-2011
20110027932SOLID-STATE IMAGE PICKUP DEVICE AND METHOD FOR PRODUCING THE SAME - A solid-state image pickup device includes an element isolation insulating film electrically isolating pixels on the surface of a well region; a first isolation diffusion layer electrically isolating the pixels under the element isolation insulating film; and a second isolation diffusion layer electrically isolating the pixels under the first isolation diffusion layer, wherein a charge accumulation region is disposed in the well region surrounded by the first and second isolation diffusion layers, the inner peripheral part of the first isolation diffusion layer forms a projecting region, an impurity having a conductivity type of the first isolation diffusion layer and an impurity having a conductivity type of the charge accumulation region are mixed in the projecting region, and a part of the charge accumulation region between the charge accumulation region and the second isolation diffusion layer is abutted or close to the second isolation diffusion layer under the projecting region.02-03-2011
20110027933METHOD OF TEXTURING SOLAR CELL AND METHOD OF MANUFACTURING SOLAR CELL - Methods of texturing and manufacturing a solar cell are provided. The method of texturing the solar includes texturing a surface of a substrate of the solar cell using a wet etchant, and the wet etchant includes a surfactant.02-03-2011
20110045624PHOSPHORUS PASTE FOR DIFFUSION AND PROCESS FOR PRODUCING SOLAR BATTERY UTILIZING THE PHOSPHORUS PASTE - Disclosed is a phosphorus paste for diffusion that is used in continuous printing of a phosphorus paste for diffusion on a substrate by screen printing. The phosphorus paste for diffusion does not undergo a significant influence of ambient humidity on viscosity and has no possibility of thickening even after a large number of times of continuous printing. The phosphorus paste for diffusion is coated on a substrate by screen printing for diffusion layer formation on the substrate. The phosphorus paste for diffusion includes a doping agent containing phosphorus as a dopant for the diffusion layer, a thixotropic agent containing an organic binder and a solid matter, and an organic solvent. The doping agent is an organic phosphorus compound.02-24-2011
20110070676Interdigitated Back Contact Silicon Solar Cells Fabrication Using Diffusion Barriers - Interdigitated back contact (IBC) solar cells are produced by depositing spaced-apart parallel pads of a first dopant bearing material (e.g., boron) on a substrate, heating the substrate to both diffuse the first dopant into corresponding first (e.g., p+) diffusion regions and to form diffusion barriers (e.g., borosilicate glass) over the first diffusion regions, and then disposing the substrate in an atmosphere containing a second dopant (e.g., phosphorus) such that the second dopant diffuses through exposed surface areas of the substrate to form second (e.g., n+) diffusion regions between the first (p+) diffusion regions (the diffusion barriers prevent the second dopant from diffusion into the first (p+) diffusion regions). The substrate material along each interface between adjacent first (p+) and second (n+) diffusion regions is then removed (e.g., using laser ablation) such that elongated grooves, which extend deeper into the substrate than the diffused dopant, are formed between adjacent diffusion regions.03-24-2011
20110086457THIN FILM LAMINATED BODY MANUFACTURING APPARATUS AND METHOD - A strip-shape flexible substrate is transported over a long horizontal distance, with its width extending in the vertical direction, the position of the substrate in the vertical direction is maintained with high precision, and the films are deposited onto its surface. When depositing the thin films to manufacture a thin film laminated body, at least one pair of gripping rollers arranged in at least one space between film deposition chambers, and which grasps an upper-side edge portion of the substrate with its width oriented in the vertical direction, are installed such that the rotation direction of the gripping rollers is diagonally upward, at an angle relative to the direction of transport of the substrate, and by changing the force with which the gripping rollers grasp the substrate, a force lifts the substrate, and the height of the substrate can be controlled.04-14-2011
20110104847EVAPORATIVE SYSTEM FOR SOLAR CELL FABRICATION - A plurality of chamber are arranged about a transport chamber. The linear transport chamber may include a linear track supporting robot arms. The robot arms transport substrates to and from the chambers. Each chamber includes a plurality of evaporators, each controlled independently. Each substrate positioned in the chamber is coated from a plurality of the evaporators, such that by controlling the operation of each evaporator independently the formation of the layers and the concentration gradient of each layer can be precisely controlled.05-05-2011
20110104848HOT WIRE CHEMICAL VAPOR DEPOSITION (CVD) INLINE COATING TOOL - Methods and apparatus for hot wire chemical vapor deposition (HWCVD) are provided herein. In some embodiments, an inline HWCVD tool may include a linear conveyor for moving a substrate through the linear process tool; and a multiplicity of HWCVD sources, the multiplicity of HWCVD sources being positioned parallel to and spaced apart from the linear conveyor and configured to deposit material on the surface of the substrate as the substrate moves along the linear conveyor; wherein the substrate is coated by the multiplicity of HWCVD sources without breaking vacuum. In some embodiments, methods of coating substrates may include depositing a first material from an HWCVD source on a substrate moving through a first deposition chamber; moving the substrate from the first deposition chamber to a second deposition chamber; and depositing a second material from a second HWCVD source on the substrate moving through the second deposition chamber.05-05-2011
20110124144SUBSTRATE PROCESSING SYSTEM AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus includes an evacuatable process chamber configured to receive a substrate carrier having at least one substrate, a plasma generating module, a gas feed, a gas discharge and a vapor etching module provided in the process chamber. A substrate processing method includes introducing a substrate carrier including at least one substrate into an evacuatable process chamber, generating a plasma in a plasma process using a plasma generating module in a gas or a gas mixture, performing a vapor etching of the at least one substrate before, after or alternatingly with the plasma process and performing at least one of a coating, etching, surface modification and cleaning of the substrate.05-26-2011
20110129954METHOD FOR MANUFACTURING A PHOTOVOLTAIC CELL STRUCTURE - In the frame of photovoltaic cell manufacturing a silicon compound layer is deposited upon a carrier structure. Manufacturing flexibility is increased on one hand by incorporating ambient air exposure of such silicon compound layer and on the other preventing deterioration of reproducibility by such ambient air exposure by enriching the surface of the addressed silicon compound layer which is to be exposed to ambient air to an oxygen enrichment.06-02-2011
20110136285METHOD FOR MANUFACTURING STACKED FILM AND SOLAR CELL - A method of manufacturing a stacked film includes; subjecting a semiconductor substrate to a radical oxidation reaction to form a radical oxide layer on a surface of the semiconductor substrate, annealing the radical oxide layer in a hydrogen atmosphere to convert the radical oxide layer to a first passivation layer, and disposing a second passivation layer on the first passivation layer.06-09-2011
20110136286METHOD OF CLEANING AND FORMING A NEGATIVELY CHARGED PASSIVATION LAYER OVER A DOPED REGION - The present invention generally provides a method of forming a high efficiency solar cell device by preparing a surface and/or forming at least a part of a high quality passivation layer on a silicon containing substrate. Embodiments of the present invention may be especially useful for preparing a surface of a p-type doped region formed on a silicon substrate so that a high quality passivation layer can be formed thereon. In one embodiment, the methods include exposing a surface of the solar cell substrate to a plasma to clean and modify the physical, chemical and/or electrical characteristics of the surface.06-09-2011
20110143478MODULAR SYSTEM AND PROCESS FOR CONTINUOUS DEPOSITION OF A THIN FILM LAYER ON A SUBSTRATE - A process and associated system for vapor deposition of a thin film layer on a photovoltaic (PV) module substrate is includes establishing a vacuum chamber and introducing the substrates individually into the vacuum chamber. The substrates are pre-heated as they are conveyed through the vacuum chamber, and are then conveyed in serial arrangement through a vapor deposition apparatus in the vacuum chamber wherein a thin film of a sublimed source material is deposited onto an upper surface of the substrates. The substrates are conveyed through the vapor deposition apparatus at a controlled constant linear speed such that leading and trailing sections of the substrate in a conveyance direction are exposed to the same vapor deposition conditions within the vapor deposition apparatus. The vapor deposition apparatus may be supplied with source material in a manner so as not to interrupt the vapor deposition process or non-stop conveyance of the substrates through the vapor deposition apparatus.06-16-2011
20110143479VAPOR DEPOSITION APPARATUS AND PROCESS FOR CONTINUOUS DEPOSITION OF A THIN FILM LAYER ON A SUBSTRATE - An apparatus and related process are provided for vapor deposition of a sublimated source material as a thin film on a photovoltaic (PV) module substrate. A receptacle is disposed within a vacuum head chamber and is configured for receipt of a source material. A heated distribution manifold is disposed below the receptacle and includes a plurality of passages defined therethrough. The receptacle is indirectly heated by the distribution manifold to a degree sufficient to sublimate source material within the receptacle. A molybdenum distribution plate is disposed below the distribution manifold and at a defined distance above a horizontal plane of a substrate conveyed through the apparatus. The molybdenum distribution plate includes a pattern of holes therethrough that further distribute the sublimated source material passing through the distribution manifold onto the upper surface of the underlying substrate. The molybdenum distribution plate includes greater than about 75% by weight molybdenum.06-16-2011
20110151610WORKPIECE PATTERNING WITH PLASMA SHEATH MODULATION - Methods to texture or fabricate workpieces are disclosed. The workpiece may be, for example, a solar cell. This texturing may involve etching or localized sputtering using a plasma where a shape of a boundary between the plasma and the plasma sheath is modified with an insulating modifier. The workpiece may be rotated in between etching or sputtering steps to form pyramids. Regions of the workpiece also may be etched or sputtered with ions formed from a plasma adjusted by an insulating modifier and doped. A metal layer may be formed on these doped regions.06-23-2011
20110151611METHOD FOR MANUFACTURING SOLAR CELLS - Disclosure herein is a method for manufacturing a solar cell. The method comprises the following steps. A substrate is provided. An article having a plurality of protrusions touches the surface of the substrate and thereby forming a plurality of indentations thereon. Subsequently, a transparent conductive layer is formed on the indented surface of the substrate, a photovoltaic layer is formed on the transparent conductive layer, and then a back electrode is form above the photovoltaic layer.06-23-2011
20110165721SYSTEMS, METHODS AND PRODUCTS INCLUDING FEATURES OF LASER IRRADIATION AND/OR CLEAVING OF SILICON WITH OTHER SUBSTRATES OR LAYERS - The present innovations relate to optical/electronic structures, and, more particularly, to methods and products consistent with composite structures for optical/electronic applications, such as solar cells and displays, composed of a silicon-containing material bonded to a substrate and including laser treatment.07-07-2011
20110177644PLASMA CVD APPARATUS, METHOD FOR MANUFACTURING SEMICONDUCTOR FILM, METHOD FOR MANUFACTURING THIN-FILM SOLAR CELL, AND METHOD FOR CLEANING PLASMA CVD APPARATUS - A plasma CVD apparatus includes: a film forming chamber; a holding member that holds a substrate to be processed that is set in the film forming chamber; a shower head that is set in the film forming chamber to face the holding member, and supplies raw material gas and generates a plasma of the raw material gas; a radical generation chamber that is set at an opposite side of the shower head relative to the holding member and generates radicals of process gas; and an openable and closable shutter that is provided between the shower head and the radical generation chamber.07-21-2011
20110212564METHOD FOR PRODUCING PHOTOVOLTAIC CELL - In a method for producing a photovoltaic cell, the improvement comprising: 09-01-2011
20110217806RADIOFREQUENCY PLASMA REACTOR AND METHOD FOR MANUFACTURING VACUUM PROCESS TREATED SUBSTRATES - An electrode (09-08-2011
20110230002Local Oxidation of Silicon Processes with Reduced Lateral Oxidation - A method of forming an integrated circuit structure includes providing a silicon substrate, and implanting a p-type impurity into the silicon substrate to form a p-type region. After the step of implanting, performing an anneal to form a silicon oxide region, with a portion of the p-type region converted to the silicon oxide region.09-22-2011
20110237013Creation of Low-Relief Texture for a Photovoltaic Cell - A novel method is described to create low-relief texture at a light-facing surface or a back surface of a photovoltaic cell. The peak-to-valley height and average peak-to-peak distance of the textured surface is less than about 1 microns, for example less than about 0.8 micron, for example about 0.5 microns or less. In a completed photovoltaic device, average reflectance for light having wavelength between 375 and 1010 nm at a light-facing surface with this texture is 6 percent or less, for example about 5 percent or less, in some instances about 3.5 percent. This texture is produced by forming an optional oxide layer at the surface, lightly buffing the surface, and etching with a crystallographically selective etch. Excellent texture may be produced by etching for as little as twelve minutes or less. Very little silicon, for example about 0.3 mg/cm09-29-2011
20110250715METHODS FOR FORMING ANTI-REFLECTION STRUCTURES FOR CMOS IMAGE SENSORS - Protuberances, having vertical and lateral dimensions less than the wavelength range of lights detectable by a photodiode, are formed at an optical interface between two layers having different refractive indices. The protuberances may be formed by employing self-assembling block copolymers that form an array of sublithographic features of a first polymeric block component within a matrix of a second polymeric block component. The pattern of the polymeric block component is transferred into a first optical layer to form an array of nanoscale protuberances. Alternately, conventional lithography may be employed to form protuberances having dimensions less than the wavelength of light. A second optical layer is formed directly on the protuberances of the first optical layer. The interface between the first and second optical layers has a graded refractive index, and provides high transmission of light with little reflection.10-13-2011
20110256654DOUBLE-SIDED REUSABLE TEMPLATE FOR FABRICATION OF SEMICONDUCTOR SUBSTRATES FOR PHOTOVOLTAIC CELL AND MICROELECTRONICS DEVICE MANUFACTURING - This disclosure presents manufacturing methods and apparatus designs for making TFSSs from both sides of a re-usable semiconductor template, thus effectively increasing the substrate manufacturing throughput and reducing the substrate manufacturing cost. This approach also reduces the amortized starting template cost per manufactured substrate (TFSS) by about a factor of 2 for a given number of template reuse cycles.10-20-2011
20110263063SEAL CONFIGURATION FOR A SYSTEM FOR CONTINUOUS DEPOSITION OF A THIN FILM LAYER ON A SUBSTRATE - An apparatus and associated method of operation is provided for vapor deposition of a sublimated source material, such as CdTe, as a thin film on discrete photovoltaic (PV) module substrates that are conveyed in a continuous, non-stop manner through the apparatus. The apparatus includes a deposition head configured for receipt and sublimation of the source material. The deposition head has a distribution plate at a defined distance above a horizontal conveyance plane of an upper surface of the substrates conveyed through a deposition area within the apparatus. The sublimated source material moves through the distribution plate and deposits onto the upper surface of the substrates as they are conveyed through the deposition area. The substrates move into and out of the deposition area through entry and exit slots that are defined by transversely extending entrance and exit seals. The seals are disposed at a gap distance above the upper surface of the substrates that is less than the distance or spacing between the upper surface of the substrates and the distribution plate. The seals have a ratio of longitudinal length (in the direction of conveyance of the substrates) to gap distance of from about 10:1 to about 100:1.10-27-2011
20110281390SILICON/GERMANIUM OXIDE PARTICLE INKS AND PROCESSES FOR FORMING SOLAR CELL COMPONENTS AND FOR FORMING OPTICAL COMPONENTS - Highly uniform silica nanoparticles can be formed into stable dispersions with a desirable small secondary particle size. The silican particles can be surface modified to form the dispersions. The silica nanoparticles can be doped to change the particle properties and/or to provide dopant for subsequent transfer to other materials. The dispersions can be printed as an ink for appropriate applications. The dispersions can be used to selectively dope semiconductor materials such as for the formation of photovoltaic cells or for the formation of printed electronic circuits.11-17-2011
20110287568METHOD OF MANUFACTURING THIN FILM SOLAR CELL - A method of manufacturing a thin film solar cell includes a bonding step of bonding a bus bar on a back face electrode layer of a solar cell string including a transparent conductive film, a photoelectric conversion layer and the back face electrode layer formed on a light-transmitting insulating substrate. The bonding step includes a first step of bonding conductive tape on the bonding surface of the bus bar that is to be bonded to the back face electrode layer, and a second step of bonding the bus bar to which the conductive tape has been bonded to the back face electrode layer of the solar cell string.11-24-2011
20110306159METHOD FOR PROCESSING SOLAR CELL SUBSTRATES - A method for processing solar cells comprising: 12-15-2011
20110312119ETCHING OF SOLAR CELL MATERIALS - A solar cell is fabricated by etching one or more of its layers without substantially etching another layer of the solar cell. In one embodiment, a copper layer in the solar cell is etched without substantially etching a topmost metallic layer comprising tin. For example, an etchant comprising sulfuric acid and hydrogen peroxide may be employed to etch the copper layer selective to the tin layer. A particular example of the aforementioned etchant is a Co-Bra Etch® etchant modified to comprise about 1% by volume of sulfuric acid, about 4% by volume of phosphoric acid, and about 2% by volume of stabilized hydrogen peroxide. In one embodiment, an aluminum layer in the solar cell is etched without substantially etching the tin layer. For example, an etchant comprising potassium hydroxide may be employed to etch the aluminum layer without substantially etching the tin layer.12-22-2011
20110318862System and method for creating electric isolation between layers comprising solar cells - Methods for forming a patterned layer from common layer in a photovoltaic application are provided. The patterned layer is configured to form one or more portions of one or more solar cells on a rigid substrate. A first pass is made with a first laser beam over an area on the common layer. A second pass is made with a second laser beam over approximately the same area on the common layer. The first pass provides a first level of electrical isolation between a first portion and a second portion of the common layer. The second pass provides a second level of electrical isolation between the first portion and the second portion of the common layer. The second level of electrical isolation is greater than the first level of electrical isolation.12-29-2011
20120009714DEUTERATED STRUCTURES FOR IMAGE SENSORS AND METHODS FOR FORMING THE SAME - A pixel cell with a photo-conversion device and at least one structure includes a deuterated material adjacent the photo-conversion device.01-12-2012
20120015469High-Efficiency, Monolithic, Multi-Bandgap, Tandem, Photovoltaic Energy Converters - A monolithic, multi-bandgap, tandem solar photovoltaic converter has at least one, and preferably at least two, subcells grown lattice-matched on a substrate with a bandgap in medium to high energy portions of the solar spectrum and at least one subcell grown lattice-mismatched to the substrate with a bandgap in the low energy portion of the solar spectrum, for example, about 1 eV.01-19-2012
20120021552Quartz Boat Method and Apparatus for Thin Film Thermal Treatment - A method of supporting a plurality of planar substrates in a tube shaped furnace for conducting a thermal treatment process is disclosed. The method uses a boat fixture having a base frame including two length portions and a first width portion, a second width portion, and one or more middle members connected between the two length portions. Additionally, the method includes mounting a removable first grooved rod respectively on the first width portion, the second width portion, and each of the one or more middle members, each first grooved rod having a first plurality of grooves characterized by a first spatial configuration. The method further includes inserting one or two substrates of a plurality of planar substrates into each groove in the boat fixture separated by a distance.01-26-2012
20120021553METHODS FOR DISCRETIZED PROCESSING AND PROCESS SEQUENCE INTEGRATION OF REGIONS OF A SUBSTRATE - The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.01-26-2012
20120028393VAPOR DEPOSITION APPARATUS AND PROCESS FOR CONTINUOUS DEPOSITION OF A DOPED THIN FILM LAYER ON A SUBSTRATE - An apparatus and related process are provided for vapor deposition of a sublimated source material as a doped thin film on a photovoltaic (PV) module substrate. A receptacle is disposed within a vacuum head chamber and is configured for receipt of a source material supplied from a first feed tube. A second feed tube can provide a dopant material into the deposition head. A heated distribution manifold is disposed below the receptacle and includes a plurality of passages defined therethrough. The receptacle is indirectly heated by the distribution manifold to a degree sufficient to sublimate source material within the receptacle. A distribution plate is disposed below the distribution manifold and at a defined distance above a horizontal plane of a substrate conveyed through the apparatus to further distribute the sublimated source material passing through the distribution manifold onto the upper surface of the underlying substrate.02-02-2012
20120028394IMAGE SENSOR AND METHOD FOR FABRICATING SAME - An image sensor includes an epi-layer of a first conductivity type formed in a substrate, a photodiode formed in the epi-layer, and a first doping region of a second conductivity type formed under the photodiode to separate the first doping region from the photodiode.02-02-2012
20120034725METHOD FOR TEXTURING SILICON WAFERS, TREATMENT LIQUID THEREFOR, AND USE - In a method for the treatment of silicon wafers in the production of solar cells, a treatment liquid is applied to the surface of the silicon wafers for the purpose of texturization thereof. The treatment liquid contains, as additive, ethyl hexanol or cyclohexanol in an amount ranging from 0.5% to 3%, by weight.02-09-2012
20120040485THERMAL MANAGEMENT OF FILM DEPOSITION PROCESSES - Thermal management of film deposition processes. In one aspect, a deposition system includes a vacuum chamber defining an evacuated interior volume, a deposition source disposed within the interior volume, a substrate holder disposed within the interior volume and arranged to hold a substrate with a first surface of the substrate facing the deposition source and a second surface of the substrate disposed facing away from the deposition source, and a heat sink disposed to have a first side of the heat sink in radiative thermal contact with the second surface of the substrate held by the substrate holder, the first side of the heat sink comprising a collection of features having a longitudinal dimension that is four or more times larger than a lateral dimension between the features, the features thereby dimensioned and aligned to reflect, multiple times in succession, radiative thermal emissions of the second surface of the substrate.02-16-2012
20120045863MICROPLASMA GENERATOR AND METHODS THEREFOR - A low-temperature, atmospheric-pressure microplasma generator comprises at least one strip of metal on a dielectric substrate. A first end of the strip is connected to a ground plane and the second end of the strip is adjacent to a grounded electrode, with a gap being defined between the second end of the strip and the grounded electrode. High frequency power is supplied to the strip. The frequency is selected so that the length of the strip is an odd integer multiple of ¼ of the wavelength traveling on the strip. A microplasma forms in the gap between the second end of the strip and the grounded electrode due to electric fields in that region. A microplasma generator array comprises a plurality of strongly-coupled resonant strips in close proximity to one another. At least one of the strips has an input for high-frequency electrical power. The remaining strips resonate due to coupling from the at least one powered strip. The array can provide a continuous line or ring of plasma. The microplasma generator can be used to alter the surface of a substrate, such as by adding material (deposition), removal of material (etching), or modifying surface chemistry.02-23-2012
20120058588DEVICE AND METHOD FOR SIMULTANEOUSLY MICROSTRUCTURING AND DOPING SEMICONDUCTOR SUBSTRATES - The invention relates to a device and a method for simultaneous microstructuring and doping of semiconductor substrates with boron, in which the semiconductor substrate is treated with a laser beam coupled into a liquid jet, the liquid jet comprising at least one boron compound. The method according to the invention is used in the field of solar cell technology and also in other fields of semiconductor technology in which a locally delimited boron doping is important.03-08-2012
20120094419CMOS IMAGE SENSOR AND FABRICATING METHOD THEREOF - A method includes: forming a transfer gate on a semiconductor substrate; forming a first ion implantation region on a first side of the transfer gate; forming a second ion implantation region on the first side of the transfer gate such that the second ion implantation region encloses the first ion implantation region; forming a third ion implantation region along a surface of the semiconductor substrate; and forming a floating diffusion region at a second side of the transfer gate.04-19-2012
20120094420MANUFACTURING METHOD OF GROUP OF WHISKERS - A seed substrate is placed to face a formation substrate, and then a gas containing silicon is introduced and chemical vapor deposition is performed. There is no particular limitation on a kind of a material used for the formation substrate as long as the material can withstand the temperature at which the reduced pressure chemical vapor deposition is performed. A group of silicon whiskers which does not include a seed atom can be grown directly on and in contact with the formation substrate. Further, the substrate provided with the group of whiskers can be applied to a solar cell, a lithium ion secondary battery, and the like, by utilizing surface characteristics of the group of whiskers.04-19-2012
20120122261 CMOS IMAGER PHOTODIODE WITH ENHANCED CAPACITANCE - A method for manufacturing a pixel sensor cell that includes a photosensitive element having a non-laterally disposed charge collection region. The method includes forming a trench recess in a substrate of a first conductivity type material, and filling the trench recess with a material having second conductivity type material. The second conductivity type material is then diffused out of the filled trench material to the substrate region surrounding the trench to form the non-laterally disposed charge collection region. The filled trench material is removed to provide a trench recess, and the trench recess is filled with a material having a first conductivity type material. A surface implant layer is formed at either side of the trench having a first conductivity type material. A collection region of a trench-type photosensitive element is formed of the outdiffused second conductivity type material and is isolated from the substrate surface.05-17-2012
20120135558METHOD OF ETCHING ASYMMETRIC WAFER, SOLAR CELL INCLUDING THE ASYMMETRICALLY ETCHED WAFER, AND METHOD OF MANUFACTURING THE SAME - With the present invention, two wafers for a solar cell only whose light receiving surfaces are selectively etched can be simultaneously obtained by overlapping the two wafers and performing a single-sided etching or an asymmetric etching thereon. The present invention provides a method of etching a wafer comprising: performing a single-sided etching or an asymmetric etching on the wafer, wherein the performing the single-sided etching or the asymmetric etching comprises: overlapping two wafers whose one sides face each other; and etching the overlapped two wafers, and a solar cell including the etched wafers.05-31-2012
20120142137MOVABLE JIG FOR SILICON-BASED THIN FILM SOLAR CELL - A movable jig for a silicon-based thin film solar cell comprises parallel electrode plates (06-07-2012
20120142138DEPOSITION BOX FOR SILICON-BASED THIN FILM SOLAR CELL - A movable deposition box (06-07-2012
20120149143Method for Manufacturing a Solar Cell - In the existent method for manufacturing a solar cell, manufacture of a solar cell having a quantum well having a crystalline well layer and capable of controlling the thickness of the well layer was difficult. A quantum well having an amorphous well layer, comprising a barrier layer and an amorphous well layer is formed and then the quantum well having the amorphous well layer is annealed thereby crystallizing the amorphous well layer to form a quantum well having a crystalline well layer. By applying energy density applied to the amorphous well layer at an energy density of 1.26 J/mm06-14-2012
20120156821Method for Making a Solar Cell - Disclosed is a method for making a solar cell. In the method, there are provided first and second substrates each including first and second faces. There are provided first and second coating devices and a joining device. The first coating device is used to form a transparent electrode layer on the first face of the first substrate. The second coating device is used to form an absorbing layer on the first face of the second substrate. The second substrate is selenized by hot pressing. The joining device is used to join together the first and second substrates by joining the transparent electrode layer with the absorbing layer. The transparent electrode layer is joined with the absorbing layer by hot pressing. Thus, the solar cell is not made by coating one layer on another. Time for making the solar cell is reduced.06-21-2012
20120164776Non-Wear Shutter Apparatus for a Vapor Deposition Apparatus - An apparatus and associated method for vapor deposition of a sublimated source material as a thin film on a photovoltaic (PV) module substrate includes a deposition head wherein a source material is sublimated. A distribution manifold is provided with a plurality of passages defined therethrough for passage of the sublimated source material to the substrate. A shutter plate is disposed above the distribution manifold and includes a plurality of passages therethrough that align with the passages in the distribution manifold in a first position of the shutter plate. The shutter plate is movable to a second position wherein the shutter plate blocks the passages in the distribution manifold to flow of sublimated material therethrough. A lifting mechanism is configured between the shutter plate and the distribution manifold to lift and move the shutter plate between the first and second positions without sliding the shutter plate on the distribution manifold.06-28-2012
20120164777COMPOSITION FOR PRINTING CONDUCTOR TRACKS AND A PROCESS FOR PRODUCING SOLAR CELLS - The invention relates to a composition for printing conductor tracks onto a substrate, especially for solar cells, using a laser printing process, which composition comprises 30 to 90% by weight of electrically conductive particles, 0 to 7% by weight of glass frit, 0 to 8% by weight of at least one matrix material, 0 to 8% by weight of at least one organometallic compound, 0 to 5% by weight of at least one additive and 3 to 69% by weight of solvent. The composition further comprises 0.5 to 15% by weight of nanoparticles as absorbents for laser radiation, which nanoparticles are particles of silver, gold, platinum, palladium, tungsten, nickel, tin, iron, indium tin oxide, titanium carbide or titanium nitride. The composition comprises not more than 1% by weight of elemental carbon.06-28-2012
20120178200INTEGRATED IN-LINE PROCESSING SYSTEM FOR SELECTIVE EMITTER SOLAR CELLS - Embodiments of the present invention are directed to an in-line system and process for forming a selective emitter solar cell. In one embodiment, a liquid dopant material is applied to a silicon substrate and dried to at least a semi-solid state. In another embodiment, a dopant material is deposited on a silicon substrate using a chemical vapor deposition process. A laser is then used to thermally excite regions of the substrate to drive the dopant atoms from the dopant material deep into the substrate to form highly doped regions. The substrate is then thermally processed to form a lightly doped emitter region and a shallow p-n junction in the remaining field region of the substrate. Conductive contacts are then deposited on the highly doped regions.07-12-2012
20120178201COMPOSITION FOR FORMING N-TYPE DIFFUSION LAYER, METHOD FOR FORMING N-TYPE DIFFUSION LAYER, AND METHOD FOR PRODUCING PHOTOVOLTAIC CELL - The composition for forming an n-type diffusion layer in accordance with the present invention contains a donor element-containing glass powder and a dispersion medium. An n-type diffusion layer and a photovoltaic cell having an n-type diffusion layer are prepared by applying the composition for forming an n-type diffusion layer, followed by a thermal diffusion treatment.07-12-2012
20120190149CATALYTIC CVD EQUIPMENT, METHOD FOR FORMATION OF FILM, PROCESS FOR PRODUCTION OF SOLAR CELL, AND SUBSTRATE HOLDER - In a catalytic CVD equipment, a holder includes an antireflective structure for preventing reflection of a radiant ray that is ejected from the catalytic wire toward the side of the substrate.07-26-2012
20120202307SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A first waveguide member is formed, as viewed from above, in an image pickup region and a peripheral region of a semiconductor substrate. A part of the first waveguide member, which part is disposed in the peripheral region, is removed. A flattening step is then performed to flatten a surface of the first waveguide member on the side opposite to the semiconductor substrate.08-09-2012
20120238048METHOD OF MANUFACTURING A SOLAR CELL MODULE AND APPARATUS OF MANUFACTURING A SOLAR CELL MODULE - A method of manufacturing a solar cell, which includes an edge deletion step using a laser beam, and a manufacturing apparatus which is used in such a method, the method and the apparatus being capable of preventing a shunt and cracks from being generated are provided. By radiating a first laser beam to a multilayer body, which includes a transparent electrode layer, a photoelectric conversion layer, and a back electrode layer sequentially formed on a transparent substrate, from a side of the transparent substrate, the photoelectric conversion layer and the back electrode layer in a first region are removed, and by radiating a second laser beam into the region such that the second laser beam is spaced from a peripheral rim of the region, the transparent electrode layer in a second region is removed.09-20-2012
20120238049Method for Removing at least Sections of a Layer of a Layer Stack - In a method for removing at least sections of at least one semiconductor layer (09-20-2012
20120244655BACKGRIND PROCESS FOR INTEGRATED CIRCUIT WAFERS - An integrated circuit is formed by coating a top surface of a wafer that has been processed through all integrated circuit chip manufacturing steps prior to backgrind with photoresist, applying backgrind tape over a top surface of the photoresist, backgrinding a back surface of the wafer to a specified thickness, removing the backgrind tape from the top surface of the photoresist, and removing the photoresist. The surface of the integrated circuit and any devices that may be bonded to the surface of the integrated circuit are protected by the photoresist layer during removal of the backgrind tape.09-27-2012
20120270356METHOD FOR MANUFACTURING A SOLAR CELL - The present invention provides a method for manufacturing a solar cell. The method for manufacturing a solar cell comprises: forming via holes in a silicon wafer; forming a shallow emitter on the front surface and the rear surface of the wafer, connecting the inner walls of the via holes and the via holes; and selectively forming an emitter through the heavy doping of a dopant to provide a plurality of regions along a direction linking the via holes of the shallow emitter with a certain concentration or higher. Accordingly, the present invention can selectively form an emitter on an MWT solar cell by performing laser doping or etching on a region contacting a front surface electrode having a certain width and height.10-25-2012
20120282720MESA HETEROJUNCTION PHOTOTRANSISTOR AND METHOD FOR MAKING SAME - A two-terminal mesa phototransistor and a method for making it are disclosed. The photo transistor has a mesa structure having a substantially planar semiconductor surface. In the mesa structure is a first semiconductor region of a first doping type, and a second semiconductor region of a second doping type opposite to that of the first semiconductor region, forming a first semiconductor junction with the first region. In addition, a third semiconductor region of the first doping type forms a second semiconductor junction with the second region. The structure also includes a dielectric layer. The second semiconductor region, first semiconductor junction, and second semiconductor junction each has an intersection with the substantially planar semiconductor surface. The dielectric covers, and is in physical contact with, all of the intersections.11-08-2012
20120288977METHOD FOR MANUFACTURING DYE-SENSITIZED SOLAR CELL - Disclosed is a method for manufacturing a dye-sensitized solar cell including a transparent electrode (11-15-2012
20120288978METHOD FOR FORMING BUFFER LAYER IN DYE-SENSITIZED SOLAR CELL - Disclosed is a method for forming a buffer layer (11-15-2012
20120288979SOLID-STATE IMAGE SENSOR AND IMAGING SYSTEM - At least one exemplary embodiment is directed to a solid state image sensor including at least one antireflective layer and/or non rectangular shaped wiring layer cross section to reduce dark currents and 1/f noise.11-15-2012
20120295385LIGHTLY-DOPED DRAINS (LDD) OF IMAGE SENSOR TRANSISTORS USING SELECTIVE EPITAXY - Embodiments of the present invention are directed to an image sensor having pixel transistors and peripheral transistors disposed in a silicon substrate. For some embodiments, a protective coating is disposed on the peripheral transistors and doped silicon is epitaxially grown on the substrate to form lightly-doped drain (LDD) areas for the pixel transistors. The protective oxide may be used to prevent epitaxial growth of silicon on the peripheral transistors during formation of the LDD areas of the pixel transistors.11-22-2012
20120295386STRATIFIED PHOTODIODE FOR HIGH RESOLUTION CMOS IMAGE SENSOR IMPLEMENTED WITH STI TECHNOLOGY - A stratified photodiode for high resolution CMOS image sensors implemented with STI technology is provided. The photodiode includes a semi-conductive layer of a first conductivity type, multiple doping regions of a second conductivity type, multiple doping regions of the first conductivity type, and a pinning layer. The multiple doping regions of the second conductivity type are formed to different depths in the semi-conductive layer. The multiple doping regions of the first conductivity type are disposed between the multiple doping regions of the second conductivity type and form multiple junction capacitances without full depletion. In particular, the stratified doping arrangement allows the photodiode to have a small size, high charge storage capacity, low dark current, and low operation voltages.11-22-2012
20120301989METHOD FOR MANUFACTURING SOLID-STATE IMAGE PICKUP DEVICE - A method for manufacturing a solid-state image pickup device that includes a pixel portion and a peripheral circuit portion, includes: forming a first insulating film in the pixel portion and the peripheral circuit portion, forming a second insulating film above the first insulating film, etching the second insulating film in photoelectric conversion elements, forming a metal film on the etched second insulating film in the photoelectric conversion elements and on the second insulating film in the peripheral circuit portion, and removing the metal film in the peripheral circuit portion and forming light-shielding films from the metal film in the photoelectric conversion elements.11-29-2012
20120309125BUFFER LAYER DEPOSITION METHODS FOR GROUP IBIIIAVIA THIN FILM SOLAR CELLS - The present invention provides methods for forming a buffer layer for Group IBIIIAVIA solar cells. The buffer layer is formed using chemical bath deposition and the layer is formed in steps. A first buffer layer is formed on the absorber and the first buffer layer is then treated using etching, oxidizing, annealing or some combination thereof. Subsequently a second buffer layer is then positioned on the treated surface. Additional buffer layers can be added following treatment of the previously deposited layer.12-06-2012
20120329194METHOD FOR TREATING A SILICON SUBSTRATE FOR THE PRODUCTION OF PHOTOVOLTAIC CELLS, AND PHOTOVOLTAIC CELL PRODUCTION METHOD - The invention relates to a method for treating a silicon substrate for the production of photovoltaic cells against reduction in yield during the illumination of said photovoltaic cells. The invention also relates to a method for producing photovoltaic cells from the treated substrate. To said end, the invention relates to a method for treating a silicon substrate for the production of photovoltaic cells, said method including the following steps: a) providing a silicon substrate obtained from a metallurgically purified load, and b) annealing said substrate by heating the substrate to a temperature between 880° C. and 930° C. for a duration of between one and four hours, preferably at a temperature of 900° C., give or take 10° C., for two hours, give or take 10 minutes.12-27-2012
20130005068METHOD FOR MANUFACTURING AN ELECTROMAGNETIC RADIATION DETECTOR AND DETECTOR OBTAINED BY SUCH A METHOD - A method for removing the growth substrate of a circuit of electromagnetic radiation detection, especially in the infrared or visible range, said detection circuit including a layer of detection of said radiation made of Hg01-03-2013
20130011954High Power Density Photo-electronic and Photo-voltaic Materials and Methods of Making - A high power density photo-electronic and photo-voltaic material comprising a bio-inorganic nanophotoelectronic material with a photosynthetic reaction center protein encapsulated inside a multi-wall carbon nanotube or nanotube array. The array can be on an electrode. The photosynthetic reaction center protein can be immobilized on the electrode surface and the protein molecules can have the same orientation. A method of making a high power density photo-electronic and photo-voltaic material comprising the steps of immobilizing a bio-inorganic nanophotoelectronic material with a photosynthetic reaction center protein inside a carbon nanotube, wherein the immobilizing is by passive diffusion, wherein the immobilizing can include using an organic linker.01-10-2013
20130017644Fluorine Based Chamber Clean With Nitrogen Trifluoride Backup - The present invention is a process for cleaning a reaction chamber comprising the steps of; 01-17-2013
20130017645PHOTOELECTRIC CONVERSION DEVICE AND METHOD OF PRODUCING THE SAME - A photoelectric conversion device which can improve photoelectric conversion efficiency is provided. The photoelectric conversion device has at least one p-i-n type photoelectric conversion part which includes a first conductivity type layer, a first i-type layer, a second i-type layer and a second conductivity type layer stacked in this order, and it is characterized in that a crystallization ratio of the first i-type layer is lower than that of the second i-type layer and a change rate of a crystallization ratio in a film-thickness direction at an interface between the first i-type layer and the second i-type layer is 0.013 to 0.24 nm01-17-2013
20130040414METHOD FOR MANUFACTURING A THIN-FILM SOLAR CELL - Disclosed is a method for manufacturing a thin-film solar cell using plasma between a couple of parallel electrodes. In the method, a base member is placed in a chamber between a first electrode and a second electrode facing each other. A hydrogen gas is heated, and thus heated hydrogen gas and a silicon-based gas are introduced into a space between the first electrode and the second electrode. A ratio of a flow rate of the heated hydrogen gas to that of the silicon-based gas is at least 25 and no more than 58. A plasma is generated between the first electrode and the second electrode by applying high-frequency power to the second electrode while a pressure in the chamber is 1000 Pa or higher, and an optically active layer containing crystalline silicon is deposited on the base material.02-14-2013
20130045558DEVICE AND METHOD FOR PRECIPITATING A LAYER ON A SUBSTRATE - A device for depositing a layer containing at least two components on an object, including: a deposition chamber; a source containing a material to be deposited; and a control device, which controls the deposition process, implemented such that a concentration of the component of the material can be modified in its gas phase prior to deposition on the object by selective binding a specified quantity of the component, wherein the selectively bound quantity of the component is controlled by modifying a control parameter that is actively coupled to a binding rate or the component, and wherein the control device contains a gettering element containing a reactive material containing copper and/or molybdenum. Also, a method for depositing a layer containing at least two components on an object, wherein a selectively bound quantity of a component is controlled by modifying a binding rate of the component of the control device.02-21-2013
20130065345PHOTOVOLTAIC DEVICES WITH METAL SEMICONDUCTOR ALLOY METALLIZATION - A photovoltaic device, such as a solar cell, having improved performance is provided. In one embodiment, the photovoltaic device includes a multimetal semiconductor alloy layer located on exposed portions of a front side surface of a semiconductor substrate. The multimetal semiconductor alloy layer includes at least a first elemental metal that forms an alloy with a semiconductor material, and a second elemental metal that differs from the first elemental metal and that does not form an alloy with a semiconductor material at the same temperature as the first elemental metal. The photovoltaic device further includes a copper-containing layer located atop the multimetal semiconductor alloy layer.03-14-2013
20130065346RETICLE AND MANUFACTURING METHOD OF SOLID-STATE IMAGE SENSOR - A reticle includes a repetition pattern and a peripheral pattern, one of which has a first side in a first direction and the other a second side in the first direction. The first side has a first length that is n times the second length of the second side, where n is an integer equal to or larger than 1. The first pattern has at least one of first misalignment measurement patterns provided at positions distant by a third length and ((the third length)+(n−1).times.(the second length)) from an upper end of the first pattern. The third length is equal to or smaller than the second length. The second pattern has a second misalignment measurement pattern provided at a position distant by the third length from an upper end of the second pattern.03-14-2013
20130078754Light Induced Plating of Metals on Silicon Photovoltaic Cells - A method and composition for plating metal contacts on photovoltaic solar cells is described. The cell is immersed in an aqueous bath containing platable metal ions and a solubilizing agent for aluminum or aluminum alloy ions from the back side of the solar cell. The cell is then exposed to light, causing the two sides of the cell to become oppositely charged. The metal ions are plated without requiring an external electrical contact.03-28-2013
20130084668TEMPORARY ARC INDUCEMENT OF GLASS SUBSTRATE DURING DIFFUSIVE TRANSPORT DEPOSITION - Apparatus for vapor deposition of a sublimated source material as a thin film on a photovoltaic module substrate is generally provided. The apparatus can include a deposition head; a distribution plate disposed below said distribution manifold and above an upper surface of a substrate transported through said apparatus and defining a pattern of passages therethrough; and, a carrying mechanism configured to transport the substrate in a machine direction under the distribution plate such that an upper surface of the substrate defines an arc in a cross-direction that is substantially perpendicular to the machine direction. Processes are also generally provided for vapor deposition of a sublimated source material to form thin film on a photovoltaic module substrate.04-04-2013
20130089942METHOD FOR PRODUCING A SOLAR CELL - A method for producing a solar cell from a silicon substrate, which has a first main surface, used in normal application as an incident light side and a second main surface, used as the back surface, having a passivating layer on the second main surface, includes the steps: applying an oxygen-containing layer onto the second main surface of the silicon substrate, and heating the silicon substrate to a temperature of at least 800° C. to densify the oxide-containing layer and for the oxidation of the boundary surface between the oxide-containing layer and the second main surface of the silicon substrate to form a thermal oxide, an oxygen source giving off oxygen for the oxidation.04-11-2013
20130109122LASER CRYSTALLIZATION AND POLYCRYSTAL EFFICIENCY IMPROVEMENT FOR THIN FILM SOLAR05-02-2013
20130109123DIFFUSING AGENT COMPOSITION AND METHOD OF FORMING IMPURITY DIFFUSION LAYER05-02-2013
20130122629SYSTEMS, METHODS AND PRODUCTS INCLUDING FEATURES OF LASER IRRADIATION AND/OR CLEAVING OF SILICON WITH OTHER SUBSTRATES OR LAYERS - The present innovations relate to optical/electronic structures, and, more particularly, to methods and products consistent with composite structures for optical/electronic applications, such as solar cells and displays, composed of a silicon-containing material bonded to a substrate and including laser treatment.05-16-2013
20130143348HEAT TREATMENT METHOD OF SEMICONDUCTOR WAFERS, MANUFACTURING METHOD OF SOLAR BATTERY, AND HEAT TREATMENT DEVICE - A heat treatment method of the present invention includes mounting a plurality of semiconductor wafers upright on a treatment boat in parallel to each other, inserting the treatment boat in a space above an injector located in a tube to be oriented to plane surfaces of the semiconductor wafers in parallel to an extending direction of the tube, and heating the tube while continuously supplying source gas into the tube through openings of the injector.06-06-2013
20130171757ADVANCED PLATFORM FOR PASSIVATING CRYSTALLINE SILICON SOLAR CELLS - The present invention generally provides a high throughput substrate processing system that is used to form one or more regions of a solar cell device. In one configuration of a processing system, one or more solar cell passivating or dielectric layers are deposited and further processed within one or more processing chambers contained within the high throughput substrate processing system. The processing chambers may be, for example, plasma enhanced chemical vapor deposition (PECVD) chambers, low pressure chemical vapor deposition (LPCVD) chambers, atomic layer deposition (ALD) chambers, physical vapor deposition (PVD) or sputtering chambers, thermal processing chambers (e.g., RTA or RTO chambers), substrate reorientation chambers (e.g., flipping chambers) and/or other similar processing chambers.07-04-2013
20130196464LASER SYSTEM WITH MULTIPLE LASER PULSES FOR FABRICATION OF SOLAR CELLS - A laser system with multiple laser pulses for removing material from a solar cell being fabricated. The laser system includes a single pulse laser source and a multi-pulse generator. The multi-pulse generator receives a single pulse laser beam from the single pulse laser source and converts the single pulse laser beam into a multi-pulse laser beam. A laser scanner scans the multi-pulse laser beam onto the solar cell to remove material from the solar cell.08-01-2013
20130203202INTEGRATED VAPOR TRANSPORT DEPOSITION METHOD AND SYSTEM - vapor transport deposition system and method that includes a vaporizer and distributor unit and at least one auxiliary process unit for integrating thin-film layer deposition with one or more pre- or post-deposition processes.08-08-2013
20130210183ION IMPLANTATION METHOD, CARRIER, AND ION IMPLANTATION DEVICE - An ion implantation method includes: placing, in an atmosphere, a mask, which is used in conjunction with a tray for accommodating a substrate for a solar cell, at a first position covering a partial area on a surface of the substrate while maintaining the mask aligned relative to the substrate or at a second position distanced from the surface of the substrate; implanting, in a vacuum, ions in a first area on the surface of the substrate while the mask is placed at the first position; and implanting, in a vacuum, ions in a second area on the surface of the substrate while the mask is placed at the second position.08-15-2013
20130210184PATTERNING - A method for patterning an article, the article comprising a first layer of a first material, a first major surface of the first layer being in intimate contact with some or all of a first major surface of a second layer of a second different material the method comprising providing a first thread carrying a first species to remove at least a portion of the first layer, and providing a second thread aligned with and adjacent the first thread and contacting the first and second threads with the first layer to remove at least part of the first layer.08-15-2013
20130210185METHOD FOR MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE - A crystalline-based silicon photoelectric conversion device comprises: an intrinsic silicon-based layer and a silicon-based layer of a first conductivity type, on one surface of a single-crystal silicon substrate of the first conductivity type; and an intrinsic silicon-based and a silicon-based layer of an opposite conductivity type, in this order on the other surface of the silicon substrate. At least one of forming the intrinsic silicon-based layer of the first conductivity type layer-side forming the intrinsic silicon-based layer of the opposite conductivity type layer-side includes: forming a first intrinsic silicon-based thin-film layer having a thickness of 1-10 nm on the silicon substrate; plasma-treating the silicon substrate in a gas containing mainly hydrogen; and forming a second intrinsic silicon-based thin-film layer on the first intrinsic silicon-based thin-film.08-15-2013
20130224895CBD (CHEMICAL BATH DEPOSITION) FILM FORMATION APPARATUS AND METHOD FOR PRODUCING BUFFER LAYER - A support-heat unit that supports and heats a substrate from the back side of the substrate, a reaction bath having an opening for supplying a CBD reaction solution for forming a film onto a front surface of the substrate, which is supported by the support-heat unit, and a reaction bath forward-backward drive unit that can press the opening onto the front surface of the substrate by moving the reaction bath toward the front surface of the substrate, which is supported by the support-heat unit, and that can detach the opening from the front surface of the substrate by moving the reaction bath away from the front surface of the substrate are provided.08-29-2013
20130230940ETCH-RESISTANT COMPOSITION AND ITS APPLICATION - An etch-resistant composition is provided. The etch-resistant composition comprises a polymer and a first organic solvent. The polymer is prepared by copolymerizing a polymerization unit comprising styrene-based monomer(s) and acrylate-based monomer(s), and has a weight average molecular weight of at least about 35,000. Based on the total weight of the etch-resistant composition, the amount of the polymer is about 20.0 to about 60.0 wt % and the amount of the solvent is about 40.0 to about 80.0 wt %. The etch-resistant composition can be used for preparing a selective emitter of a solar cell.09-05-2013
20130237000METHOD OF MANUFACTURING SOLAR CELL MODULE - An aspect of the invention is a method of manufacturing a solar cell module in which wiring members are electrically connected to front and back electrodes on front and back sides of a solar cell with resin adhesion films. The total area of the front electrode is smaller than that of the back electrode. The method includes: arranging the resin adhesion films on the front and back electrodes; arranging a first cushion sheet and a lower press member below the lower resin adhesion film and arranging a second cushion sheet being thicker than the first cushion sheet and an upper press member above the upper resin adhesion film; pressing the press members against each other thereby bonding the resin adhesion films to the solar cell; and releasing the pressure to the press members and moving the first and second cushion sheets away from the solar cell.09-12-2013
20130252367SYSTEM AND PROCESS FOR FORMING THIN FILM PHOTOVOLTAIC DEVICE - Systems and processes are disclosed for forming a thin film photovoltaic device. A process includes heating a thin film photovoltaic sub-device to an anneal temperature. The thin film photovoltaic sub-device includes a glass substrate and a transparent conductive oxide deposited on the glass substrate. The process further includes quenching the thin film photovoltaic sub-device with a quenching gas to cool the thin film photovoltaic sub-device to a quenched temperature. The quenching gas includes an inert gas.09-26-2013
20130273684PROCESS FOR THE PRODUCTION OF PHOTOVOLTAIC CELLS - The present invention is related to a process for the manufacturing of a photovoltaic cell comprising the steps of:—providing a semiconductor substrate said semiconductor substrate comprising an insulating layer on its top surface;—implanting semiconductor ions selected from the group consisting of silicon, germanium and their mixture by ionic implantation in the insulating layer for obtaining an implanted insulating layer, the ionic implantation fluence being higher than 1.1017at./cm2, the maximum semiconductor concentration in the insulating layer after implantation being higher than the solubility of the semiconductor in the insulating layer;—thermally treating the implanted insulating layer for inducing the precipitation of the semiconductor into quantum dots;—depositing at least two conducting contacts for collecting, in use, the generated current.10-17-2013
20130280844COATING APPARATUS AND COATING METHOD - A coating apparatus including a coating part which has a nozzle which ejects a liquid material including an oxidizable metal from a tip portion, and a relative driving unit which moves a substrate and the nozzle in relation to each other so that the tip portion passes through the substrate, such that at least the tip portion of the nozzle provides an affinity control part which is formed so that affinity between the affinity control part and the liquid material is less than that between the liquid materials.10-24-2013
20130280845PHOTOVOLTAIC CELL CONTAINING A POLYMER ELECTROLYTE - A photovoltaic cell comprises a membrane electrode assembly obtainable by the in situ polymerisation between two electrodes of one or more monomers to form a polymer, and then infusing an activating agent into the polymer, wherein the activating agent enables the membrane electrode assembly to function as a photovoltaic cell.10-24-2013
20130280846IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor includes a trench formed by a shallow trench isolation (STI) process, a channel stop layer formed over a substrate in the trench, an isolation structure filled in the trench, and a photodiode formed in the substrate adjacent to a sidewall of the trench. In more detail of the image sensor, a trench is formed in a substrate through a STI process, and a channel stop layer is formed over the substrate in the trench. An isolation structure is formed in the trench, and a photodiode is formed in the substrate adjacent to a sidewall of the trench.10-24-2013
20130295709METHOD FOR MANUFACTURING PHOTOELECTRIC CONVERSION ELEMENTS - “The invention provides a photoelectric conversion element manufacturing apparatus that forms a semiconductor stack film on a substrate by using microwave plasma CVD. The apparatus includes a chamber which is a enclosed space containing a base, on which the a subject substrate for thin-film formation is mounted, a first gas supply unit which supplies plasma excitation gas to a plasma excitation region in the chamber, a pressure regulation unit which regulates pressure in the chamber, a second gas supply unit which supplies raw gas to a plasma diffusion region in the chamber, a microwave application unit which applies microwaves into the chamber, and a bias voltage application unit which selects and applies a substrate bias voltage to the substrate according to the type of gas.”11-07-2013
20130316484Enhancing uniformity of slab region thickness in optical components - A method of forming an optical device includes generating a device precursor having a layer of a light-transmitting medium on a base. The method also includes forming an etch stop on the layer of light-transmitting medium. An active medium is grown on the etch stop and on the light-transmitting medium such that the light-transmitting medium is between the base and the grown active medium. The grown active medium is etched down to the etch stop so as to define a ridge in the active medium. The ridge of active medium defines a portion of a component waveguide that will guide a light signal through an active component on the device.11-28-2013
20130316485DYE ADSORPTION APPARATUS AND DYE ADSORPTION METHOD - [Problem] To significantly reduce the processing time of a step in which a coloring matter is adsorbed onto a porous semiconductor layer formed on the surface to be treated of a substrate.11-28-2013
20130323873OPTICALLY TRIGGERED SEMICONDUCTOR DEVICE AND METHOD FOR MAKING THE SAME - A thyristor device includes a semiconductor body and a conductive anode. The semiconductor body has a plurality of doped layers forming a plurality of dopant junctions and includes an optical thyristor, a first amplifying thyristor, and a switching thyristor. The conductive anode is disposed on a first side of the semiconductor body. The optical thyristor is configured to receive incident radiation to generate a first electric current, and the first amplifying thyristor is configured to increase the first electric current from the optical thyristor to at least a threshold current. The switching thyristor switches to the conducting state in order to conduct a second electric current from the anode and through the semiconductor body.12-05-2013
20130344637MASK FOR MANUFACTURING DOPANT LAYER OF SOLAR CELL, METHOD FOR MANUFACTURING DOPANT LAYER OF SOLAR CELL, AND METHOD FOR MANUFACTURING DOPANT LAYER OF SOLAR CELL USING THE MASK - Discussed is a method for manufacturing a mask for a solar cell according to an embodiment, the method including preparing a plate formed of a nonmetallic material, and irradiating the plate with a laser and forming a plurality of slits.12-26-2013
20140004644IMAGE SENSOR WITH A CURVED SURFACE01-02-2014
20140011314Thin Film Solar Cell and Method of Manufacturing the Same - Disclosed are a thin film solar cell and a method of manufacturing the thin film solar cell. The thin film solar cell according to an exemplary embodiment of the present invention thin film solar cell includes a substrate: a front electrode layer formed on the substrate; an oxide layer formed on the front electrode layer: a light absorbing layer (intrinsic layer) formed on the oxide layer; and a back electrode layer formed on the light absorbing layer, wherein the oxide layer is formed of a material selected from MoO01-09-2014
20140030839METHOD OF DIFFUSING IMPURITY-DIFFUSING COMPONENT AND METHOD OF MANUFACTURING SOLAR CELL - A method of diffusing an impurity-diffusing component including forming a first diffusing agent layer containing a first conductivity type impurity-diffusing component on the surface of a semiconductor substrate; calcining the first diffusing agent layer; forming a second diffusing agent layer containing a second conductivity type impurity-diffusing component on the surface of the semiconductor substrate excluding the region where the first diffusing agent layer is formed; and heating the semiconductor substrate at a temperature higher than the calcination temperature to diffuse the first and second conductivity type impurity-diffusing components to the semiconductor substrate.01-30-2014
20140030840SEMICONDUCTOR DEVICE, SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - Disclosed herein is a semiconductor device including an element isolation region configured to be formed on a semiconductor substrate, wherein the element isolation region is formed of a multistep trench in which trenches having different diameters are stacked and diameter of an opening part of the lower trench is smaller than diameter of a bottom of the upper trench.01-30-2014
20140045291SOLID-STATE IMAGE PICK-UP DEVICE AND MANUFACTURING METHOD THEREOF, IMAGE-PICKUP APPARATUS, SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF, AND SEMICONDUCTOR SUBSTRATE - A solid-state image pick-up device is provided which includes a semiconductor substrate main body which has an element forming layer and a gettering layer provided on an upper layer thereof; photoelectric conversion elements, each of which includes a first conductive type region, provided in the element forming layer; and a dielectric film which is provided on an upper layer of the gettering layer and which induces a second conductive type region in a surface of the gettering layer.02-13-2014
20140051199METHOD FOR PRODUCING SILICON SOLOR CELLS HAVING A FRONT-SIDED TEXTURE AND A SMOOTH REAR SIDE - Method for producing a silicon solar cell which is smoothly etched on one side, in which a front side and a rear side of a silicon substrate are etched (02-20-2014
20140093993DEVICE OF MONOLITHICALLY INTEGRATED OPTOELECTRICS - A method is disclosed for fabricating optoelectronic component structures and traditional circuit elements on a single silicon substrate. Specific examples of optoelectronic components include, but are not limited to: photodiode structures, light emitter structures and waveguide structures. Traditional circuit elements include transistors, diodes, resistors, capacitors and associated metalized interconnects. The method of fabrication is compatible with traditional CMOS, Bi-CMOS and Bipolar processing requirements and design rules. The method consists of a set of processing steps to allow hetero-epitaxial deposition of III-V compound semiconductor films on to a suitably prepared silicon surface, a set of processing steps to allow this deposited wafer to continue processing in a traditional CMOS, Bi-CMOS or Bipolar processing line without the risk of contamination, and a set of steps to allow the fabrication of p-n and p-i-n photodiode/detector structures in parallel with the traditional CMOS, Bi-CMOS or Bipolar processing flow that produces the traditional circuit elements and also a set of steps for producing dielectric waveguides and optically black isolation films. The disclosed method also allows for wafer level encapsulation and wafer level packaging of the as-fabricated integrated optoelectronic chip.04-03-2014
20140099744INTERCONNECTION METHOD FOR A MICRO-IMAGING DEVICE - A method for producing an opto-microelectronic micro-imaging device includes a step of forming a first functional part on the base of a first substrate, a base layer, and first electric connection pad. The first functional part is transferred onto a second substrate. The first substrate is thinned until the base layer is reached. A second functional part is formed on the base layer. One via is connected to the first electric connection pad and through the first functional part. The step of forming the second functional part includes connecting the via with the second electric connection pad.04-10-2014
20140099745SILICON-BASED VISIBLE AND NEAR-INFRARED OPTOELECTRIC DEVICES - In one aspect, the present invention provides a silicon photodetector having a surface layer that is doped with sulfur inclusions with an average concentration in a range of about 0.5 atom percent to about 1.5 atom percent. The surface layer forms a diode junction with an underlying portion of the substrate. A plurality of electrical contacts allow application of a reverse bias voltage to the junction in order to facilitate generation of an electrical signal, e.g., a photocurrent, in response to irradiation of the surface layer. The photodetector exhibits a responsivity greater than about 1 A/W for incident wavelengths in a range of about 250 nm to about 1050 nm, and a responsivity greater than about 0.1. A/W for longer wavelengths, e.g., up to about 3.5 microns.04-10-2014
20140106495SOLID STATE IMAGING DEVICE, METHOD OF PRODUCING SOLID STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - A solid state imaging device includes: a substrate; a photoelectric conversion unit that is formed on the substrate to generate and accumulate signal charges according to light quantity of incident light; a vertical transmission gate electrode that is formed to be embedded in a groove portion formed in a depth direction from one side face of the substrate according to a depth of the photoelectric conversion unit; and an overflow path that is formed on a bottom portion of the transmission gate to overflow the signal charges accumulated in the photoelectric conversion unit.04-17-2014
20140113397Enhancing planarization uniformity in optical devices - An optical device is formed from a device precursor having a layer of a light-transmitting medium on a base. A first feature is formed on the device precursor. The device precursor is then processed such that a stop layer protects the first feature and a portion of the device precursor is above the top of the stop layer. The first feature is between the base and the stop layer. The device precursor is planarized such that the portion of the device precursor located above the top of the stop layer becomes flush with the top of the portion of the stop layer that is present on the device precursor after the planarization. During the planarization, the stop layer acts as a planarization stop that slows or stops the rate of planarization.04-24-2014
20140120647TECHNIQUES FOR MANUFACTURING DEVICES - Techniques for manufacturing a device are disclosed. In accordance with one exemplary embodiment, the technique may be realized as a method for forming a solar cell. The method may comprise: implanting p-type dopants into a substrate via a blanket ion implantation process; implanting n-type dopants into the substrate via the blanket ion implantation process; and performing a first annealing process to form the p-type region and performing a second annealing process to form a second n-type region.05-01-2014
20140120648COMPOSITION FOR FORMING N-TYPE DIFFUSION LAYER, METHOD OF FORMING N-TYPE DIFFUSION LAYER, AND METHOD OF PRODUCING PHOTOVOLTAIC CELL - The composition for forming an n-type diffusion layer in accordance with the present invention contains a glass powder and a dispersion medium, in which the glass powder includes an donor element and a total amount of the life time killer element in the glass powder is 1000 ppm or less. An n-type diffusion layer and a photovoltaic cell having an n-type diffusion layer are prepared by applying the composition for forming an n-type diffusion layer, followed by a thermal diffusion treatment.05-01-2014
20140127849METHOD OF FABRICATING A SOLAR CELL - Methods of fabricating solar cells are described. A porous layer may be formed on a surface of a substrate, the porous layer including a plurality of particles and a plurality of voids. A solution may be dispensed into one or more regions of the porous layer to provide a patterned composite layer. The substrate may then be heated.05-08-2014
20140134776DYE ADSORPTION DEVICE AND DYE ADSORPTION METHOD - The purpose of the present invention is to improve the throughput of a dye adsorption process in which a dye is adsorbed in a porous semiconductor layer on a substrate and to improve dye use efficiency. In a dye adsorption device of the present invention, a dye solution drop-coating unit 05-15-2014
20140170795GRID FOR PLASMA ION IMPLANT - A grid for minimizing effects of ion divergence in plasma ion implant. The plasma grid is made of a flat plate having a plurality of holes, wherein the holes are arranged in a plurality of rows and a plurality of columns thereby forming beamlets of ions that diverge in one direction. A mask is used to form the implanted shapes on the wafer, wherein the holes in the mask are oriented orthogonally to the direction of beamlet divergence.06-19-2014
20140179048METHOD FOR PREPARING ABSORBING LAYER OF SOLAR CELL AND THERMAL TREATMENT DEVICE THEREOF - A method for preparing an absorbing layer of a solar cell includes the following steps. An absorbing layer precursor containing at least one group XIV element is loaded on a substrate. A solid vapor source containing a group XIV element, the same as the group XIV element in the absorbing layer precursor is provided. The solid vapor source corresponds to the absorbing layer precursor. The solid vapor source and the absorbing layer precursor are kept apart by a distance. A heating process is performed so that the absorbing layer precursor forms an absorbing layer, the solid vapor source is vaporized and generates a gas containing the group XIV element, and the gas containing the group XIV element inhibits the effusion of the group XIV element of the absorbing layer precursor so that the proportion of the group XIV element in the formed absorbing layer is consistent.06-26-2014
20140179049SILICON/GERMANIUM-BASED NANOPARTICLE PASTES WITH ULTRA LOW METAL CONTAMINATION - Silicon based nanoparticle inks are described with very low metal contamination levels. In particular, metal contamination levels can be established in the parts-per-billion range. The inks of particular interest generally comprise a polymer to influence the ink rheology. Techniques are described that are suitable for purifying polymers soluble in polar solvents, such as alcohols, with respect metal contamination. Very low levels of metal contamination for cellulose polymers are described.06-26-2014
20140206125METHOD FOR PRODUCING A SOLAR CELL - In a method for producing a solar cell having a substrate made of crystalline silicon, on a surface of the Si substrate, a locally defined n-doped emitter region is produced by full-surface cold coating of the surface using a P-containing coating, followed by a local laser beam-doping of P atoms from the P-containing coating, and subsequent thermal driving in of the P atoms, starting from the doping-in region.07-24-2014
20140206126METHODS OF GROWING HETEROEPITAXIAL SINGLE CRYSTAL OR LARGE GRAINED SEMICONDUCTOR FILMS ON GLASS SUBSTRATES AND DEVICES THEREON - A method is disclosed for making semiconductor films from a eutectic alloy comprising a metal and a semiconductor. Through heterogeneous nucleation said film is deposited at a deposition temperature on relatively inexpensive buffered substrates, such as glass. Specifically said film is vapor deposited at a fixed temperature in said deposition temperature where said deposition temperature is above a eutectic temperature of said eutectic alloy and below a temperature at which the substrate softens. Such films could have widespread application in photovoltaic and display technologies.07-24-2014
20140242741MATERIAL FOR FORMING PASSIVATION FILM FOR SEMICONDUCTOR SUBSTRATE, PASSIVATION FILM FOR SEMICONDUCTOR SUBSTRATE AND METHOD OF PRODUCING THE SAME, AND PHOTOVOLTAIC CELL ELEMENT AND METHOD OF PRODUCING THE SAME - The invention provides a material for forming a passivation film for a semiconductor substrate. The material includes a polymer compound having an anionic group or a cationic group.08-28-2014
20140248733METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE - The present invention provides a method of manufacturing a photoelectric conversion device for forming a semiconductor layer on a substrate by the plasma CVD method. The method includes a first plasma processing step in which a processing temperature reaches a first temperature; a second plasma processing step in which the processing temperature reaches a second temperature; a temperature regulating step of lowering the processing temperature to a third temperature lower than the first temperature and the second temperature after the first plasma processing step and before the second plasma processing step; and a temperature raising step of raising the processing temperature from the third temperature to the second temperature. The first plasma processing step, the temperature regulating step, the temperature raising step, and the second plasma processing step are carried out within the same reaction chamber.09-04-2014
20140256078SEMICONDUCTOR PROCESSING BY MAGNETIC FIELD GUIDED ETCHING - Methods, systems, and devices are described for slicing and shaping materials using magnetically guided chemical etching. In one aspect, a method includes forming a pattern on a substrate by a mask, depositing a catalytic etcher layer on the patterned substrate, a magnetic guide layer on the etcher layer, and a protection layer on the guide layer, etching the substrate by applying an etching solution to the substrate that chemically reacts with the etcher layer and etches material from the substrate at exposed regions not covered by the mask, steering the composite etching structure into the substrate during the etching by an applied magnetic field that creates a force on the guide layer to direct the etching, in which the steering defines the shape of the sliced regions of the etched substrate, and removing the etched material, the mask, and the composite etching structure to produce a sliced material structure.09-11-2014
20140273326METHODS FOR IMPROVING SOLAR CELL LIFETIME AND EFFICIENCY - Methods for protecting a texturized region and a lightly doped diffusion region of a solar cell to improve solar cell lifetime and efficiency are disclosed. In an embodiment, an example method includes providing a solar cell having a front side which faces the sun during normal operation and a back side opposite the front side, a silicon substrate and where the silicon substrate includes a texturized region and a lightly doped diffusion region. The method includes placing the solar cell on a receiving medium with the front side of the solar cell placed on an upper surface of the receiving medium, where the upper surface of the receiving medium prevents damage to the to the lightly doped diffusion region and damage to the texturized region on the front side of the solar cell during a contact printing process or transferring. In an embodiment, the lightly doped diffusion region has a doping concentration below 1×1009-18-2014
20140273327SOLID STATE IMAGING DEVICE, METHOD OF PRODUCING SOLID STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - A solid state imaging device includes: a substrate; a photoelectric conversion unit that is formed on the substrate to generate and accumulate signal charges according to light quantity of incident light; a vertical transmission gate electrode that is formed to be embedded in a groove portion formed in a depth direction from one side face of the substrate according to a depth of the photoelectric conversion unit; and an overflow path that is formed on a bottom portion of the transmission gate to overflow the signal charges accumulated in the photoelectric conversion unit.09-18-2014
20140273328SEMICONDUCTOR ELEMENT PRODUCING METHOD - A semiconductor device is fabricated by performing the steps of: (a) implanting dopant ions into a semiconductor base member, which is made of single-crystal Si, to define at least one of an n-type region and a p-type region in the semiconductor base member; (b) conducting a first heat treatment on the semiconductor base member, in which the n-type or p-type region has been defined, at a temperature rise/fall rate of 40° C./sec or more and with the highest temperature to reach set within the range of 1000° C. to 1200° C.; and (c) conducting a second heat treatment on the semiconductor base member, which has gone through the first heat treatment, at a lower temperature rise/fall rate than in the first heat treatment.09-18-2014
20140295607METHOD OF FORMING CONTACTS FOR A BACK-CONTACT SOLAR CELL - Methods of forming contacts for solar cells are described. In one embodiment, a method includes forming a silicon layer above a substrate, forming and patterning a solid-state p-type dopant source on the silicon layer, forming an n-type dopant source layer over exposed regions of the silicon layer and over a plurality of regions of the solid-state p-type dopant source, and heating the substrate to provide a plurality of n-type doped silicon regions among a plurality of p-type doped silicon regions.10-02-2014
20140295608METHOD OF FORMING EMITTERS FOR A BACK-CONTACT SOLAR CELL - Methods of forming emitters for back-contact solar cells are described. In one embodiment, a method includes forming a first solid-state dopant source above a substrate. The first solid-state dopant source includes a plurality of regions separated by gaps. Regions of a second solid-state dopant source are formed above the substrate by printing.10-02-2014
20140302629MANUFACTURING METHOD OF PHOTOVOLTAIC DEVICE AND MANUFACTURING APPARATUS FOR PHOTOVOLTAIC DEVICE - A manufacturing method includes a step of forming an impurity diffusion layer by diffusing an impurity element in a surface of a silicon-based substrate; and an etching step of removing the impurity diffusion layer in at least a portion of a first-surface side of the silicon-based substrate, wherein the etching step includes an etching-fluid supplying step of, on the first-surface side, supplying an etching fluid that flows to an outer edge portion of the silicon-based substrate from a supply position, and an air supplying step of, on a second-surface side, which is opposite to the first-surface side, of the silicon-based substrate, supplying air in a same direction as the etching fluid in accordance with supply of the etching fluid at the etching-fluid supplying step.10-09-2014
20140329352Photovoltaic Cell and Fabrication Method Thereof - The present structure and method for fabrication thereof provides a photovoltaic cell structure for converting light energy into electrical energy. According to one embodiment, a pillared photovoltaic cell structure comprises an array of pillars that are situated closely to each other to take advantage of both the wave-like properties and the particle-like properties of light to enhance the energy conversion efficiency of the photovoltaic cell. According to one embodiment, a pillared photovoltaic cell structure incorporating self-aligned P/P+ junctions enable holes generated near the top surface of the cell structure to be captured by the self-aligned P/P+ junctions.11-06-2014
20140335641METHOD FOR FABRICATING SENSOR - A method for fabricating a sensor includes: forming, on a base substrate, a pattern of a source electrode and a drain electrode, a pattern of a data line, a pattern of a receiving electrode, a pattern of a photodiode, and a pattern of a transparent electrode disposed by using a first patterning process; forming a pattern of an ohmic layer by using a second patterning process; forming a pattern of an active layer by using a third patterning process; forming a pattern of a gate insulating layer by using a fourth patterning process, wherein the gate insulating layer has a via hole above the transparent electrode; and forming a pattern of a gate electrode, a pattern of a gate line, and a pattern of a bias line connected to the transparent electrode via the via hole above the transparent electrode by using a fifth patterning process11-13-2014
20140342489METHOD OF MANUFACTURING SILICON-CONTAINING FILM AND METHOD OF MANUFACTURING PHOTOVOLTAIC DEVICE - A method of manufacturing a silicon-containing film includes a first step of drying cleaning a chamber with a fluorine-containing gas, a second step of loading a substrate into the chamber, a third step of purging the chamber with a silane-based gas, with the substrate being provided in the chamber, and a fourth step of forming the silicon-containing film on the substrate after the third step.11-20-2014
20140357008METHOD OF MANUFACTURING SOLAR CELL AND METHOD OF FORMING DOPING REGION - A method of manufacturing a solar cell is disclosed. The method includes forming a doping region including first and second portions having different doping concentrations by ion-implanting a dopant into a semiconductor substrate and forming an electrode connected to the doping region. In the forming of the doping region, the first and second portions are simultaneously formed by the same process using a mask that is disposed at a distance from the semiconductor substrate.12-04-2014
20140357009Process For Manufacturing A Photovoltaic Cell - A method of manufacturing a photovoltaic cell including forming a semiconductor substrate comprising opposite first and second surfaces; forming, on the first surface of the substrate, a first semiconductor area doped by implantation of first dopant elements across the substrate thickness and by thermal activation of the first implanted dopant elements at a first activation temperature; forming, on the second surface of the substrate, a second semiconductor area doped by implantation of second dopant elements across the substrate thickness and by thermal activation of the second implanted dopant elements at a second activation temperature lower than the first activation temperature; at least the thermal activation of the first dopant elements is performed by laser irradiation, the irradiation parameters being selected so that the radiation is absorbed at most down to a depth of the first micrometer of the substrate.12-04-2014
20140357010Process For Enhancing Image Quality Of Backside Illuminated Image Sensor - A method includes providing a substrate having a first surface and a second surface, the first surface being opposite the second surface, forming a light sensing region at the first surface of the substrate, forming a doped layer at the second surface of the substrate using a laser annealing process, and performing a chemical mechanical polishing process on the annealed, doped layer.12-04-2014
20140363916PROCESS BOX, ARRANGEMENTS AND METHODS FOR PROCESSING COATED SUBSTRATES - A transportable process box for processing substrates coated on one side is described. The box has a base for the placement of a first substrate in a manner such that the latter is supported over the full area, a frame, a cover which is placed onto the frame, and an intermediate element which is arranged between the base and the cover and is intended for the placement of a second substrate in a manner such that the latter is supported over the full area. Arrangements and methods for processing substrates are also described.12-11-2014
20140363917DEPLETION-MODE FIELD-EFFECT TRANSISTOR-BASED PHOTOTRANSISTOR - A depletion-mode phototransitor is disclosed. The phototransistor having a substrate, a gate, a source, a drain and a channel. The source, drain and channel are doped to be the same type of semiconductor. The substrate can be made of silicon and/or germanium. The gate can be made of either aluminum or polysilicon.12-11-2014
20140370640HIGH FIDELITY DOPING PASTE AND METHODS THEREOF - A high-fidelity dopant paste is disclosed. The high-fidelity dopant paste includes a solvent, a set of non-glass matrix particles dispersed into the solvent, and a dopant.12-18-2014
20140370641PROCESSING PHOTOVOLTAIC SUBSTRATES - A method for processing a coated glass substrate may include a high-temperature activation process.12-18-2014
20150011036Method for manufacturing a solar cell - The invention relates to a method for manufacturing a solar cell from a semiconductor substrate of a first conductivity type, the semiconductor substrate having a front side and a back side, the method comprising in this sequence: creating by diffusion of a dopant of a second conductivity type a second conductivity-type doped layer in the front side and the back side, during diffusion forming of a dopant containing glassy layer on the front and back side; removing the second conductivity-type doped layer and the dopant containing glassy layer from the back side by a single sided etching process, while maintaining the dopant-containing glassy layer in the front side; creating a Back Surface Field (BSF) layer of the first conductivity type on the back side by implantation of a dopant of the first conductivity type into the back side; removing the dopant containing glassy layer from the front side of said substrate by an etching process; surface oxidation by heating said substrate for a predetermined period of time and to a predetermined temperature in oxidizing atmosphere to form passivation layers on the front side and the back side.01-08-2015
20150017754COMPOSITION FOR FORMING N-TYPE DIFFUSION LAYER, METHOD FOR PRODUCING SEMICONDUCTOR SUBSTRATE HAVING N-TYPE DIFFUSION LAYER, AND METHOD FOR PRODUCING SOLAR CELL ELEMENT - The invention provides composition for forming an n-type diffusion layer, the composition comprising a compound containing a donor element, a dispersing medium, and an organic filler; a method for producing a semiconductor substrate having an n-type diffusion layer; and a method for producing a photovoltaic cell element.01-15-2015
20150024538VAPOR DISPENSING APPARATUS AND METHOD FOR SOLAR PANEL - An apparatus includes a manifold coupled to a vapor source, the manifold having a plurality of nozzles, an inner cylinder, and an outer cylinder containing the inner cylinder with a space defined between the inner and outer cylinders. One of the inner cylinder or outer cylinder is rotatable with respect to the other of the inner cylinder or outer cylinder. The outer cylinder has an inlet coupled to the manifold to receive vapor from the nozzles. The outer cylinder has an outlet for dispensing the vapor.01-22-2015
20150037922TECHNIQUES FOR IMPROVED IMPRINTING OF SOFT MATERIAL ON SUBSTRATE USING STAMP INCLUDING UNDERFILLING TO LEAVE A GAP AND PULSING STAMP - A method for imparting a pattern to a flowable resist material on a substrate entails providing a resist layer so thin that during a stamp wedging process, the resist never completely fills the space between the substrate and the bottom surface of a stamp between wedge protrusions, leaving gap everywhere therebetween. A gap remains between the resist and the extended surface of the stamp. If the resist layer as deposited is somewhat thicker than the targeted amount, it will simply result in a smaller gap between resist and tool. The presence of a continuous gap assures that no pressure builds under the stamp. Thus, the force on the protrusions i determined only by the pressure above the stamp and is well controlled, resulting in well-controlled hole sizes. The gap prevents resist from being pumped entirely out of any one region, and thus prevents any regions from being uncovered of resist. The stamp can be pulsed in its contact with the substrate, repeatedly deforming the indenting protrusions. Several pulses clears away any scum layer better than does a single press, as measured by an etch test comparison of the degree to which a normal etch for a normal duration etches away substrate material. A method for imparting a pattern to a flowable resist material on a substrate entails providing a resist layer so thin that during a stamp wedging process, the resist never completely fills the space between the substrate and the bottom surface of a stamp between wedge protrusions, leaving a gap everywhere therebetween. A gap remains between the resist and the extended surface of the stamp.02-05-2015
20150044809METHOD FOR DEPOSITING PARTICLES ONTO A SUBSTRATE, INCLUDING A STEP OF STRUCTURING A PARTICLE FILM ON A LIQUID CONVEYOR - A method for depositing particles on a substrate, or a running substrate, including: (a) producing at least one first compact film of particles floating on a carrier liquid provided in a transfer area having an outlet of particles arranged facing the substrate; (b) producing at least one pattern by depositing a substance on the first film in the transfer area, along a contour of the pattern, the substance maintaining the particles of the film together in contact with the substance; (c) removing at least one portion of the particles of the first film located interiorly relatively to the contour, or exteriorly relatively to the contour; and then (d) transferring patterns onto the substrate through the outlet of particles.02-12-2015
20150056734METHOD FOR SEPARATION BETWEEN AN ACTIVE ZONE OF A SUBSTRATE AND ITS BACK FACE OR A PORTION OF ITS BACK FACE - A Method for making a separation between an active zone of a substrate located on its front face from a given portion of the substrate located on its back face, wherein trenches and cavities wider than the trenches are formed to extend said trenches, such that at least one given cavity formed to extend a given trench is adjacent to another cavity, and when the cavities have been filled with a given material, they form a separation zone between said active zone and a given portion of the substrate that will be removed later.02-26-2015
20150079717APPARATUS AND METHODS FOR FABRICATING SOLAR CELLS - A method for fabricating a solar cell generally comprises delivering a solar cell substructure to a chamber. Electromagnetic radiation is generated using a wave generating device that is coupled to the chamber such that the wave generating device is positioned proximate to the solar cell substructure. The electromagnetic radiation is applied onto at least a portion of the solar cell substructure to facilitate the diffusion of at least one metal element through at least a portion of the solar cell substructure such that a semiconductor interface is formed between at least two different types of semiconductor materials of the solar cell substructure.03-19-2015
20150087100METHOD OF FORMING EMITTERS FOR A BACK-CONTACT SOLAR CELL - Methods of forming emitters for back-contact solar cells are described. In one embodiment, a method includes forming a first solid-state dopant source above a substrate. The first solid-state dopant source includes a plurality of regions separated by gaps. Regions of a second solid-state dopant source are formed above the substrate by printing.03-26-2015
20150104896HOLLOW CATHODE SYSTEM, DEVICE AND METHOD FOR THE PLASMA-ASSISTED TREATMENT OF SUBSTRATES - A hollow cathode system, a device and a method for the plasma-assisted treatment of substrates includes at least one hollow cathode, which can be connected to a power supply. The hollow cathode includes an electrically conducting main body with an opening which is bounded by ribs, follows a spiral or meandering path and allows a gas to pass through in a direction perpendicular to a surface of the main body. Connecting bridge elements are provided on the ribs. The bridge elements serve ensure mechanical stability of the hollow cathode and optimize potential distribution of the hollow cathode. With the hollow cathode system, high treatment rates are achieved for homogeneous treatment of substrates of a large surface area with high plasma stability.04-16-2015
20150125985ETCHING FLUID AND PRODUCTION METHOD FOR SILICON-BASED SUBSTRATE USING SAME - It is the object of the present invention to provide an alkali etching solution for solar cell manufacturing, which is capable of forming uniformly a fine hubbly structure throughout a whole wafer on the surface of a wafer having a silicon as a main component, and still more is applicable to various wafers; and a method for manufacturing a silicon-based substrate for solar cell manufacturing, using the etching solution. The present invention relates to the alkali etching solution for solar cell manufacturing, comprising (A) a mono- or disulfonic acid or a salt thereof represented by the general formula [1], (B) an alkali compound, and (C) water; and a method for manufacturing a silicon-based substrate for solar cell manufacturing, characterized by etching a wafer having a silicon as a main component, using the etching solution, to form a hubbly structure at the surface of the wafer:05-07-2015
20150125986METHOD OF MANUFACTURING SOLAR CELL - In a processing of immersing substrates in a chemical solution, and agitating the chemical solution by as bubbles or liquid, the gas bubbles or liquid is supplied so as to bring about alternate occurrence of a first state and a second state. The first state is a state in which an amount of the gas bubbles or the liquid supplied to first side in one direction of each substrate is greater than an amount of the gas bubbles or the liquid supplied to a second side in the one direction of the substrate. The second state is a state in which the amount of the gas bubbles or the liquid supplied to the first side in the one direction of the substrate is smaller than the amount of the gas bubbles or the liquid supplied to the second side in the one direction of the substrate.05-07-2015
20150140718DIFFUSING AGENT COMPOSITION, AND METHOD FOR FORMING AN IMPURITY DIFFUSION LAYER - A diffusing agent composition including a condensation product and an impurity diffusion component. The condensation product is a reaction product resulting from hydrolysis of an alkoxysilane. The impurity diffusion component is a monoester or diester of phosphoric acid, or a mixture thereof.05-21-2015
20150295123COMPOSITIONS AND PROCESSES FOR FABRICATION OF REAR PASSIVATED SOLAR CELLS - Compositions used in, and methods for, fabricating a rear-passivated silicon solar cell are described. A novel method of opening the back surface for contacting the silicon with a conventional aluminum paste is described. Various novel screen printable etch resists are described. First, such an etch resist can be printed on the rear of a rear-passivated solar cell wafer. The passivation layer can then be removed using a wet etch, and next the etch resist layer can be removed. Further, an additional wet etch step may optionally be used to deepen the opening into the silicon and enhance the BSF (back-surface field). Aluminum paste can then be printed over the entire backside of the now etched cell. The entire cell is then fired. In exemplary embodiments of the present invention, the paste can be chosen so that it will not fire through the passivation layer.10-15-2015
20150311378ALUMINUM OXIDE PASSIVATION AND DAMAGE REMOVAL FOR SOLAR CELLS - The present application provides effective and efficient structures and methods for the formation of solar cell base and emitter regions and passivation layers using laser processing. Laser absorbent passivation materials are formed on a solar cell substrate and patterned using laser ablation to form base and emitter regions. Laser damage to the solar cell substrate is removed using an etch.10-29-2015
20150318432TUBULAR PHOTOVOLTAIC DEVICE AND METHOD OF MAKING - A tubular photovoltaic device capable of collecting light from a variety of angles is disclosed. The tubular photovoltaic device is sealed at an end with a sealing ring and hermetic sealing cap. Novel deposition electrodes and processes for depositing thin films inside a tubular substrate are also disclosed.11-05-2015
20150357506EMITTER DIFFUSION CONDITIONS FOR BLACK SILICON - In some cases, it is desirable to perform doping when manufacturing a solar cell to improve efficiency. Dopant diffusion may include the steps of: (a) an initial temperature ramp, (b) dopant vapor flow, (c) drive-in, and (d) cool down. However, doping may result in excessive doping, such as in regions where the solar cell has been nanoscale textured to provide black silicon, thereby creating a dead zone with excessive recombination of charge carriers. In the systems and method discussed herein, dopant vapor flow and drive-in steps may be performed at two different temperature set points to minimize or eliminate the formation of dead zones. In some embodiments, the dopant vapor flow may be performed at a lower temperature set point than the drive-in.12-10-2015
20150367382Method for Durably Bonding Functional Layers to Surfaces - A new method for durably bonding layers of a functional material to surfaces physically and chemically bonds solid layer lubricants and other functional coatings to a substrate surface by first applying a bond layer of a selected substantially binder-free soft material onto the substrate surface by, for example, burnishing, and then applying the functional layer onto the bond layer. Example soft materials for the bond layer include soft oxides such as antimony trioxide and example solid layer lubricants include graphite, molybdenum disulfide and mixtures of such lubricants. The new method is a major improvement over conventional bonding or coating methods. The process is non-vacuum at ambient temperatures and requires no binders, adhesives, curing or baking. Lubricant performance is enhanced by orders of magnitude compared to conventional approaches. The method is inexpensive, environmentally friendly, applicable to almost any substrate material and scalable.12-24-2015
20150372184METHOD OF MANUFACTURING SOLAR BATTERY CELL - The present invention provides a boron diffusion layer forming method capable of sufficiently oxidizing a boron silicide layer formed on a silicon substrate to remove it and obtaining a high-quality boron silicate glass layer. The present invention is a boron diffusion layer forming method of forming a boron diffusion layer on a silicon substrate by a boron diffusion process, the process including a first step of thermally diffusing boron on the silicon substrate and a second step of oxidizing a boron silicide layer formed on the silicon substrate at the first step, wherein the second step has a state at a temperature of 900° C. or higher and a treatment temperature at the first step or lower, for 15 minutes or more.12-24-2015
20160005889SOLAR CELL ELECTRODE - A method of manufacturing a solar cell electrode comprising steps of: preparing a semiconductor substrate, applying a conductive paste onto the light receiving side of the semiconductor substrate, wherein the conductive paste comprises (i) a conductive powder, (ii) a glass frit, (iii) an organic polymer comprising an elastomer and (iv) an organic solvent; and firing the applied to conductive paste.01-07-2016
20160043253Methods for Manufacturing Three-Dimensional Metamaterial Devices with Photovoltaic Bristles - Various stamping methods may reduce defects and increase throughput for manufacturing metamaterial devices. Metamaterial devices with an array of photovoltaic bristles, and/or vias, may enable each photovoltaic bristle to have a high probability of photon absorption. The high probability of photon absorption may lead to increased efficiency and more power generation from an array of photovoltaic bristles. Reduced defects in the metamaterial device may decrease manufacturing cost, increase reliability of the metamaterial device, and increase the probability of photon absorption for a metamaterial device. The increase in manufacturing throughput and reduced defects may reduce manufacturing costs to enable the embodiment metamaterial devices to reach grid parity.02-11-2016
20160056202Isolation for Semiconductor Devices - A system and method for isolating semiconductor devices is provided. An embodiment comprises an isolation region that is laterally removed from source/drain regions of semiconductor devices and has a dielectric material extending over the isolation implant between the source/drain regions. The isolation region may be formed by forming an opening through a layer over the substrate, depositing a dielectric material along the sidewalls of the opening, implanting ions into the substrate after the deposition, and filling the opening with another dielectric material.02-25-2016
20160071899METHOD FOR FORMING IMAGE-SENSOR DEVICE - A method for forming an image-sensor device is provided. The method includes providing a first semiconductor substrate having a first surface and a second surface opposite to the first surface. The method includes forming a device layer over the first surface of the first semiconductor substrate. The method includes bonding the first semiconductor substrate to a second semiconductor substrate after the formation of the device layer. The second surface faces the second semiconductor substrate. The method includes forming a diffusion layer between the first semiconductor substrate and the second semiconductor substrate. The diffusion layer has a dopant concentration gradient that increases in a direction from the first semiconductor substrate toward the second semiconductor substrate.03-10-2016
20160072001METHOD FOR FABRICATING CRYSTALLINE PHOTOVOLTAIC CELLS - A method for fabricating a crystalline semiconductor photovoltaic cell is disclosed. In one aspect, the method includes depositing a dielectric layer at first predetermined locations on a surface of a semiconductor substrate. The method further includes growing a doped epitaxial layer at second predetermined locations on a surface of the semiconductor substrate, the second predetermined locations being different from and non-overlapping with the first predetermined locations. The method further includes maintaining the dielectric layer as a surface passivation layer in the photovoltaic cell. The method also includes forming an emitter region, a back surface field region or a front surface field region of the photovoltaic cell from the doped epitaxial layer.03-10-2016
20160079465PHOTO CELL DEVICES FOR PHASE-SENSITIVE DETECTION OF LIGHT SIGNALS - Embodiments relate to photo cell devices. In one embodiment, a trench-based photo cells provides very fast capture of photo-generated charge carriers, particularly when compared with conventional approaches, as the trenches of the photo cells create depleted regions deep within the bulk of the substrate that avoid the time-consuming diffusion of carriers.03-17-2016
20160093763DAMAGE FREE LASER PATTERNING OF TRANSPARENT LAYERS FOR FORMING DOPED REGIONS ON A SOLAR CELL SUBSTRATE - The laser patterning methods utilizing a laser absorbent hard mask in combination with wet etching to form patterned solar cell doped regions which may further improve cell efficiency by completely avoiding laser ablation of an underlying semiconductor substrate associated with ablation of an overlying transparent passivation layer.03-31-2016
20160099169MECHANISMS FOR FORMING RADIO FREQUENCY (RF) AREA OF INTEGRATED CIRCUIT STRUCTURE - The methods for forming a radio frequency area of an integrated circuit are provided. The method includes forming a buried oxide layer over a substrate, and an interface layer is formed between the substrate and the buried oxide layer. The method also includes etching through the buried oxide layer and the interface layer to form a deep trench, and a bottom surface of the deep trench is level with a bottom surface of the interface layer. The method further includes forming an implant region directly below the deep trench and forming an interlayer dielectric layer in the deep trench.04-07-2016
20160111583ETCHING PROCESSES FOR SOLAR CELL FABRICATION - A method of fabricating a solar cell can include forming a first dopant region over a silicon substrate and an oxide region over the first dopant region. In an embodiment, the oxide region can protect the first dopant region from a first etching process. In an embodiment, a second dopant region can be formed over the silicon substrate, where a mask can be formed to protect a first portion of the second dopant region from the first etching process. In an embodiment, the first etching process can be performed to expose portions of the silicon substrate and/or a silicon region. A second etching process can be performed to form a trench region to separate a first and second doped region of the solar cell. A third etching process can be performed to remove contaminants from the solar cell and remove any remaining portions of the oxide region.04-21-2016
20160181311METHOD OF PRODUCING SEMICONDUCTOR EPITAXIAL WAFER, SEMICONDUCTOR EPITAXIAL WAFER, AND METHOD OF PRODUCING SOLID-STATE IMAGE SENSING DEVICE06-23-2016
20160181312METHOD OF PRODUCING SEMICONDUCTOR EPITAXIAL WAFER, SEMICONDUCTOR EPITAXIAL WAFER, AND METHOD OF PRODUCING SOLID-STATE IMAGE SENSING DEVICE06-23-2016
20160181313METHOD OF PRODUCING SEMICONDUCTOR EPITAXIAL WAFER, SEMICONDUCTOR EPITAXIAL WAFER, AND METHOD OF PRODUCING SOLID-STATE IMAGE SENSING DEVICE06-23-2016
20160197225Device of monolithically integrated optoelectrics07-07-2016
20160204301SOLAR CELL MANUFACTURING METHOD07-14-2016
20180025912P-TYPE IMPURITY-DIFFUSING COMPOSITION, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING SAID COMPOSITION, SOLAR CELL, AND METHOD FOR MANUFACTURING SAID SOLAR CELL01-25-2018
20190148321INTEGRATION AND BONDING OF MICRO-DEVICES INTO SYSTEM SUBSTRATE05-16-2019
20220140176DESIGN AND METHOD FOR INTEGRATING A DISPENSABLE LIGHT TRANSMISSIBLE APERTURE IN THE CAP OF A THIN LIGHT SENSOR MODULE - A method of making a light sensor module includes connecting a light sensing circuit to an interconnect on a substrate, and forming a cap. The cap is formed by producing a cap substrate from material opaque to light to have an opening formed therein, placing the cap substrate top-face down, dispensing a light transmissible material into the opening, compressing the light transmissible material using a hot tool to thereby cause the light transmissible material to fully flow into the opening to form at a light transmissible aperture, and placing the cap substrate into a curing environment. A bonding material is dispensed onto the substrate. The cap is picked up and placed onto the substrate positioned such that the light transmissible aperture is aligned with the light sensing circuit, with the bonding material bonding the cap to the substrate to thereby form the light sensor module.05-05-2022

Patent applications in class Responsive to electromagnetic radiation

Patent applications in all subclasses Responsive to electromagnetic radiation

Website © 2025 Advameg, Inc.