Entries |
Document | Title | Date |
20080207007 | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films - The present invention is a process of plasma enhanced cyclic chemical vapor deposition of silicon nitride, silicon carbonitride, silicon oxynitride, silicon carboxynitride, and carbon doped silicon oxide from alkylaminosilanes having Si—H | 08-28-2008 |
20080214017 | Forming Method and Forming System for Insulation Film - A gate insulation film ( | 09-04-2008 |
20080242110 | Capping Layer Formation Onto a Dual Damescene Interconnect - A process for the formation of a capping layer on a conducting interconnect for a semiconductor device is provided, the process comprising the steps of: (a) providing one or more conductors in a dielectric layer, and (b) depositing a capping layer on an upper surface of at least some of the one or more conductors, characterised in that the process further includes: (c) the step of, prior to depositing the capping layer, reacting the dielectric layer with an organic compound in a liquid phase, the said organic compound having the following general formula: (I) where X is a functional group, R is an organic group or a organosiloxane group, Y1 is either a functional group or an organic group or organosiloxane group, and Y2 is either a functional group or an organic group or organosiloxane group, and where the functional group(s) is/are independently selected from the following: NH2, a secondary amine, a tertiary amine, acetamide, trifluoroacetamide, imidazole, urea, OH, an alkyoxy, acryloxy, acetate, SH, an alkylthiol, sulfonate, methanosulfonate, and cyanide, and salts thereof. | 10-02-2008 |
20080242111 | Atomic layer deposition of strontium oxide via n-propyltetramethyl cyclopentadiendyl precursor - A method of depositing oxide materials on a substrate is provided. A deposition chamber holds the substrate, where the substrate is at a specified temperature, and the chamber has a chamber pressure and wall temperature. A precursor molecule containing a cation material atom is provided to the chamber, where the precursor has a line temperature and a source temperature. An oxidant is provided to the chamber, where the oxidant has a source flow rate. Water is provided to the chamber, where the water has a source temperature. By alternating precursor pulses, the water and the oxidant are integrated with purges of the chamber to provide low contamination levels and high growth rates of oxide material on the substrate, where the pulses and the purge have durations and flow rates. A repeatable growth cycle includes pulsing the precursor, purging the chamber, pulsing the water, pulsing the oxidant, and purging the chamber. | 10-02-2008 |
20080254643 | STRUCTURE TO IMPROVE ADHESION BETWEEN TOP CVD LOW-K DIELECTRIC AND DIELECTRIC CAPPING LAYER - An interconnect structure in which the adhesion between an upper level low-k dielectric material, such as a material comprising elements of Si, C, O, and H, and an underlying diffusion capping dielectric, such as a material comprising elements of C, Si, N and H, is improved by incorporating an adhesion transition layer between the two dielectric layers. The presence of the adhesion transition layer between the upper level low-k dielectric and the diffusion barrier capping dielectric can reduce the chance of delamination of the interconnect structure during the packaging process. The adhesion transition layer provided herein includes a lower SiO | 10-16-2008 |
20080299781 | METHOD OF FORMING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - The present invention provides a semiconductor device having an improved silicon oxide film as a gate insulation film of a Metal Insulator Semiconductor structure and a method of making the same. | 12-04-2008 |
20080318439 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor wafer is placed in a chamber of a film-deposition apparatus, and gas in the chamber is exhausted from a gas exhaust outlet. Then, with interrupting the exhaust, an inert gas is introduced into the chamber so that the chamber has a pressure of 133 Pa or higher and lower than 101325 Pa, and then a mixed gas of an inert gas and a source gas for depositing a metal oxide film is introduced into the chamber. Then, after exhausting the gas in the chamber, an oxidation gas is introduced into the chamber to react with the molecules of the source gas absorbed on the semiconductor wafer to form a metal oxide film on the semiconductor wafer. By repeating these steps, a metal oxide film having a desired film thickness is deposited on the semiconductor wafer with a film-thickness distribution by an ALD method. | 12-25-2008 |
20090035948 | Substrate processing apparatus, heating apparatus for use in the same, method of manufacturing semiconductors with those apparatuses, and heating element supporting structure - A substrate treating device comprising a treatment chamber for storing and treating substrates and a heating device having a heating element and a heat insulator and heating the substrates in the treatment chamber by the heating element. The heating element is so formed that only its one end is held by a holding part, and a projection projected to the treatment chamber side at the intermediate part of the heating element and positioned in proximity to or in contact with the heating element is formed on the heat insulator. A pin with an enlarged part is passed through the heating element and the heat insulator at the intermediate part of the heating element and The enlarged part is positioned in proximity to or in contact with the heating element. The plurality of projections may be formed on the heat insulator and the pins may be disposed between these plurality of projections. | 02-05-2009 |
20090047798 | METHOD OF FORMING HIGH DIELECTRIC CONSTANT FILMS USING A PLURALITY OF OXIDATION SOURCES - A method is provided for depositing a high dielectric constant (high-k) film for integrated circuits (ICs) by atomic layer deposition (ALD) or chemical vapor deposition (CVD). The method includes exposing a substrate to one or more metal precursors and plurality of oxidation sources to deposit a high-k film with a desired thickness and tailored properties. The plurality of oxidation sources contain a first oxidation source containing H | 02-19-2009 |
20090061648 | Method of manufacturing semiconductor device and substrate processing apparatus - A method of manufacturing a semiconductor device includes the steps of loading a substrate into a processing chamber; processing the substrate by supplying plural kinds of reaction substances into the processing chamber multiple number of times; and unloading the processed substrate from the processing chamber, wherein at least one of the plural kinds of reaction substances contains a source gas obtained by vaporizing a liquid source by a vaporizing part; in the step of processing the substrate, vaporizing operation of supplying the liquid source to the vaporizing part and vaporizing the liquid source is intermittently performed, and at least at a time other than performing the vaporizing operation of the liquid source, a solvent capable of dissolving the liquid source is flown to the vaporizing part at a first flow rate; and at a time other than performing the vaporizing operation of the liquid source and every time performing the vaporizing operation of the liquid source prescribed number of times, the solvent is flown to the vaporizing part at a second flow rate larger than the first flow rate. | 03-05-2009 |
20090068851 | SUSCEPTOR, MANUFACTURING APPARATUS FOR SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE - A susceptor of the present invention includes an inner susceptor having a diameter smaller than a diameter of a wafer w and a protruding part for placing the wafer w on a surface thereof, and an outer susceptor having an opening in the central portion thereof, a first step section for placing the inner susceptor so as to block the opening and a second step section provided above the first step section for placing the wafer. | 03-12-2009 |
20090081885 | DEPOSITION SYSTEM FOR THIN FILM FORMATION - A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material, wherein one or more of the gas flows provides a pressure that at least contributes to the separation of the surface of the substrate from the face of the delivery head. A system capable of carrying out such a process is also disclosed. | 03-26-2009 |
20090088001 | Substrate processing apparatus and manufacturing method of semiconductor device - To provide a large amount of processing gas to substrates. There are provided a processing chamber that stores stacked substrates; a gas supply part provided in the processing chamber along a stacking direction of the substrates, having a plurality of opening parts, for supplying a desired processing gas horizontally to surfaces of the substrates from the opening parts; and an exhaust port that exhausts an atmosphere in the processing chamber, having an upper wall and a lower wall opposed to each other across the opening parts, respectively provided on upper/lower sides of each of the opening parts of the gas supply part, and an interval between the upper wall and the lower wall opposed to each other across the opening parts being set to be gradually larger toward a supply direction of the processing gas. | 04-02-2009 |
20090093128 | METHODS FOR HIGH TEMPERATURE DEPOSITION OF AN AMORPHOUS CARBON LAYER - Methods for high temperature deposition an amorphous carbon film with improved step coverage are provided. In one embodiment, a method for of depositing an amorphous carbon film includes providing a substrate in a process chamber, heating the substrate at a temperature greater than 500 degrees Celsius, supplying a gas mixture comprising a hydrocarbon compound and an inert gas into the process chamber containing the heated substrate, and depositing an amorphous carbon film on the heated substrate having a stress of between 100 mega-pascal (MPa) tensile and about 100 mega-pascal (MPa) compressive. | 04-09-2009 |
20090093129 | Gas Baffle and Distributor for Semiconductor Processing Chamber - Apparatus and methods for distributing gas in a semiconductor process chamber are provided. In an embodiment, a gas distributor for use in a gas processing chamber comprises a body. The body includes a baffle with a gas deflection surface to divert the flow of a gas from a first direction to a second direction. The gas deflection surface comprises a concave surface. The concave surface comprises at least about | 04-09-2009 |
20090093130 | Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device - A method of manufacturing a semiconductor device includes the steps of: preparing an underlying structure having a silicon carbide layer covering a copper wiring, and growing silicon oxycarbide on the underlying structure by vapor deposition using, as source gas, tetramethylcyclotetrasiloxane, carbon dioxide gas and oxygen gas, a flow rate of said oxygen gas being at most 3% of a flow rate of the carbon dioxide gas. The surface of the silicon carbide layer of the underlying structure may be treated with a plasma of weak oxidizing gas which contains oxygen and has a molecular weight larger than that of O | 04-09-2009 |
20090093131 | Low-temperature catalyzed formation of segmented nanowire of dielectric material - The present invention discloses a method of forming a segmented nanowire including: providing a substrate; pre-cleaning the substrate; pre-treating the substrate; forming and placing a catalyst over the substrate; and forming the segmented nanowire over the catalyst with recurring pulses of plasma-enhanced chemical vapor deposition (PECVD) of a dielectric material. | 04-09-2009 |
20090104788 | METHOD OF PRODUCING INSULATOR THIN FILM, INSULATOR THIN FILM, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE - A method of producing an insulator thin film, for forming a thin film on a substrate by use of the atomic layer deposition process, includes a first step of forming a silicon atomic layer on the substrate and forming an oxygen atomic layer on the silicon atomic layer, and a second step of forming a metal atomic layer on the substrate and forming an oxygen atomic layer on the metal atomic layer, wherein the concentration of the metal atoms in the insulator thin film is controlled by controlling the number of times the first step and the second step are carried out. | 04-23-2009 |
20090130859 | Semiconductor Device Manufacturing Method and Substrate Processing Apparatus - Productivity and product yield, as well as the step coverage and the adhesion are improved. A film forming process includes an initial film forming step, and a main film forming step. In the initial film forming step, a step of supplying a material gas into a processing chamber to adsorb the material gas on the substrate, and a step of supplying a first reaction gas not containing oxygen atoms into the processing chamber to cause a reaction with the material gas adsorbed on the substrate in order to from a thin film on the substrate, are repeated multiple cycles to form the thin film with the specified thickness on the substrate. In the main film forming step, a step of supplying a material gas into the processing chamber to adsorb the material gas on the substrate, and a step of supplying a second reaction gas containing oxygen atoms into the processing chamber to cause a reaction with the material gas adsorbed on the substrate in order to form a thin film on the substrate, are repeated multiple cycles, to form the thin film with a specified thickness on the thin film that was formed on the substrate in the initial film forming step. | 05-21-2009 |
20090130860 | Method of manufacturing a semiconductor device and processing apparatus - To remove the deposit including a high dielectric constant film deposited on an inside of a processing chamber, by using a cleaning gas activated only by heat. The method includes the steps of: loading a substrate or a plurality of substrates into the processing chamber; performing processing to deposit the high dielectric constant film on the substrate by supplying processing gas into the processing chamber; unloading the processed substrate from the inside of the processing chamber; and cleaning the inside of the processing chamber by supplying a halide gas and an oxygen based gas into the processing chamber, and removing the deposit including the high dielectric constant film deposited on the inside of the processing chamber, and in the step of cleaning the inside of the processing chamber, the concentration of the oxygen based gas in the halide gas and the oxygen based gas is set to be less than 7%. | 05-21-2009 |
20090130861 | DUAL DAMASCENE INTEGRATION STRUCTURES AND METHOD OF FORMING IMPROVED DUAL DAMASCENE INTEGRATION STRUCTURES - Methods of densifying a porous ultra-low-k (ULK) dielectric material by using gas-cluster ion-beam processing are disclosed. Methods for gas-cluster ion-beam etching, densification, pore sealing and ashing are described that allow simultaneous removal of material and densification of the ULK interfaces. A novel ULK dual damascene structure is disclosed with densified interfaces and no hard-masks. | 05-21-2009 |
20090142934 | METHOD OF FORMING SEMICONDUCTOR DEVICE HAVING NANOTUBE STRUCTURES - A semiconductor device having upright dielectric nanotubes at an inter-layer dielectric level and method of manufacturing such a device is disclosed. The use of a catalyst is proposed in the disclosed manufacturing flow that facilitates growth of upright dielectric nanotubes having ultra low-k values that form all or part of the dielectric material for an ILD. In one embodiment, carbon nanotubes form interlayer conducting vias. In another embodiment dielectric material nanotubes form reinforcing pillars. The integration of catalysts is proposed to accommodate both upright dielectric and upright conducting nanotube fabrication in the same layer. | 06-04-2009 |
20090149032 | Method for manufacturing semiconductor device and substrate processing apparatus - The present invention suppresses metallic contamination in a processing chamber and a breakage of a quartz member, while suppressing decrease in film formation rate in a thin film formation process immediately after dry cleaning of the inside of the processing chamber, and enhances the operation rate of a apparatus. The method according to the invention includes the steps of: removing the thin film on the inside of the processing chamber by supplying a fluorine gas solely or a fluorine gas diluted by an inert gas solely, as the cleaning gas, to the inside of the processing chamber heated to a first temperature; and removing an adhered material remaining on the inside of the processing chamber after removing the thin film by supplying a fluorine gas solely or a fluorine gas diluted by an inert gas solely, as the cleaning gas, to the inside of the processing chamber heated to a second temperature. | 06-11-2009 |
20090149033 | SYSTEMS AND METHODS FOR FORMING METAL OXIDE LAYERS - A method of forming (and apparatus for forming) a metal oxide layer, preferably a dielectric layer, on a substrate, particularly a semiconductor substrate or substrate assembly, using a vapor deposition process and ozone with one or more metal organo-amine precursor compounds. | 06-11-2009 |
20090163037 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - Provided is a substrate processing apparatus which is capable of suppressing the erosion of a metal member installed inside the processing chamber. The substrate processing apparatus includes: a processing chamber for performing a processing of forming a high dielectric constant film on a substrate; a processing gas supply system for supplying a processing gas into the processing chamber in order to form the high dielectric constant film; and a cleaning gas supply system for supplying a cleaning gas, which comprises a halogen-based gas except for a fluorine-based gas, into the processing chamber in order to remove materials including the high dielectric constant film deposited on the inside of the processing chamber, wherein a metal member is installed inside the processing chamber, and a DLC film is formed on at least a part of a surface of the metal member where the cleaning gas contacts. | 06-25-2009 |
20090176379 | Semiconductor Processing Methods, And Methods For Forming Silicon Dioxide - Some embodiments include methods for semiconductor processing. A semiconductor substrate may be placed within a reaction chamber. The semiconductor substrate may have an inner region and an outer region laterally outward of said inner region, and may have a deposition surface that extends across the inner and outer regions. The semiconductor substrate may be heated by radiating thermal energy from the outer region to the inner region. The heating may eventually achieve thermal equilibrium. However, before thermal equilibrium of the outer and inner regions is reached, and while the outer region is warmer than the inner region, at least two reactants are sequentially introduced into the reaction chamber. The reactants may together form a single composition on the deposition surface through a quasi-ALD process. | 07-09-2009 |
20090197426 | Process for Preparing a Dielectric Interlayer Film Containing Silicon Beta Zeolite - A process for forming a zeolite beta dielectric layer onto a substrate such as a silicon wafer has been developed. The zeolite beta is characterized in that it has an aluminum concentration from about 0.1 to about 2.0 wt. %, and has crystallites from about 5 to about 40 nanometers. The process involves first dealuminating a starting zeolite beta, then preparing a slurry of the dealuminated zeolite beta followed by coating a substrate, e.g. silicon wafer with the slurry, heating to form a zeolite beta film and treating the zeolite beta with a silylating agent. | 08-06-2009 |
20090203222 | METHOD OF FORMING DIELECTRIC FILMS, NEW PRECURSORS AND THEIR USE IN SEMICONDUCTOR MANUFACTURING - Method of deposition on a substrate, of a metal containing dielectric film comprising a compound of the formula (I): | 08-13-2009 |
20090203223 | SUBSTRATE MOUNTING TABLE FOR PLASMA PROCESSING APPARATUS, PLASMA PROCESSING APPARATUS AND INSULATING FILM FORMING METHOD - A substrate mounting table includes an electrostatic chuck for attracting and holding a target substrate and a base for holding the electrostatic chuck thereon. The base includes a protruding portion having a large height; and an outer peripheral surface provided around the protruding portion at a position lower than the protruding portion by a preset height. A thermally sprayed film having a thickness equivalent to a height difference between the protruding portion and the outer peripheral surface is deposited on the outer peripheral surface such that the thermally sprayed film becomes continuous with the protruding portion. The electrostatic chuck is formed by installing an electrode between insulating members, and the electrostatic chuck is fixed to the base by using an adhesive to cover a boundary between a top surface of the protruding portion and a surface of the thermally sprayed film. | 08-13-2009 |
20090239388 | Semiconductor device and method for manufacturing the same - The present invention provides a semiconductor device having a coating film of a predetermined thickness provided along the circumference of a semiconductor light emitting element, and provide a method for easily manufacturing the semiconductor device. | 09-24-2009 |
20090239389 | Method of Forming a Layer of Material Using an Atomic Layer Deposition Process - Disclosed is a method of forming a layer of material using an atomic layer deposition (ALD) process in a process chamber of a process tool. In one illustrative embodiment, the method includes identifying a target characteristic for the layer of material, determining a precursor pulse time for introducing a precursor gas into the process chamber during the ALD process to produce the target characteristic in the layer of material, and performing the ALD process that comprises a plurality of steps wherein the precursor gas is introduced into the chamber for the determined precursor pulse time to thereby form the layer of material. | 09-24-2009 |
20090246971 | IN-SITU HYBRID DEPOSITION OF HIGH DIELECTRIC CONSTANT FILMS USING ATOMIC LAYER DEPOSITION AND CHEMICAL VAPOR DEPOSITION - An in-situ hybrid film deposition method for forming a high-k dielectric film on a plurality of substrates in a batch processing system. The method includes loading the plurality of substrates into a process chamber of the batch processing system, depositing by atomic layer deposition (ALD) a first portion of a high-k dielectric film on the plurality of substrates, after depositing the first portion, and without removing the plurality of substrates from the process chamber, depositing by chemical vapor deposition (CVD) a second portion of the high-k dielectric film on the first portion, and removing the plurality of substrates from the process chamber. The method can further include alternatingly repeating the deposition of the first and second portions until the high-k dielectric film has a desired thickness. The method can still further include pre-treating the substrates and post-treating the high-k dielectric film in-situ prior to the removing. | 10-01-2009 |
20090269941 | PLASMA-ENHANCED DEPOSITION PROCESS FOR FORMING A METAL OXIDE THIN FILM AND RELATED STRUCTURES - Methods of forming metal oxide thin films and related structures are provided. One embodiment of the methods includes conducting a plurality of cycles of deposition on a substrate. Each cycle includes supplying oxygen gas and an inert gas into a reaction space substantially continuously during the cycle. A metal precursor is supplied into the reaction space for a first duration. The metal precursor is a cyclopentadienyl compound of the metal. After the metal precursor is supplied, the continuously flowing oxygen gas is activated for a second duration to generate a plasma in the reaction space. The cycle is conducted at a temperature below about 400° C. The methods can be performed after forming a structure on the substrate, wherein the structure is formed of a material which is physically and/or chemically unstable at a high temperature. | 10-29-2009 |
20090275213 | SEMICONDUCTOR WAFER PROCESSING METHOD AND APPARATUS - A processing method of a semiconductor wafer is provided. The method comprising the steps of: removing at least part of oxide film from a surface of the semiconductor wafer; removing liquid from the surface; and providing at least partial oxide film on the surface by applying an oxidizing gas wherein a gas flow of the oxidizing gas and/or an ambient gas involved by the oxidizing gas is characterized by an unsaturated vapor pressure of the liquid such that the liquid on the surface vaporizes. The above-described steps are conducted in this order. | 11-05-2009 |
20090305515 | METHOD AND APPARATUS FOR UV CURING WITH WATER VAPOR - Embodiments of the invention generally relate to a method and apparatus for curing dielectric material deposited in trenches or gaps in the surface of a substrate to produce a feature free of voids and seams. In one embodiment, the dielectric material is steam annealed while being exposed to ultraviolet radiation. In one embodiment, the dielectric material is further thermally annealed in a nitrogen environment. | 12-10-2009 |
20100003835 | Low-K Precursors Based on Silicon Cryptands, Crown Ethers and Podands - Disclosed herein is the use of a silicon podand, silicon crown ether, or silicon cryptand to form a low-k dielectric film on a substrate. | 01-07-2010 |
20100015816 | METHODS TO PROMOTE ADHESION BETWEEN BARRIER LAYER AND POROUS LOW-K FILM DEPOSITED FROM MULTIPLE LIQUID PRECURSORS - A method for processing a substrate is provided, wherein a first organosilicon precursor, a second organosilicon precursor, a porogen, and an oxygen source are provided to a processing chamber. The first organosilicon precursor comprises compounds having generally low carbon content. The second organosilicon precursor comprises compounds having higher carbon content. The porogen comprises hydrocarbon compounds. RF power is applied to deposit a film on the substrate, and the flow rates of the various reactant streams are adjusted to change the carbon content as portions of the film are deposited. In one embodiment, an initial portion of the deposited film has a low carbon content, and is therefore oxide-like, while successive portions have higher carbon content, becoming oxycarbide-like. Another embodiment features no oxide-like initial portion. Post-treating the film generates pores in portions of the film having higher carbon content. | 01-21-2010 |
20100041243 | Precursors for Depositing Silicon-containing Films and Methods for Making and Using Same - Aminosilane precursors for depositing silicon-containing films, and methods for depositing silicon-containing films from these aminosilane precursors, are described herein. In one embodiment, there is provided an aminosilane precursor for depositing silicon-containing film comprising the following formula (I): | 02-18-2010 |
20100048030 | METHOD TO IMPROVE THE STEP COVERAGE AND PATTERN LOADING FOR DIELECTRIC FILMS - A method of forming a layer on a substrate in a chamber, wherein the substrate has at least one formed feature across its surface, is provided. The method includes exposing the substrate to a silicon-containing precursor in the presence of a plasma to deposit a layer, treating the deposited layer with a plasma, and repeating the exposing and treating until a desired thickness of the layer is obtained. The plasma may be generated from an oxygen-containing gas. | 02-25-2010 |
20100062612 | Aftertreatment Method for Amorphous Carbon Film - The present invention is an aftertreatment method further applied to an amorphous carbon film to which a treatment including heating is performed after the film has been formed on a substrate. The treatment of preventing oxidation of the amorphous carbon film is performed immediately after the treatment including heating. | 03-11-2010 |
20100062613 | METHOD OF PROCESSING A SUBSTRATE - A method of processing a substrate using plasma includes loading a substrate into a chamber, processing the substrate with a first plasma mode and then processing the substrate with a second plasma mode, wherein at least one of the first plasma mode and the second plasma mode is a time-modulation mode in which a plasma induced in the chamber is periodically turned on and off to reduce plasma-induced damage in the substrate. | 03-11-2010 |
20100075508 | METHOD OF FABRICATING A SEMICONDUCTOR DEVICE - A dielectric insulating film including HfO or the like is formed by: cleaning a surface of a semiconductor substrate by exposing the substrate surface to a fluorine radical; performing hydrogen termination processing with a fluorine radical or a hydride (SiH | 03-25-2010 |
20100087069 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - The coverage characteristics or loading effect of an oxide film can be improved without having to increase the supply amount or time of an oxidant. There is provided method of manufacturing a semiconductor device. The method comprises loading at least one substrate to a processing chamber; forming an oxide film on the substrate by alternately supplying a first reaction material and a second reaction material containing oxygen atoms to the processing chamber while heating the substrate; and unloading the substrate from the processing chamber, wherein the forming of the oxide film is performed by keeping the substrate at a temperature equal to or lower than a self-decomposition temperature of the first reaction material and irradiating ultraviolet light to the second reaction material. | 04-08-2010 |
20100099271 | METHOD FOR IMPROVING PROCESS CONTROL AND FILM CONFORMALITY OF PECVD FILM - A method for forming a silicon-based dielectric film on a substrate with a single deposition process operation using pulsed plasma enhanced chemical vapor deposition (PECVD) wherein the high frequency radio frequency power of the plasma is pulsed, allows enhanced control, efficiency and product quality of the PECVD process. Pulsing the high frequency RF power of the plasma reduces the deposited film thickness per unit time the high frequency RF power of the plasma is on. This yields silicon-based dielectric films that are both thin and conformal. | 04-22-2010 |
20100099272 | SYSTEMS AND METHODS FOR FORMING METAL OXIDES USING METAL DIKETONATES AND/OR KETOIMINES - A method of forming (and an apparatus for forming) a metal oxide layer on a substrate, particularly a semiconductor substrate or substrate assembly, using a vapor deposition process and one or more precursor compounds that include diketonate ligands and/or ketoimine ligands. | 04-22-2010 |
20100120261 | Method of Nonstoichiometric CVD Dielectric Film Surface Passivation for Film Roughness Control - A method is provided for reducing film surface roughness in Chemical Vapor Deposition (CVD) of dielectric films. The method may include removing dangling bonds from a film surface of a CVD dielectric film by a reactant. For reducing a surface roughness of a dielectric film, a further method may passivate a nonstoichiometric film surface of the dielectric film, or of a previous dielectric film, or of the dielectric film and of a previous dielectric film, by a reactant gas in the vapor environment. The dielectric film may include at least one out of the following group: ultraviolet light transparent Silicon Nitride (UVSIN), Silicon Rich Oxide (SRO), Silicon Dioxide (SiO | 05-13-2010 |
20100159710 | Semiconductor manufacturing apparatus, liquid container, and semiconductor device manufacturing method - A semiconductor manufacturing apparatus comprises a discharge portion discharging a coating liquid onto a substrate; a gas supply tube supplying an inert gas into a liquid container that contains the coating liquid, and pressurizing an interior of the liquid container; a coating liquid supply tube airtightly supplying the coating liquid from the liquid container to the discharge portion using pressurization from the gas supply tube; a first connecting portion capable of attaching and detaching the liquid container to and from the coating liquid supply tube; a second connecting portion capable of attaching and detaching the liquid container to and from the gas supply tube; and a solvent supply tube supplying a solvent, which can dissolve the coating liquid, to the first connecting portion. | 06-24-2010 |
20100227479 | Semiconductor device and associated methods of manufacture - Provided are a semiconductor device and a method of fabricating the same. The method includes forming a metal nitride layer and a metal oxide layer on a semiconductor substrate to be in contact with each other, and annealing the substrate including the metal nitride layer and the metal oxide layer to form a metal oxynitride layer. | 09-09-2010 |
20100323530 | MODIFIER FOR LOW DIELECTRIC CONSTANT FILM, AND METHOD FOR PRODUCTION THEREOF - There is provided a modifier for lowering relative dielectric constant of a low dielectric constant film used in semiconductor devices, the modifier of the low dielectric constant film being characterized in that it contains as an effective component a silicon compound represented by formula (1) | 12-23-2010 |
20110003483 | GLASS PLATE FOR DISPLAY PANELS, PROCESS FOR PRODUCING IT, AND PROCESS FOR PRODUCING TFT PANEL - To provide a glass plate for display panels which has a low 8 | 01-06-2011 |
20110034037 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND METHOD FOR CLEANING SEMICONDUCTOR SUBSTRATE - Disclosed is a method for cleaning a semiconductor substrate that can solve a problem of a conventional cleaning method which should include at least five steps for cleaning a substrate such as a semiconductor substrate. The method for cleaning a semiconductor substrate comprises a first step of cleaning a substrate with ultrapure water containing ozone, a second step of cleaning the substrate with ultrapure water containing a surfactant, and a third step of removing an organic compound derived from the surfactant, with a cleaning liquid containing ultrapure water and 2-propanol. After the third step, plasma of noble gas such as krypton is applied to the substrate to further remove the organic compound derived from the surfactant. | 02-10-2011 |
20110104907 | METHODS OF FORMING A METAL SILICATE LAYER AND METHODS OF FABRICATING A SEMICONDUCTOR DEVICE INCLUDING THE METAL SILICATE LAYER - Methods of forming a metal silicate layer and methods of fabricating a semiconductor device including the metal silicate layer are provided, the methods of forming the metal silicate layer include forming the metal silicate using a plurality of silicon precursors. The silicon precursors are homoleptic silicon precursors in which ligands bound to silicon have the same molecular structure. | 05-05-2011 |
20110143552 | HEAT-RESISTANT ADHESIVE SHEET FOR SEMICONDUCTOR DEVICE FABRICATION, ADHESIVE USED FOR THE SHEET, AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING THE SHEET - The present invention provides a heat-resistant adhesive sheet for semiconductor device fabrication that is attached to a substrateless semiconductor chip when the chip is encapsulated with resin. The adhesive sheet includes a base material layer and an adhesive layer. The adhesive layer contains a rubber component and an epoxy resin component. The proportion of the rubber component in an organic substance in the adhesive is in the range of 20 to 60 wt %. | 06-16-2011 |
20110159702 | FILM DEPOSITION APPARATUS AND FILM DEPOSITION METHOD - A film deposition apparatus for depositing a film on a substrate by performing a cycle of alternately supplying at least two kinds of reaction gases that react with each other on the substrate to produce a layer of a reaction product in a vacuum chamber is disclosed. The film deposition apparatus includes a ring-shaped locking member that may be provided in or around a wafer receiving portion of a turntable in which the substrate is placed, in order to keep the substrate in the substrate receiving portion. | 06-30-2011 |
20110183525 | Homogeneous Porous Low Dielectric Constant Materials - In one exemplary embodiment, a method includes: providing a structure having a first layer overlying a substrate, where the first layer includes a dielectric material having a plurality of pores; applying a filling material to an exposed surface of the first layer; heating the structure to a first temperature to enable the filling material to homogeneously fill the plurality of pores; after filling the plurality of pores, performing at least one process on the structure; and after performing the at least one process, removing the filling material from the plurality of pores by heating the structure to a second temperature to decompose the filling material. | 07-28-2011 |
20110183526 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - Characteristics of a low-k insulating film grown on a substrate is modulated in the thickness-wise direction, by varying the ratio of high-frequency input and low-frequency input used for inducing plasma in the course of forming the film, to thereby improve the adhesion strength while keeping the dielectric constant at a low level, wherein the high-frequency input and the low-frequency input for inducing plasma are applied from a single electrode, while elevating the level of low-frequency input at least either at the start of formation or at the end of formation of the insulating film, as compared with the input level in the residual time zone, thereby the insulating film is formed to have a close-adhesion layer in at least either one of the end portions of the film in the thickness-wise direction, by the contribution of both of the high-frequency input and the low-frequency input, and to have a low-k insulating film in the residual portion of the film, by lowering or zeroing the level of the low-frequency input. | 07-28-2011 |
20110189861 | Deposition Method for Passivation of Silicon Wafers - A substrate is mounted onto an elevated substrate support of a substrate carrier plate. The substrate carrier plate with the substrate is then placed in a plasma reactor. Due to the elevated substrate support, both opposite sides of the substrate are exposed to the plasma and are therefore coated with an electrical passivation layer. | 08-04-2011 |
20110201211 | Method and Apparatus Providing Air-Gap Insulation Between Adjacent Conductors Using Nanoparticles - A semiconductor device and a method of forming it are disclosed in which at least two adjacent conductors have an air-gap insulator between them which is covered by nanoparticles of insulating material being a size which prevent the nanoparticles from substantially entering into the air-gap. | 08-18-2011 |
20110212629 | LIQUID COMPOSITION CONTAINING AMINOETHER FOR DEPOSITION OF METAL-CONTAINING FILMS - A formulation, comprising: a) at least one metal-ligand complex, wherein one or more ligands are selected from the group consisting of β-diketonates, β-ketoiminates, β-ketoesterates, β-diiminates, alkyls, carbonyls, alkyl carbonyls, cyclopentadienyls, pyrrolyls, alkoxides, amidinates, imidazolyls, and mixtures thereof; and the metal is selected from Group 2 to 16 elements of the Periodic Table of the Elements; and, b) at least one aminoether selected from the group consisting of R | 09-01-2011 |
20110237086 | TEMPLATE AND METHOD OF MANUFACTURING THE SAME, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD USING THE TEMPLATE - According to one embodiment, there is provided a template which includes a first region and a second region. The first region is provided with a first pattern of a plurality of recessed portions formed on a main surface of the template, and has a first thickness. The second region is provided with a second pattern of a plurality of recessed portions formed on the main surface of the template, and has a second thickness different from the first thickness. The second pattern is different from the first pattern in at least one of interval and dimension of the recessed portions. | 09-29-2011 |
20110263135 | Semiconductor Processing Methods, And Methods For Forming Silicon Dioxide - Some embodiments include methods for semiconductor processing. A semiconductor substrate may be placed within a reaction chamber. The semiconductor substrate may have an inner region and an outer region laterally outward of said inner region, and may have a deposition surface that extends across the inner and outer regions. The semiconductor substrate may be heated by radiating thermal energy from the outer region to the inner region. The heating may eventually achieve thermal equilibrium. However, before thermal equilibrium of the outer and inner regions is reached, and while the outer region is warmer than the inner region, at least two reactants are sequentially introduced into the reaction chamber. The reactants may together form a single composition on the deposition surface through a quasi-ALD process. | 10-27-2011 |
20110275226 | PROCESS TO DISSOLVE THE OXIDE LAYER IN THE PERIPHERAL RING OF A STRUCTURE OF SEMICONDUCTOR-ON-INSULATOR TYPE - The invention concerns a process to treat a structure of semiconductor-on-insulator type structure of a carrier substrate, an oxide layer and a thin layer of a semiconductor material, wherein the structure having a peripheral ring in which the oxide layer is exposed, and the process includes the application of a main thermal treatment in a neutral or controlled reducing atmosphere. The method includes a step to cover at least an exposed peripheral part of the oxide layer, prior to the main thermal treatment, this latter treatment being conducted under controlled time and temperature conditions so as to urge at least part of the oxygen in the oxide layer to diffuse through the thin semiconductor layer, leading to controlled reduction of the thickness of the oxide layer. | 11-10-2011 |
20110318941 | Composition and Method of Forming an Insulating Layer in a Photovoltaic Device - A solar cell includes a first electrode located over a substrate, at least one p-type semiconductor absorber layer located over the first electrode, the p-type semiconductor absorber layer comprising a copper indium selenide (CIS) based alloy material, an n-type semiconductor layer located over the p-type semiconductor absorber layer, an insulating aluminum zinc oxide layer located over the n-type semiconductor layer, the insulating aluminum zinc oxide having an aluminum content of 100 ppm to 5000 ppm and a second electrode over the insulating aluminum layer, the second electrode being transparent and electrically conductive. The insulating aluminum zinc oxide having an aluminum content of 100 ppm to 5000 ppm, may be deposited by pulsed DC, non-pulsed DC, or AC sputtering from an aluminum doped zinc oxide having an aluminum content of 100 ppm to 5000 ppm. | 12-29-2011 |
20110318942 | MECHANICALLY ROBUST METAL/LOW-k INTERCONNECTS - A mechanically robust semiconductor structure with improved adhesion strength between a low-k dielectric layer and a dielectric-containing substrate is provided. In particular, the present invention provides a structure that includes a dielectric-containing substrate having an upper region including a treated surface layer which is chemically and physically different from the substrate; and a low-k dielectric material located on a the treated surface layer of the substrate. The treated surface layer and the low-k dielectric material form an interface that has an adhesion strength that is greater than 60% of the cohesive strength of the weaker material on either side of the interface. The treated surface is formed by treating the surface of the substrate with at least one of actinic radiation, a plasma and e-beam radiation prior to forming of the substrate the low-k dielectric material. | 12-29-2011 |
20120003841 | METHOD OF PRODUCING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device includes: a step of forming a porous dielectric film on a substrate; a step of disposing the substrate having the porous dielectric film formed thereon inside a chamber; a step of introducing siloxane into the chamber in which the substrate is disposed and heating the substrate to a first temperature; and a step heating the substrate to which the introduced siloxane adheres to a second temperature higher than the first temperature. A pressure inside the chamber is maintained to be equal to or lower than 1 kPa. In the present embodiment, the first temperature is equal to or higher than a temperature at which the pressure inside the chamber is a saturated vapor pressure of the siloxane, and is equal to or lower than a temperature at which a polymerization between the porous dielectric film and the siloxane starts. | 01-05-2012 |
20120028474 | METHOD OF GROWING ELECTRICAL CONDUCTORS - A method for forming a conductive thin film includes depositing a metal oxide thin film on a substrate by an atomic layer deposition (ALD) process. The method further includes at least partially reducing the metal oxide thin film by exposing the metal oxide thin film to a reducing agent, thereby forming a seed layer. In one arrangement, the reducing agent comprises one or more organic compounds that contain at least one functional group selected from the group consisting of —OH, —CHO, and —COOH. In another arrangement, the reducing agent comprises an electric current. | 02-02-2012 |
20120034792 | COATING TREATMENT METHOD - The present invention supplies a solvent to a front surface of a substrate while rotating the substrate. The substrate is acceleratingly rotated to a first number of rotations, and a resist solution is supplied to a central portion of the substrate during the accelerating rotation and the rotation at a first number of rotations. The substrate is deceleratingly rotated to a second number of rotations, and after the number of rotations of the substrate reaches the second number of rotations, the resist solution is discharged to the substrate. The substrate is then acceleratingly rotated to a third number of rotations higher than the second number of rotations so that the substrate is rotated at the third number of rotations. According to the present invention, consumption of the resist solution can be suppressed and a high in-plane uniformity can be obtained for the film thickness of the resist film. | 02-09-2012 |
20120040535 | Semiconductor process - A semiconductor process of the present invention is described as follows. A substrate is provided, and a material layer is deposited on the substrate using an organic precursor as a reactant gas. A plasma treatment is conducted immediately after depositing the material layer, wherein plasma is continuously supplied during depositing the material layer and the plasma treatment. A pump-down step is conducted. | 02-16-2012 |
20120064732 | Method for Determining Position of Auxiliary Pattern, Method for Manufacturing Photomask, and Method for Manufacturing Semiconductor Device - According to one embodiment, a method is disclosed for determining position of an auxiliary pattern on a photomask. The method can include generating a first set for each of three or more imaging positions of an exposure optical system. The method can include generating a second set for each of the three or more imaging positions by inverse Fourier transforming each of the first set. The method can include calculating a second order differential with respect to the imaging position of an index indicating amplitude of light belonging to the second set. In addition, the method can include extracting a position where the second order differential assumes an extremal value on an imaging plane of the exposure optical system. At least part of positions on the photomask each corresponding to the position assuming the extremal value on the imaging plane is used as a formation position of the auxiliary pattern. | 03-15-2012 |
20120135610 | SYSTEM AND METHOD FOR PROCESSING SUBSTRATE - A substrate processing system including a cleaning equipment; a resist coating equipment forming a resist layer on a surface of a substrate; an edge exposure equipment that exposes to light an edge portion of the resist layer formed on a peripheral edge of the substrate; a substrate transport mechanism; and a system controller. The system controller includes a waiting time monitor and a process controller. The waiting time monitor monitors a waiting time that is a time interval between the formation of the resist layer and start of the exposure of the edge portion of the resist layer. The process controller causes the substrate transport mechanism to transport the substrate into the cleaning equipment when the monitored waiting time exceeds a prescribed limit, removing the resist layer from the substrate. The process controller then causes the substrate transport mechanism to transport the substrate into the resist coating equipment. | 05-31-2012 |
20120135611 | METHOD OF MANUFACTURING POROUS INSULATING FILM - A method includes forming an insulating film over a substrate by introducing a cyclic siloxane compound having a cyclic siloxane as a skeleton and having at least one volatile hydrocarbon group bonded to a side chain, and a silicon-containing compound into a plasma, and converting the insulating film to a porous insulating film by adding energy to the insulating film. The silicon-containing compound is decomposed using less energy as compared with the skeleton of the cyclic siloxane compound, the volatile hydrocarbon group, and the bond between the cyclic siloxane compound and the volatile hydrocarbon group. | 05-31-2012 |
20120135612 | FILM FORMING METHOD, PRETREATMENT DEVICE, AND PROCESSING SYSTEM - A film forming method is disclosed in which a thin film comprising manganese is formed on an object to be processed which has, on a surface thereof, an insulating layer constituted of a low-k film and having a recess. The method comprises a hydrophilization step in which the surface of the insulating layer is hydrophilized to make the surface hydrophilic and a thin-film formation step in which a thin film containing manganese is formed on the surface of the hydrophilized insulating layer by performing a film forming process using a manganese-containing material gas on the surface of the hydrophilized insulating layer. Thus, a thin film comprising manganese, e.g., an MnOx film, is effectively formed on the surface of the insulating layer constituted of a low-k film, which has a low dielectric constant. | 05-31-2012 |
20120190211 | FILM FORMING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, INSULATING FILM AND SEMICONDUCTOR DEVICE - In a film forming method, firstly, a processing target substrate W as a base of a semiconductor device is held on a mounting table | 07-26-2012 |
20120208373 | METHOD FOR DEPOSITING AN AMORPHOUS CARBON FILM WITH IMPROVED DENSITY AND STEP COVERAGE - A method for depositing an amorphous carbon layer on a substrate includes the steps of positioning a substrate in a chamber, introducing a hydrocarbon source into the processing chamber, introducing a heavy noble gas into the processing chamber, and generating a plasma in the processing chamber. The heavy noble gas is selected from the group consisting of argon, krypton, xenon, and combinations thereof and the molar flow rate of the noble gas is greater than the molar flow rate of the hydrocarbon source. A post-deposition termination step may be included, wherein the flow of the hydrocarbon source and the noble gas is stopped and a plasma is maintained in the chamber for a period of time to remove particles therefrom. | 08-16-2012 |
20120244720 | PROCESSING METHOD AND STORAGE MEDIUM - Disclosed is a processing method that removes moisture in a low permittivity film formed on a substrate to be processed which has a damaged layer on the surface thereof while maintaining the specific permittivity or a leakage current value low when the film is subjected to a recovery processing. The method for the recovery processing includes applying, on the damaged layer of the low permittivity film, a first processing gas whose molecules are small sufficient to permeate the inside of the damaged layer of the low permittivity film and which is able to remove the moisture in the damaged layer and a second processing gas which forms a hydrophobic dense reformatted layer on the surface of the damaged layer, thereby allowing the first processing gas and the second processing gas to react with the damaged layer. | 09-27-2012 |
20120244721 | FILM FORMING METHOD, FILM FORMING APPARATUS, AND STORAGE MEDIUM - A method of forming a dielectric film including a zirconium oxide film includes: forming a zirconium oxide film on a substrate to be processed by supplying a zirconium material and an oxidant, the zirconium material including a Zr compound which includes a cyclopentadienyl ring in a structure, and forming a titanium oxide film on the zirconium oxide film by supplying a titanium material and an oxidant, the titanium material including a Ti compound which includes a cyclopentadienyl ring in a structure. | 09-27-2012 |
20120252227 | SILICON OXYCARBIDE, GROWTH METHOD OF SILICON OXYCARBIDE LAYER, SEMICONDUCTOR DEVICE AND MANUFACTURE METHOD FOR SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device includes the steps of: preparing an underlying structure having a silicon carbide layer covering a copper wiring, and growing silicon oxycarbide on the underlying structure by vapor deposition using, as source gas, tetramethylcyclotetrasiloxane, carbon dioxide gas and oxygen gas, a flow rate of said oxygen gas being at most 3% of a flow rate of the carbon dioxide gas. The surface of the silicon carbide layer of the underlying structure may be treated with a plasma of weak oxidizing gas which contains oxygen and has a molecular weight larger than that of O | 10-04-2012 |
20120258604 | Deposition Method - A deposition method capable of forming an oxide film with a predetermined film thickness ratio using a deposition gas with which a small film thickness ratio is obtained and a deposition gas with which a large film thickness ratio is obtained. When forming an oxide film having a larger film thickness on the surface of a substrate than on the bottom surface of the hole so that the film thickness ratio of the oxide film formed on the surface of the substrate to the oxide film formed on the bottom surface of the hole becomes a predetermined ratio, plasma is generated from a gas mixture including tetraethoxysilane and oxygen to form an oxide film and then plasma is generated from a gas mixture including silane and nitrous oxide. | 10-11-2012 |
20120264311 | SURFACE TREATMENT METHOD FOR GERMANIUM BASED DEVICE - The present invention provides a surface treatment method for germanium based device. Through performing surface pretreatment to the germanium based device by using an aqueous solution of ammonium fluoride as a passivant, the interface state may be reduced, the formation of natural oxidation layer at the germanium surface may be inhibited, the regeneration of natural oxidation layer and the out-diffusion of the germanium based substrate material can be effectively inhibited, and the thermal stability of the metal germanide may also be increased significantly, so that the interface quality of the germanium based device is improved easily and effectively, which are advantageous to improve the performance of the germanium based transistor. | 10-18-2012 |
20120295448 | DIELECTRIC NANOCOMPOSITES AND METHODS OF MAKING THE SAME - Techniques related to nanocomposite dielectric materials are generally described herein. These techniques may be embodied in apparatuses, systems, methods and/or processes for making and using such material. An example process may include: providing a film having a plurality of nanoparticles and an organic medium; comminuting the film to form a particulate; and applying the particulate to a substrate. The example process may also include providing a nanoparticle film having nanoparticles and voids located between the nanoparticles; contacting the film with a vapor containing an organic material; and curing the organic material to form the nanocomposite dielectric film. Various described techniques may provide nanocomposite dielectric materials with superior nanoparticle dispersion which may result in improved dielectric properties. | 11-22-2012 |
20120309206 | Stoichiometry Control Of Transition Metal Oxides In Thin Films - One aspect of the invention relates to a method for deposition of a film having a predetermined film composition. The method comprises: in a deposition chamber: providing a substrate at a fixed temperature; depositing a film; flowing a mixture of two gases, wherein the ratio of the two gases is selected such that the mixture has a redox potential to provide a predetermined film composition. In some embodiments, depositing a film occurs via an atomic layer deposition process or chemical vapor deposition process. Methods for chemical vapor deposition of a metal or lanthanide oxide layer are provided featuring a mixture of oxidizing and reducing gases is flowed over the transition metal oxide or lanthanide oxide layer. The mixture of gases has an oxidation potential selected to produce a layer having a desired stoichiometry of a deposited film. | 12-06-2012 |
20120309207 | FABRICATION METHOD OF SEMICONDUCTOR DEVICE - A disclosed fabrication method of a semiconductor device includes steps of depositing a dielectric film on a semiconductor substrate; thermally treating the dielectric film; and irradiating an ionized gas cluster onto the thermally treated dielectric film. | 12-06-2012 |
20130078820 | IMPRINT METHOD, IMPRINT APPARATUS, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In an imprint method of an embodiment, in the imprinting of an imprint shot including an outermost peripheral region of a substrate where resist is not desired to be entered at the time of imprinting, light curing the resist is applied to a light irradiation region with a predetermined width including a boundary between the outermost peripheral region and a pattern formation region more inside than the outermost peripheral region, whereby the resist which is to enter inside the outermost peripheral region is cured. Then, light curing the resist filled in a template pattern is applied onto a template. | 03-28-2013 |
20130084715 | Method of Fabricating Al2O3 Thin Film Layer | 04-04-2013 |
20130109198 | HIGH CARBON CONTENT MOLECULES FOR AMORPHOUS CARBON DEPOSITION | 05-02-2013 |
20130137279 | Exhaust Unit, Substrate Processing Apparatus, and Method of Manufacturing Semiconductor Device - Provided is a substrate processing apparatus capable of increasing a conductance of an exhaust system while preventing or suppressing an increase in footprint of an apparatus, thereby reducing a pressure thereof. The substrate processing apparatus includes a process container ( | 05-30-2013 |
20130157475 | Film Deposition Using Tantalum Precursors - Provided are methods of depositing tantalum-containing films via atomic layer deposition and/or chemical vapor deposition. The method comprises exposing a substrate surface to flows of a first precursor comprising TaCl | 06-20-2013 |
20130164946 | METHOD OF FORMING SILICON OXYCARBONITRIDE FILM - The method of forming a silicon oxycarbonitride film on a base includes stacking a silicon carbonitride film and a silicon oxynitride film on the base to form the silicon oxycarbonitride film. | 06-27-2013 |
20130171838 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF PROCESSING SUBSTRATE, SUBSTRATE PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - Provided is a method of manufacturing a semiconductor device capable of forming a nitride layer having high resistance to hydrogen fluoride at low temperatures. The method includes forming a nitride film on a substrate by performing a cycle a predetermined number of times, the cycle including supplying a source gas to the substrate, supplying a plasma-excited hydrogen-containing gas to the substrate, supplying a plasma-excited or thermally excited nitriding gas to the substrate, and supplying at least one of a plasma-excited nitrogen gas and a plasma-excited rare gas to the substrate. | 07-04-2013 |
20130171839 | C-RICH CARBON BORON NITRIDE DIELECTRIC FILMS FOR USE IN ELECTRONIC DEVICES - A carbon-rich carbon boron nitride dielectric film having a dielectric constant of equal to, or less than 3.6 is provided that can be used as a component in various electronic devices. The carbon-rich carbon boron nitride dielectric film has a formula of C | 07-04-2013 |
20130183833 | LASER MICRO/NANO PROCESSING SYSTEM AND METHOD - A laser micro/nano processing system ( | 07-18-2013 |
20130189851 | CVD Conformal Vacuum/Pumping Guiding Design - The present disclosure relates to a guiding element for guiding gas flow within a chamber. The guiding element includes a structure, one or more inlets, an outlet, and a transportation region. The one or more inlets are formed on a first side of the structure. The inlets have inlet sizes selected according to a removal rate and to mitigate gas flow variations within the chamber. The outlet is on a second side of the structure, opposite the first side of the structure. The outlet has an outlet size selected according to the removal rate. The transportation region is within the structure and couples or connects the inlets to the outlet. | 07-25-2013 |
20130189852 | LIQUID PROCESSING APPARATUS, LIQUID PROCESSING METHOD, AND STORAGE MEDIUM - A solvent such as PGMEA is coated on a wafer in advance to easily spread resist liquid onto the wafer on a spin chuck. Before coating, the solvent supplied from a solvent supply source is stored in a distill tank first, the solvent is heated by a heating unit to be evaporated, and the evaporated solvent is cooled by a cooler, thereby performing the purification of the solvent by distillation. Therefore, particles among the solvent are removed. The purified solvent is stored in a storage tank first, and then supplied to a solvent nozzle above the spin chuck from a solvent supplying line. And then, the solvent is coated on the wafer by ejecting the solvent from the solvent nozzle to the wafer. Further, the distill tank is cleaned periodically to suppress the increase of the concentration of the particles in the solvent. | 07-25-2013 |
20130203267 | MULTIPLE VAPOR SOURCES FOR VAPOR DEPOSITION - A vapor deposition method and apparatus including at least two vessels containing a same first source chemical. A controller is programmed to simultaneously pulse to the reaction space doses or pulses of a gas from the vessels, each of the doses having a substantially consistent concentration of the first source chemical. The apparatus may also include at least two vessels containing a same second source chemical. The controller can be programmed to simultaneously pulse to the reaction space doses or pulses of a gas from the vessels containing the second source chemical, each of the doses having a substantially consistent concentration of the second source chemical. The second source chemical can be pulsed to the reaction space after the reaction space is purged of an excess of the first source chemical. | 08-08-2013 |
20130203268 | FILM DEPOSITION APPARATUS AND FILM DEPOSITION METHOD - A disclosed film deposition apparatus has a separation area arranged between a first process area and a second area as viewed from a wafer that is rotated by a turntable, and a modification area arranged between the second process area and the first process area as viewed from the wafer that is rotated by the turntable where a modification process is performed on a reaction product formed on the wafer by a plasma generating unit. Further, a protruding portion is arranged at a casing that surrounds the modification area, and the atmospheric pressure of the modification area is arranged to be higher than the atmospheric pressure of the areas adjacent to the modification area. | 08-08-2013 |
20130217239 | FLOWABLE SILICON-AND-CARBON-CONTAINING LAYERS FOR SEMICONDUCTOR PROCESSING - Methods are described for forming and curing a gapfill silicon-and-carbon-containing layer on a semiconductor substrate. The silicon and carbon constituents may come from a silicon-and-carbon-containing precursor excited by a radical hydrogen precursor that has been activated in a remote plasma region. Exemplary precursors include 1,3,5-trisilapentane (H | 08-22-2013 |
20130217240 | FLOWABLE SILICON-CARBON-NITROGEN LAYERS FOR SEMICONDUCTOR PROCESSING - Methods are described for forming a dielectric layer on a semiconductor substrate. The methods may include providing a silicon-containing precursor and an energized nitrogen-containing precursor to a chemical vapor deposition chamber. The silicon-containing precursor and the energized nitrogen-containing precursor may be reacted in the chemical vapor deposition chamber to deposit a flowable silicon-carbon-nitrogen material on the substrate. The methods may further include treating the flowable silicon-carbon-nitrogen material to form the dielectric layer on the semiconductor substrate. | 08-22-2013 |
20130217241 | TREATMENTS FOR DECREASING ETCH RATES AFTER FLOWABLE DEPOSITION OF SILICON-CARBON-AND-NITROGEN-CONTAINING LAYERS - Methods are described for forming and curing a flowable silicon-carbon-and-nitrogen-containing layer on a semiconductor substrate. The silicon and carbon constituents may come from a silicon and carbon containing precursor while the nitrogen may come from a nitrogen-containing precursor that has been activated to speed the reaction of the nitrogen with the silicon-and-carbon-containing precursor at lower deposition chamber temperatures. The initially-flowable silicon-carbon-and-nitrogen-containing layer is treated to remove components which enabled the flowability, but are no longer needed after deposition. Removal of the components increases etch resistance in order to allow the gapfill silicon-carbon-and-nitrogen-containing layer to remain intact during subsequent processing. The treatments have been found to decrease the evolution of properties of the film upon exposure to atmosphere. | 08-22-2013 |
20130217242 | Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Program - Provided is a substrate processing apparatus. The substrate processing apparatus includes: a process chamber configured to accommodate a substrate; a substrate holding member configured to hold the substrate in the process chamber; a first gas supply system including a first gas supply hole for supplying a first process gas into the process chamber; a second gas supply system including a second gas supply hole for supplying a second process gas into the process chamber; and a catalyst supply system including a catalyst supply hole for supplying a catalyst into the process chamber, wherein an angle between a first imaginary line connecting a center of the substrate holding member and the first gas supply hole and a second imaginary line connecting the center of the substrate holding member and the catalyst supply hole ranges from 63.5 degrees to 296.5 degrees. | 08-22-2013 |
20130230990 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - According to the invention, there is provided a plasma processing apparatus which can generate plasma stably and efficiently, and can efficiently treat all of the desired regions to be treated of a base material within a short period of time. Provided is a plasma processing apparatus including an opening portion having an opening width of 1 mm or more; a dielectric member that defines a circular chamber constituting a circular space which communicates the opening; a gas supply pipe that introduces gas into an inside of the circular chamber; a coil that is provided in a vicinity of the circular chamber; a high-frequency power supply that is connected to the coil; and a base material mounting table on which a base material is disposed near the opening. | 09-05-2013 |
20130244446 | Method for Forming Si-Containing Film Using Two Precursors by ALD - A method for forming a silicon-containing dielectric film on a substrate by atomic layer deposition (ALD) includes: providing two precursors, one precursor containing a halogen in its molecule, another precursor containing a silicon but no halogen in its molecule, adsorbing a first precursor, which is one of the two precursors onto a substrate to deposit a monolayer of the first precursor; adsorbing a second precursor, which is the other of the two precursors onto the monolayer of the first precursor to deposit a monolayer of the second precursor; and exposing the monolayer of the second precursor to radicals of a reactant to cause surface reaction with the radicals to form a compound monolayer of a silicon-containing film. | 09-19-2013 |
20130252438 | METHOD FOR THE DEPOSITION OF A RUTHENIUM-CONTAINING FILM - The invention concerns the use of the ruthenium-containing precursor having the formula | 09-26-2013 |
20130252439 | Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium - A method includes: forming a thin film on a substrate by performing a cycle a predetermined number of times, the cycle including: (a) supplying a source gas to the substrate in a process chamber; and (b) supplying a reactive gas to the substrate in the process chamber, wherein at least one of (a) and (b) includes: (c) supplying the source gas or the reactive gas at a first flow rate with exhaust of an inside of the process chamber being suspended until an inner pressure of the process chamber reaches a predetermined pressure; and (d) supplying the source gas or the reactive gas at a second flow rate less than the first flow rate with exhaust of the inside of the process chamber being performed while maintaining the inner pressure of the process chamber at the predetermined pressure after the inner pressure of the process chamber reaches the predetermined pressure. | 09-26-2013 |
20130273747 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF PROCESSING SUBSTRATE, SUBSTRATE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - A method of manufacturing a semiconductor device, includes: forming a film containing a predetermined element on a substrate by performing a cycle a predetermined number of times, the cycle including: supplying a first precursor containing the predetermined element and a halogen group to the substrate; supplying a second precursor containing the predetermined element and an amino group to the substrate; and supplying a reducing agent not containing halogen, nitrogen and carbon to the substrate. | 10-17-2013 |
20130280919 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS - An oxide film is formed, having a specific film thickness on a substrate by alternately repeating: forming a specific element-containing layer on the substrate by supplying a source gas containing a specific element, to the substrate housed in a processing chamber and heated to a first temperature; and changing the specific element-containing layer formed on the substrate, to an oxide layer by supplying a reactive species containing oxygen to the substrate heated to the first temperature in the processing chamber under a pressure of less than atmospheric pressure, the reactive species being generated by causing a reaction between an oxygen-containing gas and a hydrogen-containing gas in a pre-reaction chamber under a pressure of less than atmospheric pressure and heated to a second temperature higher than the first temperature. | 10-24-2013 |
20130295776 | Method for vapor condensation and recovery - Methods for recovery of precursor vapor from a gas and precursor vapor mixture used in a deposition process. The gas and precursor vapor mixture is passed through a multitude of heat transfer surfaces in a heat conducting housing causing the precursor vapor to condense. The precursor vapor in liquid form is then collected after condensation. | 11-07-2013 |
20130302997 | Preparation of Epitaxial Graphene Surfaces for Atomic Layer Deposition of Dielectrics - Processes for preparation of an epitaxial graphene surface to make it suitable for deposition of high-κ oxide-based dielectric compounds such as Al | 11-14-2013 |
20130323935 | FILM FORMING METHOD AND APPARATUS - A method of forming a thin film on a surface of target objects in a vacuum-evacuable processing chamber by using a source gas and a reaction gas includes: forming a mixed gas by mixing the source gas and an inert gas in a gas reservoir tank, and supplying the mixed gas and the reaction gas into the processing chamber. | 12-05-2013 |
20130330933 | Method for Forming Silicon-Containing Dielectric Film by Cyclic Deposition with Side Wall Coverage Control - A method of forming a dielectric film having Si—C bonds and/or Si—N bonds on a semiconductor substrate by cyclic deposition, includes: (i) conducting one or more cycles of cyclic deposition in a reaction space wherein a semiconductor substrate is placed, using a Si-containing precursor and a reactant gas; and (ii) before or after step (i), applying a pulse of RF power to the reaction space while supplying a rare gas and a treatment gas without supplying a Si-containing precursor, whereby a dielectric film having Si—C bonds and/or Si—N bonds is formed on the semiconductor substrate. | 12-12-2013 |
20130330934 | METHOD OF FORMING THIN FILM POLY SILICON LAYER - A method of forming a thin film poly silicon layer includes following steps. Firstly, a substrate is provided. The substrate has a first surface. A heating treatment is then performed. A thin film poly silicon layer is then directly formed on the first surface of the substrate by a silicon thin film deposition process. | 12-12-2013 |
20130337657 | APPARATUS AND METHOD FOR FORMING THIN PROTECTIVE AND OPTICAL LAYERS ON SUBSTRATES - A method and apparatus are provided for plasma-based processing of a substrate based on a plasma source having at least two adjacent electrodes positioned with the long dimensions parallel to define a first gap minimum between the two electrodes of from 5 millimeters to 40 millimeters. A second gap minimum is defined between the two electrodes and the substrate. AC power is provided to the two electrodes through separate electrical circuits from a common supply with the phase difference therebetween. A first gas and a second are injected into the plasma-containing volume between the two electrodes are different positions relative to the substrate. A lower electrode with a lower electrode width that is less than the combined width of the two electrodes is powered from a separately controllable ac power supply at an ac frequency different from that supplied to the two electrodes. | 12-19-2013 |
20130344704 | ENHANCEMENT IN UV CURING EFFICIENCY USING OXYGEN-DOPED PURGE FOR ULTRA LOW-K DIELECTRIC FILM - Embodiments of the invention provide methods for curing an ultra low-k dielectric film within a UV processing chamber. In one embodiment, the method includes depositing an ultra low-k dielectric layer on a substrate in a deposition chamber, and subjecting the deposited ultra low-k dielectric layer to a UV curing processes in a UV processing chamber. The method includes stabilizing the UV processing chamber by flowing an oxygen gas and a purge gas into the UV processing chamber at a flow ratio of about 1:50000 to about 1:100. While flowing the oxygen-doped purge gas, the substrate is exposed to UV radiation to cure the deposited ultra low-k dielectric layer. The inventive oxygen-doped purge curing process provides an alternate pathway to build silicon-oxygen network of the ultra low-k dielectric material, thereby accelerating cross-linking efficiency without significantly affecting the film properties of the deposited ultra low-k dielectric material. | 12-26-2013 |
20140017904 | FLOWABLE FILM DIELECTRIC GAP FILL PROCESS - Methods of this invention relate to filling gaps on substrates with a solid dielectric material by forming a flowable film in the gap. The flowable film provides consistent, void-free gap fill. The film is then converted to a solid dielectric material. In this manner gaps on the substrate are filled with a solid dielectric material. According to various embodiments, the methods involve reacting a dielectric precursor with an oxidant to form the dielectric material. In certain embodiments, the dielectric precursor condenses and subsequently reacts with the oxidant to form dielectric material. In certain embodiments, vapor phase reactants react to form a condensed flowable film. | 01-16-2014 |
20140038427 | Carbosilane Precursors For Low Temperature Film Deposition - Provided are processes for the low temperature deposition of silicon-containing films using carbosilane precursors containing a carbon atom bridging at least two silicon atoms. Certain methods comprise providing a substrate; in a PECVD process, exposing the substrate surface to a carbosilane precursor containing at least one carbon atom bridging at least two silicon atoms; exposing the carbosilane precursor to a low-powered energy sourcedirect plasma to provide a carbosilane at the substrate surface; and densifying the carbosilanestripping away at least some of the hydrogen atoms to provide a film comprising SiC. The SiC film may be exposed to the carbosilane surface to a nitrogen source to provide a film comprising SiCN. | 02-06-2014 |
20140045342 | FLOWABLE CARBON FOR SEMICONDUCTOR PROCESSING - Methods are described for forming flowable carbon layers on a semiconductor substrate. A local excitation (such as a hot filament in hot wire CVD, a plasma in PECVD or UV light) may be applied as described herein to a silicon-free carbon-containing precursor containing a hydrocarbon to form a flowable carbon-containing film on a substrate. A remote excitation method has also been found to produce flowable carbon-containing films by exciting a stable precursor to produce a radical precursor which is then combined with unexcited silicon-free carbon-containing precursors in the substrate processing region. | 02-13-2014 |
20140045343 | THIN FILM DEPOSITION APPARATUS - A thin film deposition apparatus can be simply applied to produce large-sized display devices on a mass scale and improves manufacturing yield. The thin film deposition apparatus for forming a thin film on a substrate includes: a deposition source that discharges a deposition material; a deposition source nozzle unit disposed at a side of the deposition source and including a plurality of deposition source nozzles arranged in a first direction; and a patterning slit sheet disposed opposite to the deposition source nozzle unit and including a plurality of patterning slits arranged in the first direction; wherein each of the patterning slits includes a plurality of sub-slits. | 02-13-2014 |
20140051263 | FILM FORMING METHOD - This film forming method comprises: a first material gas supply step (A) wherein a first raw material gas is supplied over the substrate to be processed so that a first chemical adsorption layer, which is adsorbed on the substrate by means of the first raw material gas is formed on the substrate to be processed, a second material gas supply step (C) wherein a second raw material that is different from the first raw material gas is supplied over the substrate, on which the first chemical adsorption layer has been formed, so that a second chemical adsorption layer, which is adsorbed by means of the second raw material gas, is formed on the first chemical adsorption layer; and a plasma processing step (E) wherein a plasma processing is carried on at least the first and second chemical adsorption layers using microwave plasma. | 02-20-2014 |
20140057457 | Non-melt thin-wafer laser thermal annealing methods - Methods of annealing a thin semiconductor wafer are disclosed. The methods allow for high-temperature annealing of one side of a thin semiconductor wafer without damaging or overheating heat-sensitive electronic device features that are either on the other side of the wafer or embedded within the wafer. The annealing is performed at a temperature below the melting point of the wafer so that no significant dopant redistribution occurs during the annealing process. The methods can be applied to activating dopants or to forming ohmic contacts. | 02-27-2014 |
20140065841 | ATOMIC LAYER DEPOSITION OF GeO2 - Atomic layer deposition processes for forming germanium oxide thin films are provided. In some embodiments the ALD processes can include the following: contacting the substrate with a vapor phase tetravalent Ge precursor such that at most a molecular monolayer of the Ge precursor is formed on the substrate surface; removing excess Ge precursor and reaction by products, if any; contacting the substrate with a vapor phase oxygen precursor that reacts with the Ge precursor on the substrate surface; removing excess oxygen precursor and any gaseous by-products, and repeating the contacting and removing steps until a germanium oxide thin film of the desired thickness has been formed. | 03-06-2014 |
20140080318 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS AND RECORDING MEDIUM - Provided are: forming a thin film made of a specific element alone on a substrate by performing a specific number of times a cycle of: supplying a first source to the substrate, the first source containing the specific element and a halogen-group; and supplying a second source to the substrate, the second source containing the specific element and an amino-group, and having amino-group-containing ligands whose number is two or less in its composition formula and not more than the number of halogen-group-containing ligands in the composition formula of the first source. | 03-20-2014 |
20140080319 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - A method of manufacturing a semiconductor device includes forming a thin film containing a predetermined element, oxygen, carbon, and nitrogen on a substrate by performing a cycle a predetermined number of times. The cycle includes supplying a predetermined element-containing gas to the substrate; supplying a carbon-containing gas and a plasma-excited inert gas to the substrate; supplying an oxidizing gas to the substrate; and supplying a nitriding gas to the substrate. | 03-20-2014 |
20140106574 | GAPFILL OF VARIABLE ASPECT RATIO FEATURES WITH A COMPOSITE PEALD AND PECVD METHOD - Provided herein are methods and apparatus for filling one or more gaps on a semiconductor substrate. The disclosed embodiments are especially useful for forming seam-free, void-free fill in both narrow and wide features. The methods may be performed without any intervening etching operations to achieve a single step deposition. In various implementations, a first operation is performed using a novel PEALD fill mechanism to fill narrow gaps and line wide gaps. A second operation may be performed using PECVD methods to continue filling the wide gaps. | 04-17-2014 |
20140113456 | PREPARATION OF CERIUM-CONTAINING PRECURSORS AND DEPOSITION OF CERIUM-CONTAINING FILMS - Methods and compositions for depositing rare earth metal-containing layers are described herein. In general, the disclosed methods deposit the precursor compounds comprising rare earth-containing compounds using deposition methods such as chemical vapor deposition or atomic layer deposition. The disclosed precursor compounds include a cyclopentadienyl ligand having at least one aliphatic group as a substituent and an amidine ligand. | 04-24-2014 |
20140120738 | METHOD OF DEPOSITING THIN FILM - A method for forming a silicon germanium oxide thin film on a substrate in a reaction space may be performed using an atomic layer deposition (ALD) process. The process may include at least one cycle comprising a germanium oxide deposition sub-cycle and a silicon oxide deposition sub-cycle. The germanium oxide deposition sub-cycle may include contacting the substrate with a germanium reactant, removing excess germanium reactant, and contacting the substrate with a first oxygen reactant. The silicon oxide deposition sub-cycle may include contacting the substrate with a silicon reactant, removing excess silicon reactant, and contacting the substrate with a second oxygen reactant. The films of the present disclosure exhibit desirable etch rates relative to thermal oxide. Depending on the films' composition, the etch rates may be higher or lower than the etch rates of thermal oxide. | 05-01-2014 |
20140120739 | COMPOSITIONS OF LOW-K DIELECTRIC SOLS CONTAINING NONMETALLIC CATALYSTS - A sol composition for producing a porous low-k dielectric material is provided. The composition can include at least one silicate ester, a polar solvent, water, an acid catalyst for silicate ester hydrolysis, an amphiphilic block copolymer surfactant, and a nonmetallic catalyst that reduces dielectric constant in the produced material. The composition can further include a metallic ion at a lower parts-per-million concentration than the nonmetallic catalyst, and/or the composition can further include a cosolvent. A method of preparing a thin film on a substrate using the sol composition is also provided. | 05-01-2014 |
20140141624 | METHOD OF MANUFACTURING TUNNEL BARRIER LAYER OR GATE INSULATOR FILM AND APPARATUS FOR MANUFACTURING TUNNEL BARRIER LAYER OR GATE INSULATOR FILM - It is an object of the present invention to provide a method of and an apparatus for manufacturing a tunnel barrier layer or a gate insulator film with good film quality and film thickness uniformity. The present invention is characterized in that, a shield is configured to shield a region of a substrate to which an erosion region of a target is projected along a normal from a surface of the target and sputtered particles are configured to deposit on the substrate linearly moved when passing through an opening formed in the shield. | 05-22-2014 |
20140154890 | PERIPHERY COATING APPARATUS, PERIPHERY COATING METHOD AND STORAGE MEDIUM THEREFOR - A periphery coating unit performs a scan-in process of moving a resist liquid nozzle | 06-05-2014 |
20140162465 | PLASMA SHIELD SURFACE PROTECTION - Apparatuses and methods are provided for electrostatically inhibiting particle contamination of a surface of a process structure, such as a mask or reticle. The apparatuses include a plasma-generating system configured to establish a plasma shield over the surface of the process structure. The plasma shield includes a plasma region and a plasma sheath over the surface of the process structure, with the plasma sheath being disposed, at least partially, adjacent to the surface of the process structure, between the plasma region and the surface of the process structure. The plasma shield facilitates negatively charging particles within the plasma shield, and electrostatically inhibits negatively-charged particle contamination of the surface of the process structure to be protected. | 06-12-2014 |
20140170859 | FILM FORMATION DEVICE, SUBSTRATE PROCESSING DEVICE, AND FILM FORMATION METHOD - A film formation device to conduct a film formation process for a substrate includes a rotating table, a film formation area configured to include a process gas supply part, a plasma processing part, a lower bias electrode provided at a lower side of a position of a height of the substrate on the rotating table, an upper bias electrode arranged at the same position of the height or an upper side of a position of the height, a high-frequency power source part connected to at least one of the lower bias electrode and the upper bias electrode and configured to form a bias electric potential on the substrate in such a manner that the lower bias electrode and the upper bias electrode are capacitively coupled, and an exhaust mechanism. | 06-19-2014 |
20140170860 | SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Substrate processing uniformity is improved in the surfaces of wafers and between the wafers. A method of manufacturing a semiconductor device, including: loading a substrate holder into an inner tube, the substrate holder holding a plurality of substrates in a state where the plurality of substrates are horizontally oriented and stacked; forming thin films on the plurality of substrates by supplying a source gas to an inside of the inner tube; and unloading the substrate holder from the inner tube, wherein the forming the thin films is performed in a state where a conductance of a space between an inner wall of the inner tube and a gas penetration preventing cylinder is smaller than a conductance of a region where the plurality of substrates are stacked. | 06-19-2014 |
20140179118 | SURFACE TREATMENT METHOD FOR SEMICONDUCTOR DEVICE - A surface treatment method for a semiconductor device includes providing a substrate where a plurality of projected patterns are formed, forming a hydrophobic coating layer on a surface of each of the plurality of projected patterns, rinsing the substrate with deionized water, and drying the substrate, wherein the hydrophobic coating layer is formed using a coating agent that includes phosphate having more than one hydrocarbon group, phosphonate having more than one hydrocarbon group, or a mixture thereof. | 06-26-2014 |
20140179119 | ADVANCED LOW k CAP FILM FORMATION PROCESS FOR NANO ELECTRONIC DEVICES - A method of forming a carbon-rich silicon carbide-like dielectric film having a carbon concentration of greater than, or equal to, about 30 atomic % C and a dielectric constant of less than, or equal to, about 4.5 is provided. The dielectric film may optionally include nitrogen. When nitrogen is present, the carbon-rich silicon carbide-like dielectric film has a concentration nitrogen that is less than, or equal, to about 5 atomic % nitrogen. | 06-26-2014 |
20140193983 | APPARATUSES AND METHODS FOR DEPOSITING SiC/SiCN FILMS VIA CROSS-METATHESIS REACTIONS WITH ORGANOMETALLIC CO-REACTANTS - Disclosed herein are methods of forming SiC/SiCN film layers on surfaces of semiconductor substrates. The methods may include introducing a silicon-containing film-precursor and an organometallic ligand transfer reagent into a processing chamber, adsorbing the silicon-containing film-precursor, the organometallic ligand transfer reagent, or both onto a surface of a semiconductor substrate under conditions whereby either or both form an adsorption-limited layer, and reacting the silicon-containing film-precursor with the organometallic ligand transfer reagent, after either or both have formed the adsorption-limited layer. The reaction results in the forming of the film layer. In some embodiments, a byproduct is also formed which contains substantially all of the metal of the organometallic ligand transfer reagent, and the methods may further include removing the byproduct from the processing chamber. Also disclosed herein are semiconductor processing apparatuses for forming SiC/SiCN film layers. | 07-10-2014 |
20140199855 | Method for making a carbon nanotube film - A method for making a carbon nanotube film includes the steps of: (a) adding a plurality of carbon nanotubes to a solvent to create a carbon nanotube floccule structure in the solvent; (b) separating the carbon nanotube floccule structure from the solvent; and (c) shaping the separated carbon nanotube floccule structure to obtain the carbon nanotube film. | 07-17-2014 |
20140206203 | METHODS OF FORMING A PORUOUS INSULATOR, AND RELATED METHODS OF FORMING SEMICONDUCTOR DEVICE STRUCTURES - Semiconductor devices with porous insulative materials are disclosed. The porous insulative materials may include a consolidated material with voids dispersed therethrough. The voids may be defined by shells of microcapsules. The voids impart the dielectric materials with reduced dielectric constants and, thus, increased electrical insulation properties. | 07-24-2014 |
20140213070 | LOW SHRINKAGE DIELECTRIC FILMS - Methods of forming a dielectric layer on a substrate are described, and may include introducing a first precursor into a remote plasma region fluidly coupled with a substrate processing region of a substrate processing chamber A plasma may be formed in the remote plasma region to produce plasma effluents. The plasma effluents may be directed into the substrate processing region. A silicon-containing precursor may be introduced into the substrate processing region, and the silicon-containing precursor may include at least one silicon-silicon bond. The plasma effluents and silicon-containing precursor may be reacted in the processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate. | 07-31-2014 |
20140220789 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - An oxide film capable of suppressing reflection of a lens is formed under a low temperature. A method of manufacturing a semiconductor device includes forming a metal-containing oxide film on a substrate by performing a cycle a predetermined number of times, the cycle comprising: (a) supplying a metal-containing source to the substrate; (b) supplying an oxidizing source to the substrate; and (c) supplying a catalyst to the substrate. | 08-07-2014 |
20140235069 | MULTI-PLENUM SHOWERHEAD WITH TEMPERATURE CONTROL - An apparatus for use with radical sources for supplying radicals during semiconductor processing operations is provided. The apparatus may include a stack of plates or components that form a faceplate assembly. The faceplate assembly may include a radical diffuser plate, a precursor delivery plate, and a thermal isolator interposed between the radical diffuser plate and the precursor delivery plate. The faceplate assembly may have a pattern of radical through-holes with centerlines substantially perpendicular to the radical diffuser plate. The thermal isolator may be configured to regulate heat flow between the radical diffuser plate and the precursor delivery plate. | 08-21-2014 |
20140242809 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - A method of manufacturing a semiconductor device is disclosed. The method includes forming a film containing a predetermined element and carbon on a substrate by performing a cycle a predetermined number of times. The cycle includes supplying a first process gas containing the predetermined element and a halogen element to the substrate; supplying a second process gas containing carbon and nitrogen to the substrate; supplying a third process gas containing carbon to the substrate; and supplying a fourth process gas to the substrate, the fourth process gas being different from each of the first to the third process gases. | 08-28-2014 |
20140256155 | Cleaning Solution for Preventing Pattern Collapse - A chemical solution for use in cleaning a patterned substrate includes water, from approximate 0.01 to 99.98 percent by weight; hydrogen peroxide, from 0 to 30 percent by weight; a pH buffering agent, from approximate 0.01 to 50 percent by weight; a metal chelating agent, from approximate 0 to 10 percent by weight; and a compound for lowering a surface tension of the combination of water, hydrogen peroxide, pH buffering agent, and metal chelating agent. Examples of the compound include an organic solvent, from approximate 0 to 95 percent by weight, or a non-ionic surfactant agent, from approximate 0 to 2 percent by weight. | 09-11-2014 |
20140256156 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - A method of manufacturing a semiconductor device, includes treating a surface of an insulating film formed on a substrate by supplying a first precursor including a predetermined element and a halogen group to the substrate; and forming a thin film including the predetermined element on the treated surface of the insulating film by performing a cycle a predetermined number of times, the cycle comprising: supplying a second precursor including the predetermined element and the halogen group to the substrate; and supplying a third precursor to the substrate. | 09-11-2014 |
20140256157 | VAPORIZING UNIT, FILM FORMING APPARATUS, FILM FORMING METHOD, COMPUTER PROGRAM AND STORAGE MEDIUM - A vaporizing unit, in supplying a gas material produced by vaporizing a liquid material onto a substrate to conduct a film forming process, can vaporize the liquid material with high efficiency to suppress generation of particles. With the vaporizing unit, positively or negatively charged bubbles, which have a diameter of 1000 nm or less, are produced in the liquid material, and the liquid material is atomized to form a mist of the liquid material. Further, the mist of the liquid material is heated and vaporized. The fine bubbles are uniformly dispersed in advance in the liquid material, so that very fine and uniform mist particles of the liquid material are produced when the liquid material is atomized, which makes heat exchange readily conducted. By vaporizing the mist of the liquid material, vaporization efficiency is enhanced, and generation of particles can be suppressed. | 09-11-2014 |
20140273519 | HYDROGEN-PLASMA PROCESS FOR SURFACE PREPARATION PRIOR TO INSULATOR DEPOSITION ON COMPOUND SEMICONDUCTOR MATERIALS - A method of making a semiconductor material by pretreating a semiconductor substrate having a native oxide on the substrate surface under vacuum with hydrogen plasma to remove and/or modify the native oxide. After plasma exposure, a high-k dielectric is deposited in-situ onto the substrate using atomic layer deposition. There is no break in the vacuum between the plasma exposure and the atomic layer deposition. Also disclosed is the related semiconductor/dielectric material stack. | 09-18-2014 |
20140295677 | FILM FORMING METHOD AND FILM FORMING APPARATUS - A method of forming an oxide film on an object to be processed, includes: supplying a film-forming raw material gas into a processing chamber; performing at least one of exhausting the processing chamber and supplying a purge gas into the processing chamber to remove gas remaining in the processing chamber; supplying an oxidant gas into the processing chamber; and performing at least one of exhausting the processing chamber and supplying the purge gas into the processing chamber to remove gas remaining in the processing chamber, wherein supplying an oxidant gas includes: supplying a first oxidant gas into the processing chamber at a first concentration; and supplying a second oxidant gas into the processing chamber at a second concentration higher than the first concentration. | 10-02-2014 |
20140302686 | Apparatus and Method for Conformal Treatment of Dielectric Films Using Inductively Coupled Plasma - Disclosed are apparatus and methods for processing a substrate. The substrate having a feature with a layer thereon is exposed to an inductively coupled plasma which forms a substantially conformal layer. | 10-09-2014 |
20140308821 | HYDROXYL GROUP TERMINATION FOR NUCLEATION OF A DIELECTRIC METALLIC OXIDE - A surface of a semiconductor-containing dielectric material/oxynitride/nitride is treated with a basic solution in order to provide hydroxyl group termination of the surface. A dielectric metal oxide is subsequently deposited by atomic layer deposition. The hydroxyl group termination provides a uniform surface condition that facilitates nucleation and deposition of the dielectric metal oxide, and reduces interfacial defects between the oxide and the dielectric metal oxide. Further, treatment with the basic solution removes more oxide from a surface of a silicon germanium alloy with a greater atomic concentration of germanium, thereby reducing a differential in the total thickness of the combination of the oxide and the dielectric metal oxide across surfaces with different germanium concentrations. | 10-16-2014 |
20140335701 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - A thin film containing boron and a borazine ring structure is formed on a substrate by performing a cycle a predetermined number of times under a condition where the borazine ring structure is preserved in a borazine compound. The cycle includes: supplying a source gas containing boron and a halogen element to the substrate; and supplying a reactive gas including a borazine compound to the substrate. | 11-13-2014 |
20140335702 | PREPARATION OF CERIUM-CONTAINING PRECURSOR AND DEPOSITION OF CERIUM-CONTAINING FILMS - Methods and compositions for depositing rare earth metal-containing layers are described herein. In general, the disclosed methods deposit the precursor compounds comprising rare earth-containing compounds using deposition methods such as chemical vapor deposition or atomic layer deposition. The disclosed precursor compounds include a cyclopentadienyl ligand having at least one aliphatic group as a substituent and an amidine ligand. | 11-13-2014 |
20140363983 | Method For Filling Recesses Using Pre-Treatment With Hydrocarbon-Containing Gas - A method for filling recesses of a substrate with an insulation film includes: (i) exposing surfaces of the recesses of the substrate to a pre-deposition gas in a reactive state in a reaction space to treat the surfaces with reactive hydrocarbons generated from the pre-deposition gas without filling the recesses; and (ii) depositing a flowable insulation film using a process gas other than the pre-deposition gas on a surface of the substrate to fill the recesses treated in step (i) therewith by plasma reaction. The pre-deposition gas has at least one hydrocarbon unit in its molecule. | 12-11-2014 |
20140363984 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A manufacturing method of a semiconductor device includes forming a first resist film above a substrate, placing a first photomask, that includes a first mask pattern, in a first position above the first resist film, transferring the first mask pattern to the first resist film to form a first resist pattern above the substrate, forming a second resist film above the substrate after forming the first resist pattern, placing the first photomask in a second position above the second resist film, and transferring the first mask pattern to the second resist film to form a second resist pattern above the substrate. | 12-11-2014 |
20140377965 | DIRECTED SELF-ASSEMBLY (DSA) FORMULATIONS USED TO FORM DSA-BASED LITHOGRAPHY FILMS - An illustrative DSA formulation disclosed herein includes a block copolymer material, a casting solvent and at least one plasticizer agent. An illustrative method disclosed herein includes depositing a liquid DSA formulation on a guide layer, performing a spin-coating process to form a DSA-based material layer comprised of the liquid DSA formulation above the guide layer, wherein the DSA-based material layer includes at least one plasticizing agent and, after performing the spin-coating process, performing at least one heating process on the DSA-based material layer while at least some of the plasticizing agent remains in the DSA-based material layer so as to enable phase separation of block copolymer materials. | 12-25-2014 |
20150017815 | Combinatorial Non-Contact Wet Processing - An apparatus and method for combinatorial non-contact wet processing of a liquid material may include a source of a liquid material, a first reaction cell, a second reaction cell, a first plurality of gas jets disposed within an interior of the first reaction cell, the first plurality of gas jets configured to atomize the liquid material transferred to the interior of the first reaction cell, a second plurality of gas jets disposed within an interior of the second reaction cell, the second plurality of gas jets configured to atomize the liquid material transferred to the interior of the second reaction cell, a first vacuum element disposed along a periphery of the first reaction cell, and a second vacuum element disposed along a periphery of the at least a second reaction cell. | 01-15-2015 |
20150044880 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF PROCESSING SUBSTRATE, SUBSTRATE PROCESSING APPARATUS, AND PROGRAM - A method of manufacturing a semiconductor device is provided, which enables the film quality to be improved when the film is formed on a substrate at a low temperature, thus forming fine patterns. The method of manufacturing a semiconductor device includes: forming the film on a substrate by alternately supplying at least a source gas and a reactive gas to the substrate while maintaining the substrate at a first temperature by heating; and modifying the film by supplying a modification gas excited by plasma to the substrate with the film formed thereon while naturally cooling the substrate with the film formed thereon to a second temperature without heating the substrate, the second temperature being lower than the first temperature. | 02-12-2015 |
20150050815 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD, SUBSTRATE PROCESSING METHOD, AND SUBSTRATE PROCESSING APPARATUS - Provided is a semiconductor device manufacturing method which has: a step wherein a processing substrate to be processed is placed on a substrate mounting member that is provided in a processing chamber having a plurality of gas supply regions; a film-forming step wherein a processing gas is supplied to the processing chamber, and the substrate is processed; a step wherein the substrate is carried out from the processing chamber; and a cleaning step wherein the density of the cleaning gas is controlled, while controlling cleaning gas quantities in the gas supply regions, respectively, in a state wherein the substrate is not placed in the processing chamber. | 02-19-2015 |
20150072535 | INSULATING FILM, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE - In a semiconductor device including a transistor including an oxide semiconductor film and a protective film over the transistor, an oxide insulating film containing oxygen in excess of the stoichiometric composition is formed as the protective film under the following conditions: a substrate placed in a treatment chamber evacuated to a vacuum level is held at a temperature higher than or equal to 180° C. and lower than or equal to 260° C.; a source gas is introduced into the treatment chamber so that the pressure in the treatment chamber is set to be higher than or equal to 100 Pa and lower than or equal to 250 Pa; and a high-frequency power higher than or equal to 0.17 W/cm | 03-12-2015 |
20150087160 | SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND RECORDING MEDIUM - A substrate processing apparatus includes: a processing gas supply pipe configured to supply a processing gas into a processing chamber; a substrate mounting table that is installed in the processing chamber and on which a substrate to be processed is mounted; a driving unit configured to drive the substrate mounting table to move the substrate mounted on the substrate mounting table; a first plasma generating unit configured to generate plasma of the processing gas supplied into the processing chamber with a first density; and a second plasma generating unit that is installed adjacent to the first plasma generating unit in a traveling direction of the substrate and configured to generate plasma of the processing gas supplied into the processing chamber with a second density lower than the first density. | 03-26-2015 |
20150093914 | METHODS FOR DEPOSITING AN ALUMINUM OXIDE LAYER OVER GERMANIUM SUSBTRATES IN THE FABRICATION OF INTEGRATED CIRCUITS - Methods for fabricating integrated circuits are provided in various exemplary embodiments. In one embodiment, a method for fabricating an integrated circuit includes providing a germanium-based semiconductor substrate comprising a GeO | 04-02-2015 |
20150093915 | SULFUR DOPED CARBON HARD MASKS - Provided are methods of forming ashable hard masks (AHMs) with high etch selectivity and low hydrogen content using plasma enhanced chemical vapor deposition. Methods involve exposing a first layer to be etched on a semiconductor substrate to a carbon source and sulfur source, and generating a plasma to deposit a sulfur-doped AHM or amorphous carbon-based film on the first layer. | 04-02-2015 |
20150093916 | Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Non-Transitory Computer-Readable Recording Medium - Generation of byproducts is inhibited in a buffer space even in a single-wafer-type apparatus using the buffer space. A method of manufacturing a semiconductor device includes (a) loading a substrate into a process chamber; (b) supplying a first-element-containing gas via a buffer chamber of a shower head to the substrate placed in the process chamber; (c) supplying a second-element-containing gas to the substrate via the buffer chamber; and (d) performing an exhaust process between (b) and (c), wherein (d) includes: exhausting an atmosphere of the buffer chamber; and exhausting an atmosphere of the process chamber after exhausting the atmosphere of the buffer chamber. | 04-02-2015 |
20150104954 | DEPOSITION OF BORON AND CARBON CONTAINING MATERIALS - Methods of depositing boron and carbon containing films are provided. In some embodiments, methods of depositing B,C films with desirable properties, such as conformality and etch rate, are provided. One or more boron and/or carbon containing precursors can be decomposed on a substrate at a temperature of less than about 400° C. In some embodiments methods of depositing silicon nitride films comprising B and C are provided. A silicon nitride film can be deposited by a deposition process including an ALD cycle that forms SiN and a CVD cycle that contributes B and C to the growing film. | 04-16-2015 |
20150118862 | TREATMENT FOR FLOWABLE DIELECTRIC DEPOSITION ON SUBSTRATE SURFACES - Provided herein are methods and apparatus for improved flowable dielectric deposition on substrate surfaces. The methods involve improving nucleation and wetting on the substrate surface without forming a thick high wet etch rate interface layer. According to various embodiments, the methods may include single or multi-stage remote plasma treatments of a deposition surface. In some embodiments, a treatment may include exposure to both a reducing chemistry and a hydrogen-containing oxidizing chemistry. Apparatus for performing the methods are also provided. | 04-30-2015 |
20150118863 | METHODS AND APPARATUS FOR FORMING FLOWABLE DIELECTRIC FILMS HAVING LOW POROSITY - Provided herein are methods and apparatus for forming flowable dielectric films having low porosity. In some embodiments, the methods involve plasma post-treatments of flowable dielectric films. The treatments can involve exposing a flowable film to a plasma while the film is still in a flowable, reactive state but after deposition of new material has ceased. | 04-30-2015 |
20150132972 | SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - A substrate processing apparatus includes: a reaction tube configured to accommodate a plurality of substrates and to be supplied with a gas generated by vaporizing or turning into mist a solution containing a reactant in a solvent; a lid configured to close the reaction tube; a first heater configured to heat the plurality of substrates; a thermal conductor placed on the lid on an upper surface thereof; a second heater placed outside the reaction tube around a side thereof, the second heater being configured to heat the gas flowing near the lid; and a heating element placed on the lid on a lower surface thereof, the heating element configured to heat the lid. | 05-14-2015 |
20150147892 | METHOD FOR FABRICATING SEMICONDUCTOR STRUCTURE, AND SOLID PRECURSOR DELIVERY SYSTEM - A method for fabricating a semiconductor structure is provided, including: providing a solid precursor having a first average particle size; solving the solid precursor in an organic solvent into an intermediate; recrystallizing the intermediate to form solid granules, wherein the solid granules has a second average particle size larger than the first average particle size; vaporizing the solid granules to form a film-forming gas; and depositing the film-forming gas on a substrate to form a resistance film. A method for modifying a resistance film source in a semiconductor fabrication and a solid precursor delivery system are also provided. The method for fabricating a semiconductor structure in the present disclosure can remove small particles or ultra-small particles from solid precursor, and does not need extra time to dump cracked solid precursor. | 05-28-2015 |
20150332912 | SINGLE ALD CYCLE THICKNESS CONTROL IN MULTI-STATION SUBSTRATE DEPOSITION SYSTEMS - Disclosed are methods of depositing films of material on multiple semiconductor substrates in a multi-station processing chamber. The methods may include loading a first set of one or more substrates into the processing chamber at a first set of one or more process stations and depositing film material onto the first set of substrates by performing N cycles of film deposition. Thereafter, the methods may further include transferring the first set of substrates from the first set of process stations to a second set of one or more process stations, loading a second set of one or more substrates at the first set of process stations, and depositing film material onto the first and second sets of substrates by performing N′ cycles of film deposition, wherein N′ is not equal to N. Also disclosed are apparatuses and computer-readable media which may be used to perform similar operations. | 11-19-2015 |
20150357181 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - Technique includes forming a film containing first element, second element and carbon on a substrate by performing a cycle a predetermined number of times. The cycle includes non-simultaneously performing forming a first solid layer having thickness of more than one atomic layer and equal to or less than several atomic layers and containing chemical bonds of the first element and carbon by supplying a precursor having the chemical bonds to the substrate under a condition where the precursor is pyrolyzed and at least some of the chemical bonds contained in the precursor are maintained without being broken, and forming a second solid layer by plasma-exciting a reactant containing the second element and supplying the plasma-excited reactant to the substrate, or by plasma-exciting an inert gas and supplying the plasma-excited inert gas and a reactant containing the second element which is not plasma-excited to the substrate. | 12-10-2015 |
20150380727 | OXIDE SHELL FORMATION ON INORGANIC SUBSTRATES VIA LITHIUM POLYOXOANION SALT DEPOSITION - The present invention provides a process for depositing an oxide coating on an inorganic substrate, including providing an aqueous composition containing a tetraalkylammonium polyoxoanion and lithium hydroxide; contacting the aqueous composition with an inorganic substrate for a time sufficient to deposit a lithium polyoxoanion on surfaces of the inorganic substrate to form an initially coated inorganic substrate; and heating the initially coated inorganic substrate for a time sufficient to convert the lithium polyoxoanion to an oxide to form on the inorganic substrate an oxide coating derived from the polyoxoanion. The inorganic substrate may be a ceramic material or a semiconductor material, a glass or other dielectric material, and the ceramic material may be a lithium ion battery cathode material. | 12-31-2015 |
20160002789 | SUBSTRATE PROCESSING APPARATUS, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND RECORDING MEDIUM - A substrate processing apparatus includes a processing chamber housing a substrate, a vaporizer which vaporizes processing liquid and supply processing gas into the processing chamber, a reserve tank storing the processing liquid, a line switching unit connected to the reserve tank, a tank supply pipe connected to the line switching unit and supplies the processing liquid to the reserve tank, an exhausting unit connected to the line switching unit and exhausts the processing liquid in the reserve tank, and a controlling unit which controls the line switching unit to exhaust the processing liquid for exhausting the processing liquid from the reserve tank to the exhausting unit and exhaust the processing liquid in the pipe for supplying the processing liquid from the tank supply pipe to the exhausting unit before and/or after supplying the processing liquid from the processing liquid supplying pipe to the reserve tank. | 01-07-2016 |
20160005594 | HIGH EFFICIENCY APPARATUS AND METHOD FOR DEPOSITING A LAYER ON A THREE DIMENSIONAL STRUCTURE - In one embodiment, a processing apparatus may include a process chamber configured to house a substrate and a hybrid source assembly that includes a gas channel coupled to a molecular source; and a plasma chamber configured to generate a plasma and isolated from the gas channel. The processing apparatus may also include an extraction assembly disposed between the hybrid source assembly and process chamber, coupled to the gas channel and plasma chamber, and configured to direct an ion beam to a substrate, the ion beam comprising angled ions wherein the angled ions form a non-zero angle with respect to a perpendicular to a substrate plane; and configured to direct a molecular beam comprising molecular species received from the gas channel to the substrate. | 01-07-2016 |
20160013042 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM | 01-14-2016 |
20160013049 | ENHANCING UV COMPATIBILITY OF LOW K BARRIER FILM | 01-14-2016 |
20160013053 | Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium | 01-14-2016 |
20160020090 | ENHANCEMENT OF MODULUS AND HARDNESS FOR UV-CURED ULTRA LOW-K DIELECTRIC FILMS - Embodiments described herein generally relate to methods for processing a dielectric film on a substrate with UV energy. In one embodiment, a precursor film is deposited on the substrate, and the precursor film includes a plurality of porogen molecules. The precursor film is first exposed to UV energy at a first temperature to initiate a cross-linking process. After a first predetermined time, the temperature of the precursor film is increased to a second temperature for a second predetermined time to remove porogen molecules and to continue the cross-linking process. The resulting film is a porous low-k dielectric film having improved elastic modulus and hardness. | 01-21-2016 |
20160024649 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SUBSTRATE PROCESSING APPARATUS - Provided is a semiconductor device manufacturing method of forming a film of less than one atomic layer on a substrate. The method includes (a) supplying a source gas into a processing chamber accommodating the substrate to adsorb the source gas on the substrate; (b) supplying a reactive gas different from the source gas into the processing chamber to cause a reaction of the reactive gas with the source gas adsorbed on the substrate before the source gas is saturatively adsorbed on the substrate; (c) removing an inner atmosphere of the processing chamber; and (d) supplying a modifying gas into the processing chamber to modify the source gas adsorbed on the substrate. | 01-28-2016 |
20160024659 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - A technique includes forming a film containing a first element, a second element and carbon on a substrate by performing a cycle a predetermined number of times. The cycle includes non-simultaneously performing supplying a first precursor having chemical bonds between the first elements to a substrate, supplying a second precursor having chemical bonds between the first element and carbon without having the chemical bonds between the first elements to the substrate, and supplying a first reactant containing the second element to the substrate. | 01-28-2016 |
20160028079 | OXIDE SHELL FORMATION ON INORGANIC SUBSTRATE VIA OXIDATIVE POLYOXOANION SALT DEPOSITION - The present invention provides a process for depositing an oxide coating on an inorganic substrate, including providing an aqueous composition containing a tetraalkylammonium polyoxoanion and hydrogen peroxide; contacting the aqueous composition with an inorganic substrate for a time sufficient to deposit a hydroxide derived from the polyoxoanion on surfaces of the inorganic substrate to form an initially coated inorganic substrate; and heating the initially coated inorganic substrate for a time sufficient to convert the hydroxide to an oxide to form on the inorganic substrate an oxide coating derived from the polyoxoanion. The inorganic substrate may be a ceramic material or a semiconductor material, a glass or other dielectric material, and the ceramic material may be a lithium ion battery cathode material. | 01-28-2016 |
20160035566 | METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM - Disclosed are methods of depositing films of material on semiconductor substrates employing the use of a secondary purge. The methods may include flowing a film precursor into a processing chamber and adsorbing the film precursor onto a substrate in the processing chamber such that the precursor forms an adsorption-limited layer on the substrate. The methods may further include removing at least some unadsorbed film precursor from the volume surrounding the adsorbed precursor by purging the processing chamber with a primary purge gas, and thereafter reacting adsorbed film precursor while a secondary purge gas is flowed into the processing chamber, resulting in the formation of a film layer on the substrate. The secondary purge gas may include a chemical species having an ionization energy and/or a disassociation energy equal to or greater than that of O | 02-04-2016 |
20160035615 | Methods Of Manufacturing A Semiconductor Device - Methods of manufacturing a semiconductor device are described. In an embodiment, the method may include providing a substrate having a metal layer disposed thereon, the metal layer having a conductive trace pattern formed therein; depositing a dielectric material over the conductive trace pattern of the metal layer; determining a layout of a plurality of air gaps that will be formed in the dielectric material based on a design rule checking (DRC) procedure and the conductive trace pattern; and forming the plurality of air gaps in the dielectric material based on the layout of the plurality of air gaps. | 02-04-2016 |
20160056032 | METHODS AND APPARATUSES FOR STABLE DEPOSITION RATE CONTROL IN LOW TEMPERATURE ALD SYSTEMS BY SHOWERHEAD ACTIVE HEATING AND/OR PEDESTAL COOLING - Disclosed are methods of depositing films of material on semiconductor substrates. The methods may include flowing a film precursor into a processing chamber through a showerhead substantially maintained at a first temperature, and adsorbing the film precursor onto a substrate held on a substrate holder such that the precursor forms an adsorption-limited layer while the substrate holder is substantially maintained at a second temperature. The first temperature may be at least about 10° C. above the second temperature, or the first temperature may be at or below the second temperature. The methods may further include removing at least some unadsorbed film precursor from the volume surrounding the adsorbed film precursor, and thereafter reacting adsorbed film precursor to form a film layer. Also disclosed herein are apparatuses having a processing chamber, a substrate holder, a showerhead, and one or more controllers for operating the apparatus to employ the foregoing film deposition techniques. | 02-25-2016 |
20160056035 | Method of Manufacturing Semiconductor Device - A method forms a film on a substrate to have different film thicknesses and features within a plane of the substrate and improves the manufacturing throughput. The method comprises: (a) supplying a first process gas from above a substrate and a second process gas from a lateral direction with respect to the substrate; and (b) supplying a first reactive gas from above the substrate and a second reactive gas from the lateral direction with respect to the substrate, wherein at least one of (a) and (b) is performed at least once in a manner that a total amount of the first and second process gases supplied to the substrate center is different from that of the first and second process gases supplied to the substrate periphery. | 02-25-2016 |
20160064219 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - A technique includes loading a substrate into a process chamber, supporting the substrate by a mounting table having a heater therein in the process chamber, forming a film on the substrate by supplying a processing gas into the process chamber in a state where the mounting table having the substrate supported thereon is disposed in a first position and the heater is turned on, unloading the substrate on which the film is formed, and supplying a reactive gas into the process chamber in a state where the mounting table is disposed in a second position and the heater is turned on. The second position is closer to a ceiling portion in the process chamber than the first position. | 03-03-2016 |
20160071721 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - An object of the present invention is to form a good thin film while suppressing generation of foreign substances in a low temperature region. Provided is a method of manufacturing a semiconductor device, including: (a) forming a thin film containing at least a predetermined element and carbon on a substrate by performing a cycle a predetermined number of times, the cycle including: (a-1) supplying a source gas containing the predetermined element and a halogen element to the substrate in a process container; and (a-2) supplying a reaction gas composed of carbon, nitrogen, and hydrogen to the substrate in the process container; and (b) modifying byproduct adhered to an inside of the process container by supplying a nitriding gas into the process container after (a). | 03-10-2016 |
20160072002 | NANOWIRES FORMED BY EMPLOYING SOLDER NANODOTS - A photovoltaic device and method include depositing a metal film on a substrate layer. The metal film is annealed to form islands of the metal film on the substrate layer. The substrate layer is etched using the islands as an etch mask to form pillars in the substrate layer. | 03-10-2016 |
20160079056 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - A technique includes forming a film having a borazine ring structure and containing boron and nitrogen on a substrate by intermittently performing an act of simultaneously performing: (a) supplying borazine-based gas including a ligand to the substrate; and (b) supplying a ligand desorption gas which desorbs the ligand to the substrate, wherein the (a) and (b) are performed under a condition where the borazine ring structure in the borazine-based gas is held. | 03-17-2016 |
20160079058 | PROCESS FOR DENSIFYING NITRIDE FILM - In some embodiments, a nitride film is provided over a semiconductor substrate and densified. The nitride film may be a flowable nitride, which may be deposited to at least partially fill openings in the substrate. Densifying the film is accomplished without exposing the nitride film to plasma by exposing the nitride film to a non-plasma densifying agent in the process chamber. The non-plasma densifying agent may be a nitriding gas, a hydrogen scavenging gas, a silicon precursor, or a combination thereof. | 03-17-2016 |
20160093512 | Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Non-Transitory Computer-Readable Recording Medium - Provided is a configuration capable of suppressing a variation in characteristics of transistor. The configuration includes: a process chamber; a gas supply unit configured to supply a hard mask forming gas into the process chamber; a substrate support table configured to support a substrate W | 03-31-2016 |
20160097126 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - A Technique includes forming a film on a substrate in a process chamber by performing a cycle a predetermined number of times. The cycle includes non-simultaneously performing supplying a precursor gas to the substrate, exhausting the precursor gas from the process chamber, supplying an oxygen-containing gas to the substrate, exhausting the oxygen-containing gas from the process chamber, supplying a hydrogen-containing gas to the substrate, and exhausting the hydrogen-containing gas from the process chamber. At least one among an amount of an exhausted gas and an exhaust rate in the act of exhausting the oxygen-containing gas is set greater than that in the act of exhausting the precursor gas, and at least one among an amount of an exhausted gas and an exhaust rate in the act of exhausting the hydrogen-containing gas is set greater than that in the act of exhausting the precursor gas. | 04-07-2016 |
20160148801 | SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD AND STORAGE MEDIUM - A substrate processing apparatus, that performs oxidization on a surface of a substrate in a vacuum atmosphere formed in a vacuum chamber, includes an atmosphere gas supply part configured to supply an atmosphere gas into the vacuum chamber to form a processing atmosphere containing ozone and hydrogen donor, wherein a concentration of the ozone is above a threshold concentration to trigger chain reaction of decomposition. The substrate processing apparatus further includes an energy supply part configured to supply an energy to the processing atmosphere to oxidize a surface of a substrate with reactive species generated by forcibly decomposing the ozone and hydroxyl radical generated by reaction of the hydrogen donor. | 05-26-2016 |
20160172189 | METHOD OF SELECTIVE GAS PHASE FILM DEPOSITION ON A SUBSTRATE | 06-16-2016 |
20160172211 | UV ASSISTED CVD ALN FILM FOR BEOL ETCH STOP APPLICATION | 06-16-2016 |
20160181088 | PROCESS KIT FOR A HIGH THROUGHPUT PROCESSING CHAMBER | 06-23-2016 |
20160181089 | FCVD LINE BENDING RESOLUTION BY DEPOSITION MODULATION | 06-23-2016 |
20160204005 | SUPPORT ASSEMBLY FOR SUBSTRATE BACKSIDE DISCOLORATION CONTROL | 07-14-2016 |
20170233868 | Vapor Based Site-Isolated Processing Systems and Methods | 08-17-2017 |
20190144997 | SYSTEMS AND METHODS FOR UPPER SUSCEPTOR RINGS | 05-16-2019 |
20220139758 | MULTI-WAFER DEPOSITION TOOL FOR REDUCING RESIDUAL DEPOSITION ON TRANSFER BLADES AND METHODS OF OPERATING THE SAME - A multi-wafer deposition tool includes a vacuum enclosure including a platen laterally surrounding multiple wafer stages, a spindle-blade assembly including a spindle and multiple transfer blades attached to the spindle, and a controller configured to transfer wafers between the multiple wafer stages through rotation of the multiple transfer blades around a rotation axis pasting through the spindle. A chamber clean process may be performed while the transfer blades of the spindle-blade assembly are positioned over the multiple wafer stages. Alternatively or additionally, a deposition cycle may be performed while the transfer blades of the spindle-blade assembly are positioned between neighboring pairs of the wafer stages and while a purge gas that flows out of purge gas openings into spaces between the wafer stages. | 05-05-2022 |