Entries |
Document | Title | Date |
20080206996 | SIDEWALL IMAGE TRANSFER PROCESSES FOR FORMING MULTIPLE LINE-WIDTHS - A method for simultaneously forming multiple line-widths, one of which is less than that achievable employing conventional lithographic techniques. The method includes providing a structure which includes a memory layer and a sidewall image transfer (SIT) layer on top of the memory layer. Then, the SIT layer is patterned resulting in a SIT region. Then, the SIT region is used as a blocking mask during directional etching of the memory layer resulting in a first memory region. Then, a side wall of the SIT region is retreated a retreating distance D in a reference direction resulting in a SIT portion. Said patterning comprises a lithographic process. The retreating distance D is less than a critical dimension CD associated with the lithographic process. The SIT region includes a first dimension W | 08-28-2008 |
20080206997 | Method for Manufacturing Insulating Film and Method for Manufacturing Semiconductor Device - A method for manufacturing an insulating film, by which the insulating film can be formed of a non-photosensitive siloxane resin and formed into a desired shape by wet etching. A thin film is formed with a suspension in which a siloxane resin or a siloxane-based material is included in an organic solvent; a first heat treatment is performed on the thin film; a mask is formed over the thin film after the first heat treatment; wet etching with an organic solvent is performed to process the shape of the thin film after the first heat treatment; and a second heat treatment is performed on the processed thin film. | 08-28-2008 |
20080206998 | SEMICONDUCTOR FABRICATION APPARATUSES TO PERFORM SEMICONDUCTOR ETCHING AND DEPOSITION PROCESSES AND METHODS OF FORMING SEMICONDUCTOR DEVICE USING THE SAME - A semiconductor fabrication apparatus and a method of fabricating a semiconductor device using the same performs semiconductor etching and deposition processes at an edge of a semiconductor substrate after disposing the semiconductor substrate at a predetermined place in the semiconductor fabrication apparatus. The semiconductor fabrication apparatus has lower, middle and upper electrodes sequentially stacked. The semiconductor substrate is disposed on the middle electrode. Semiconductor etching and deposition processes are performed on the semiconductor substrate in the semiconductor fabrication apparatus. The semiconductor fabrication apparatus forms electrical fields along an edge of the middle electrode during performance of the semiconductor etching and deposition processes. | 08-28-2008 |
20080206999 | METHOD FOR WET ETCHING WHILE FORMING INTERCONNECT TRENCH IN INSULATING FILM - A wet etching method that includes forming an insulating film on a substrate, and irradiating laser light to the insulating film during wet etching of the insulating film using an etching solution. | 08-28-2008 |
20080214007 | METHOD FOR REMOVING DIAMOND LIKE CARBON RESIDUE FROM A DEPOSITION/ETCH CHAMBER USING A PLASMA CLEAN - Provided is a method for removing diamond like carbon residue from a deposition chamber. This method, in one embodiment, may include subjecting a deposition chamber including diamond like carbon residue to a plasma clean in the presence of fluorine containing gas and oxygen containing gas. The method may further include purging the deposition chamber having been subjected to the plasma clean with an inert gas, and pumping the deposition chamber having been subjected to the plasma clean. | 09-04-2008 |
20080214008 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In a method of manufacturing a semiconductor device, a plurality of structures are formed on a substrate, and a coating film is formed over a whole surface of the substrate to cover the plurality of structures. A photoresist layer is formed to have an opening portion above a target structure of the plurality of structures, and the coating film on a side of the opening is etched to expose a part of the target structure by using the photoresist layer as a mask while maintaining the substrate in a state covered with the coating film. Also, a target portion as at least a portion of the target structure is etched while leaving the coating film, and the photoresist layer and the coating film are removed. | 09-04-2008 |
20080220611 | Method of forming fine patterns of semiconductor devices using double patterning - A method of forming fine patterns of semiconductor device according to an example embodiment may include forming a plurality of multi-layered mask patterns by stacking first mask patterns and buffer mask patterns on an etch film to be etched on a substrate, forming, on the etch film, second mask patterns in spaces between the plurality of multi-layered mask patterns, removing the second mask patterns to expose upper surfaces of the first mask patterns, and forming the fine patterns by etching the etch film using the first and second mask patterns as an etch mask. This example embodiment may result in the formation of diverse dimensions at diverse pitches on a single substrate. | 09-11-2008 |
20080227299 | TAPERED EDGE EXPOSURE FOR REMOVAL OF MATERIAL FROM A SEMICONDUCTOR WAFER - A semiconductor wafer edge exposure process as described herein employs a photoresist exposure step that exposes photoresist material to radiation having a gradient intensity profile near the outer edge of the wafer. The gradient intensity profile creates a tapered outer edge in the developed photoresist material, which in turn creates a tapered outer edge in the underlying target material after etching. Different gradient intensity profiles can also be used for subsequent layers of material. The resulting tapered edge profile of the wafer is resistant to edge peeling and flaking. | 09-18-2008 |
20080242092 | METHOD OF MANUFACTURING SPACER - A method of manufacturing an L-shaped spacer is described. First, a substrate is provided and a protruding structure is formed thereon. Next, a dielectric material is formed on the substrate and covers the stacked structure. Then, the dielectric material on the top of the protruding structure and on portions of the substrate is removed to form an L-shaped spacer. | 10-02-2008 |
20080242093 | Method for manufacturing semiconductor integrated circuit device - Cracks are generated in a resist film part used to form an opening part in a photoreceptor part, whereby etching is performed as far as the inter-layer insulating film in unintended portions. In order to prevent this, the resist pattern used as an etching mask is formed in a shape that disperses the stress. The stress is generated because the resist is hardened by post baking after having been exposed and developed. In order to disperse the stress, the opening part of the resist pattern is formed in a planar shape that has no corners. | 10-02-2008 |
20080248650 | Etching apparatus and method for semiconductor device - Disclosed is an etching method for a semiconductor device. The protecting layer, such as the hydrocarbon layer or the hydrocarbon layer containing phosphorous, is formed on the photoresist layer by using the precursor gas containing no fluorine. Therefore, the etching process enabling the thin photoresist to have a high selectivity can be performed, thereby improving the etching efficiency. The method includes the steps of placing a semiconductor substrate in a chamber, in which a material layer is formed on the semiconductor substrate and a photoresist layer is formed on the material layer, forming a hydrocarbon layer on the photoresist layer by introducing precursor gas containing no fluorine into the chamber and etching an etching target material by introducing etching gas into the chamber. | 10-09-2008 |
20080254630 | DEVICE AND METHODOLOGY FOR REDUCING EFFECTIVE DIELECTRIC CONSTANT IN SEMICONDUCTOR DEVICES - Method of manufacturing a semiconductor device structure, including the steps of providing a structure having an insulator layer with at least one interconnect, forming a sub lithographic template mask over the insulator layer, and selectively etching the insulator layer through the sub lithographic template mask to form sub lithographic features spanning to a sidewall of the at least one interconnect. | 10-16-2008 |
20080268644 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - There are provided the steps of loading a substrate into a reaction vessel; forming a film on the substrate while supplying a film forming gas into the reaction vessel; unloading the substrate after film formation from the reaction vessel; supplying a cleaning gas into the reaction vessel while lowering a temperature in the reaction vessel and removing a deposit deposited on at least an inner wall of the reaction vessel in the film forming step. | 10-30-2008 |
20080268645 | METHOD FOR FRONT END OF LINE FABRICATION - In one embodiment, a method for removing native oxides from a substrate surface is provided which includes supporting a substrate containing silicon oxide within a processing chamber, generating a plasma of reactive species from a gas mixture within the processing chamber, cooling the substrate to a first temperature of less than about 65° C. within the processing chamber, and directing the reactive species to the cooled substrate to react with the silicon oxide thereon while forming a film on the substrate. The film usually contains ammonium hexafluorosilicate. The method further provides positioning the substrate in close proximity to a gas distribution plate, and heating the substrate to a second temperature of about 100° C. or greater within the processing chamber to sublimate or remove the film. The gas mixture may contain ammonia, nitrogen trifluoride, and a carrier gas. | 10-30-2008 |
20080274621 | III-Nitride semiconductor device with trench structure - A III-nitride trench device has a vertical conduction region with an interrupted conduction channel when the device is not on, providing an enhancement mode device. The trench structure may be used in a vertical conduction or horizontal conduction device. A gate dielectric provides improved performance for the device by being capable of withstanding higher electric field or manipulating the charge in the conduction channel. A passivation of the III-nitride material decouples the dielectric from the device to permit lower dielectric constant materials to be used in high power applications. | 11-06-2008 |
20080280443 | Exposure Mask And Method Of Forming A Contact Hole Of A Semiconductor Device Employing The Same - An exposure mask and a method of forming a contact hole of a semiconductor device using the same, in which micro patterns can be formed are disclosed herein. In an aspect, an exposure mask method includes a mask substrate, a light-shield pattern formed on the mask substrate, and a transparent pattern in which a plurality of patterns, which are limited to the light-shield pattern and have different short-direction widths and long-direction widths, form a group which is repeatedly arranged. Accordingly, micro photoresist patterns can be formed uniformly. | 11-13-2008 |
20080280444 | METHOD OF FORMING MICRO PATTERN OF SEMICONDUCTOR DEVICE - The present invention relates to a method of forming a micro pattern of a semiconductor device. In the method according to an aspect of the present invention, an etch target layer, a first hard mask layer, and insulating patterns of a lonzenge are formed over a semiconductor substrate. A first auxiliary pattern is formed on the first hard mask layer including the insulating patterns, wherein a contact hole having the same shape as that of the insulating pattern is formed at the center of four adjacent insulating patterns, which form a quadrilateral. A second auxiliary pattern is formed by etching the first auxiliary pattern so that a top surface of the insulating patterns is exposed. The exposed insulating patterns are removed. A first hard mask pattern is formed by etching the first hard mask layer using an etch process employing the second auxiliary pattern as an etch mask. The etch target layer is etched using the first hard mask pattern. | 11-13-2008 |
20080286969 | Patterning methods - The invention includes a template comprising one or both of Cbs and CdSe adhered to a base in a desired pattern. The base can be any transparent or translucent material, and the desired pattern can include two or more separated segments. The template can be utilized for patterning a plurality of substrates. For instance, the substrates can be provided to have masking layers thereover, and the CdS and/or CdSe can be utilized as catalytic material to sequentially impart patterns in the masking layers. The imparting of the patterns can modify some regions of the masking layers relative to others, and either the modified or unmodified regions can be selectively removed to form patterned masks from the masking layers. Patterns from the patterned masks can then be transferred into the substrates. | 11-20-2008 |
20080286970 | Method for producing a semiconductor component and a semiconductor component produced according to the method - A method for producing a semiconductor component includes forming an n-doped layer in a p-doped layer of the semiconductor component, wherein the n-doped layer comprises at least one of: a sieve-like layer or a network-like layer. The method also includes porously etching the p-doped layer between the material of the n-doped layer to form a top electrode, and forming a cavity below the n-doped layer. | 11-20-2008 |
20080286971 | CMOS Gate Structures Fabricated by Selective Oxidation - A sidewall image transfer process for forming sub-lithographic structures employs a layer of sacrificial material that is deposited over a structure layer and covered by a cover layer. The sacrificial material layer and the cover layer are patterned with conventional resist and etched to form a sacrificial mandrel. The edges of the mandrel are oxidized or nitrided in a plasma at low temperature, after which the material layer and the cover layer are stripped, leaving sublithographic sidewalls. The sidewalls are used as hardmasks to etch sublithographic gate structures in the gate conductor layer. | 11-20-2008 |
20080293248 | METHOD OF FORMING AMORPHOUS CARBON FILM AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME - The present invention relates to a method of forming an amorphous carbon film and a method of manufacturing a semiconductor device using the method. An amorphous carbon film is formed on a substrate by vaporizing a liquid hydrocarbon compound, which has chain structure and one double bond, and supplying the compound to a chamber, and ionizing the compound. The amorphous carbon film is used as a hard mask film. | 11-27-2008 |
20080299773 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - In a semiconductor device manufacturing method, on a film to be processed, a mask material film is formed which has pattern openings for a plurality of contact patterns and connection openings for connecting adjacent pattern openings in such a manner that the connection between them is constricted in the middle. Then, a sidewall film is formed on the sidewalls of the individual openings in the mask material film, thereby not only making the diameter of the pattern openings smaller but also separating adjacent pattern openings. Then, the film to be processed is selectively etched with the mask material film and sidewall film as a mask, thereby making contact holes. | 12-04-2008 |
20080311751 | Method for Etching a Layer on a Substrate - A method for etching a layer that is to be removed on a substrate, in which a Si | 12-18-2008 |
20080311753 | OXYGEN SACVD TO FORM SACRIFICAL OXIDE LINERS IN SUBSTRATE GAPS - A method of forming and removing a sacrificial oxide layer is described. The method includes forming a step on a substrate, where the step has a top and sidewalls. The method may also include forming the sacrificial oxide layer around the step by chemical vapor deposition of molecular oxygen and TEOS, where the oxide layer is formed on the top and sidewalls of the step. The method may also include removing a top portion of the oxide layer and the step; removing a portion of the substrate exposed by the removal of the step to form a etched substrate; and removing the entire sacrificial oxide layer from the etched substrate. | 12-18-2008 |
20090004865 | METHOD FOR TREATING A WAFER EDGE - A method for treating an edge portion of a wafer with a plasma or select chemical formulation in order to enhance adhesion characteristics and inhibit delamination of a layer of material from the wafer surface only on the edge portion that is being treated. Alternatively, the method may be utilized to effectuate a cleaning of an edge portion of a wafer. | 01-01-2009 |
20090004866 | METHOD OF FORMING MICRO PATTERN OF SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device includes forming a target etch layer over a substrate, a first auxiliary layer over the target etch layer, an isolation layer over the first auxiliary layer, and a second auxiliary layer over the isolation layer. A first exposure process is performed, where the first auxiliary layer is in focus and the second auxiliary layer is out of focus. A second exposure process is performed, where the second auxiliary layer in focus and the first auxiliary layer is out of focus. The second auxiliary layer is developed to form first mask patterns. The isolation layer and the first auxiliary layer are etched by using the first mask patterns to form second mask patterns. The second mask patterns are developed to form third mask patterns that are used to facilitate subsequent etching of the target etch layer. | 01-01-2009 |
20090011600 | METHOD AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE - The present invention is directed to a method and an apparatus for manufacturing a semiconductor device including step S | 01-08-2009 |
20090011601 | Over-coating agent for forming fine patterns and a method of forming fine patterns using such agent - It is disclosed an over-coating agent for forming fine-line patterns which is applied to cover a substrate having thereon photoresist patterns and allowed to shrink under heat so that the spacing between adjacent photoresist patterns is lessened, with the applied film of the over-coating agent being removed substantially completely to form or define fine trace patterns, further characterized by containing a copolymer or a mixture of polyvinyl alcohol with a water-soluble polymer other than polyvinyl alcohol. Also disclosed is a method of forming fine-line patterns using the over-coating agent. According to the invention, one can effectively increase the shrinkage amount (the amount of heat shrinking) of the agent, thereby achieving a remarkably improved effect of forming or defining fine-line patterns and which also present satisfactory profiles and meet the characteristics required of today's semiconductor devices. | 01-08-2009 |
20090011602 | Film Forming Method of Amorphous Carbon Film and Manufacturing Method of Semiconductor Device Using the Same - Disclosed is a film forming method of an amorphous carbon film, including: disposing a substrate in a processing chamber; supplying a processing gas containing carbon, hydrogen and oxygen into the processing chamber; and decomposing the processing gas by heating the substrate in the processing chamber and depositing the amorphous carbon film on the substrate. | 01-08-2009 |
20090017625 | Methods For Removing Gate Sidewall Spacers In CMOS Semiconductor Fabrication Processes - Semiconductor fabrication processes are provided for removing sidewall spacers from gate structures while mitigating or otherwise preventing defect mechanisms such as damage to metal silicide structures or otherwise impeding or placing limitations on subsequent process flows. | 01-15-2009 |
20090017626 | SEMICONDUCTOR WET ETCHANT AND METHOD OF FORMING INTERCONNECTION STRUCTURE USING THE SAME - A semiconductor wet etchant includes deionized water, a fluorine-based compound, an oxidizer and an inorganic salt. A concentration of the fluorine-based compound is 0.25 to 10.0 wt % based on a total weight of the etchant, a concentration of the oxidizer is 0.45 to 3.6 wt % based on a total weight of the etchant, and a concentration of the inorganic salt is 1.0 to 5.0 wt % based on a total weight of the etchant. The inorganic salt comprises at least one of an ammonium ion (NH | 01-15-2009 |
20090042394 | MANUFACTURING METHOD FOR WIRING - In the case in which a film for a resist is formed by spin coating, there is a resist material to be wasted, and the process of edge cleaning is added as required. Further, when a thin film is formed on a substrate using a vacuum apparatus, a special apparatus or equipment to evacuate the inside of a chamber vacuum is necessary, which increases manufacturing cost. The invention is characterized by including: a step of forming conductive layers on a substrate having a dielectric surface in a selective manner with a CVD method, an evaporation method, or a sputtering method; a step of discharging a compound to form resist masks so as to come into contact with the conductive layer; a step of etching the conductive layers with plasma generating means using the resist masks under the atmospheric pressure or a pressure close to the atmospheric pressure; and a step of ashing the resist masks with the plasma generating means under the atmospheric pressure or a pressure close to the atmospheric pressure. With the above-mentioned characteristics, efficiency in use of a material is improved, and a reduction in manufacturing cost is realized. | 02-12-2009 |
20090047788 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device includes forming a plurality of first hard mask patterns at certain intervals over a substrate where an etch target layer is formed, forming a sacrificial layer along a step of the substrate where the first hard mask patterns are formed, forming a second hard mask layer over the sacrificial layer, etching a portion of the second hard mask layer to expose the sacrificial layer and form second hard mask patterns remaining between the first hard mask patterns, removing the sacrificial layer between the first hard mask patterns and the second hard mask patterns, and etching the etch target layer using the first hard mask patterns and the second hard mask patterns as an etch mask. | 02-19-2009 |
20090047789 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device includes forming an amorphous carbon layer over a substrate, forming a hard mask pattern over the amorphous carbon layer, and etching the amorphous carbon layer with an etching gas including sulfur (S) using the hard mask pattern as an etch barrier. Deformation of the amorphous carbon patterns is prevented by hardening the sidewalls of the amorphous carbon layer exposed during etching of the amorphous carbon layer. Therefore, when the etch target layer is etched with the amorphous carbon patterns having a vertical shape, pattern uniformity of the etch target pattern can be improved. | 02-19-2009 |
20090061631 | GATE REPLACEMENT WITH TOP OXIDE REGROWTH FOR THE TOP OXIDE IMPROVEMENT - Methods of replacing/reforming a top oxide around a charge storage element of a memory cell and methods of improving quality of a top oxide around a charge storage element of a memory cell are provided. The method can involve removing a first poly over a first top oxide from the memory cell; removing the first top oxide from the memory cell; and forming a second top oxide around the charge storage element. The second top oxide can be formed by oxidizing a portion of the charge storage element or by forming a sacrificial layer over the charge storage element and oxidizing the sacrificial layer to a second top oxide. | 03-05-2009 |
20090061632 | METHODS FOR CLEANING ETCH RESIDUE DEPOSITED BY WET ETCH PROCESSES FOR HIGH-K DIELECTRICS | 03-05-2009 |
20090061633 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - According to an aspect of an embodiment, a method of manufacturing a semiconductor device has forming an insulating layer comprising silica-based insulating material, processing the insulating layer, hydrophobizing the insulating layer by applying a silane compound to act on the insulating layer; and irradiating the insulating layer with light or an electron beam. | 03-05-2009 |
20090068842 | METHOD FOR FORMING MICROPATTERNS IN SEMICONDUCTOR DEVICE - A method for forming a semiconductor device includes forming an etch target layer over a substrate, forming a first etch stop layer over the etch target layer, forming a second etch stop layer over the first etch stop layer, forming a first sacrificial layer over the second etch stop layer, forming first sacrificial patterns by selectively etching the first sacrificial layer, forming second sacrificial layer over the second etch stop layer and the first sacrificial patterns, etching the second sacrificial layer and the second etch stop layer until the first sacrificial patterns are exposed and the second sacrificial layer remain only on sidewalls of the first sacrificial patterns, removing the exposed first sacrificial patterns, etching the exposed second etch stop layer mask to define a plurality of first structures, etching the first etch stop layer, and etching the etch target layer. | 03-12-2009 |
20090075480 | Silicon Carbide Doped Oxide Hardmask For Single and Dual Damascene Integration - Interconnects of integrated circuits (ICs) utilize low-k dielectrics, copper metal lines, dual damascene processing and amplified photoresist chemistry to build ICs with features smaller than 100 nm. Photolithographic processing of interconnects with these elements are subject to resist poisoning from nitrogen in etch stop and hard mask dielectric layers. Attempts to solve this problem cause lower IC circuit performance or higher fabrication process cost and complexity. This invention comprises a method of fabricating interconnects in an IC using layers of silicon carbide doped oxide (SiCO) in a via etch stop layer, in a trench etch stop layer, as a via etch hard mask and as a trench etch hard mask. | 03-19-2009 |
20090075481 | METHOD OF FABRICATING SEMICONDUCTOR SUBSTRATE BY USE OF HETEROGENEOUS SUBSTRATE AND RECYCLING HETEROGENEOUS SUBSTRATE DURING FABRICATION THEREOF - The invention discloses a method of fabricating a first substrate and a method of recycling a second substrate during fabrication of the first substrate. The second substrate is heterogeneous for the first substrate. First, the fabricating method according to the invention is to prepare the second substrate. Subsequently, the fabricating method is to deposit a buffer layer on the second substrate. Then, the fabricating method is to deposit a semiconductor material layer on the buffer layer. The buffer layer assists the epitaxial growth of the semiconductor material layer, and serves as a lift-off layer. Finally, with an etching solution, the fabricating method is to only etch the lift-off layer to debond the second substrate away from the semiconductor material layer, where the semiconductor material layer serves as the first substrate. | 03-19-2009 |
20090075482 | PROCESS FOR FORMING A PATTERN INCLUDING ON A SEMICONDUCTOR DEVICE - An objective of this invention is to prevent resist poisoning and sensitivity deterioration in a chemically amplified resist. The chemically amplified resist comprises a base resin, a photoacid generator and a salt exhibiting buffer effect in the base resin. | 03-19-2009 |
20090087990 | Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device - A manufacturing method of a semiconductor device, which etches a layer to be etched on a substrate into a predetermined pattern based on a first pattern of photoresist produced by exposing and developing a photoresist film, the manufacturing method including the steps of forming an SiO | 04-02-2009 |
20090087991 | Manufacturing method, manufacturing apparatus, control program and program recording medium of semicontructor device - A manufacturing method of a semiconductor device, which etches a layer to be etched on a substrate into a predetermined pattern based on a first pattern of photoresist produced by exposing and developing a photoresist film, the manufacturing method includes the steps of, patterning an organic membrane based on a first pattern of the photoresist, forming an SiO | 04-02-2009 |
20090093120 | HOLE PATTERN FORMING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A hole pattern forming method that forms a fine hole pattern in a work target layer that is formed on a semiconductor substrate, includes: forming a three-layer structure by laminating a carbon film layer, an intermediate mask layer, and a photoresist layer in that order on the work target layer; after patterning a hole pattern in the photoresist layer, patterning the hole pattern in the intermediate mask layer with the patterned photoresist layer serving as a mask; forming a sidewall oxide film on exposed portions of the photoresist layer, the intermediate mask layer, and the carbon film layer; forming a sidewall portion that includes the sidewall oxide film on inner wall surfaces of the hole pattern by etching back the sidewall oxide film; and after patterning a fine hole pattern in the carbon film layer with the sidewall portion and the intermediate mask layer serving as a mask, patterning the fine hole pattern in the work target layer with the patterned carbon film layer serving as a mask. | 04-09-2009 |
20090111269 | SILICON WAFER RECLAMATION PROCESS - By exposing a process control wafer having a porous low-k-dielectric layer thereon in an HF-based low-k dielectric etching solvent comprising a dilating additive and a passivating additive, the pores in the low-k dielectric layer are dilated some of which connect with one another to form one or more continuous channels extending through the thickness of the dielectric layer and allowing the HF-based solvent to reach down to the substrate. Then the passivating additive component of the HF-based etching solvent forms a passivation layer at the dielectric layer and the substrate interface that protects substrate from the HF-based etchant. | 04-30-2009 |
20090111270 | Method for Forming Patterns in Semiconductor Memory Device - A method for forming patterns in a semiconductor memory device, wherein first spacers arranged at a first spacing and second spacers arranged at a second spacing are formed on a target layer which is formed on a semiconductor substrate. A mask pattern is formed to cover a portion of the target layer defined by the two adjacent second spacers. At least two first patterns and at least one second pattern is formed by patterning the target layer using the first spacers, the second spacers and the mask pattern as an etch mask. Here, the second pattern is wider than the first pattern. | 04-30-2009 |
20090117741 | METHOD FOR FABRICATING MONOLITHIC TWO-DIMENSIONAL NANOSTRUCTURES - A patterning method for the creation of two-dimensional nanowire structures. Nanowire patterning methods are used with lithographical patterning approaches to form patterns in a layer of epoxy and resist material. These patterns are then transferred to an underlying thin film to produce a two-dimensional structure with desired characteristics. | 05-07-2009 |
20090117742 | METHOD FOR FABRICATING FINE PATTERN IN SEMICONDUCTOR DEVICE - A method for fabricating a pattern in a semiconductor device includes a single polysilicon hard mask by appropriately selecting spacer material in an SPT, thereby decreasing the number of fabrication processes. Furthermore, since the spacers are easily removed, it is possible to prevent the formation of a step between patterns of a cell region and a peripheral region. | 05-07-2009 |
20090117743 | Film formation apparatus and method for using same - A method for using a film formation apparatus for a semiconductor process to form a thin film on a target substrate inside a reaction chamber includes performing a cleaning process to remove a by-product film deposited on a predetermined region in a gas route from a film formation gas supply system, which supplies a film formation gas contributory to film formation, through the reaction chamber to an exhaust system, by alternately repeating an etching step and an exhaust step a plurality of times in a state where the reaction chamber does not accommodate the target substrate. The etching step includes supplying a cleaning gas in an activated state for etching the by-product film onto the predetermined region, thereby etching the by-product film. The exhaust step includes stopping supply of the cleaning gas and exhausting gas by the exhaust system from a space in which the predetermined region is present. | 05-07-2009 |
20090117744 | Ion implantation mask forming method - A method of forming an ion implantation mask includes forming a field area on a semiconductor substrate, forming an amorphous carbon layer on the semiconductor substrate, forming a hard mask layer on the amorphous carbon layer, forming an etching mask pattern on the hard mask layer, and etching the hard mask layer and the amorphous carbon layer to expose the field area through the etching mask pattern, wherein etching the hard mask layer and the amorphous carbon layer forms a hard mask layer pattern and an amorphous carbon layer pattern. | 05-07-2009 |
20090124083 | Film formation apparatus and method for using same - A method for using a film formation apparatus for a semiconductor process to form a thin film on a target substrate while supplying a film formation reactive gas from a first nozzle inside a reaction chamber includes performing a cleaning process to remove a by-product film deposited inside the reaction chamber and the first nozzle, in a state where the reaction chamber does not accommodate the target substrate. The cleaning process includes, in order, an etching step of supplying a cleaning reactive gas for etching the by-product film into the reaction chamber, and activating the cleaning reactive gas, thereby etching the by-product film, and an exhaust step of stopping supply of the cleaning reactive gas and exhausting gas from inside the reaction chamber. The etching step is arranged to use conditions that cause the cleaning reactive gas supplied in the reaction chamber to flow into the first nozzle. | 05-14-2009 |
20090130850 | Semiconductor Devices and Method of Fabricating the Same - A method of fabricating a semiconductor device is provided. A contact hole with a finer width can be formed by solving an exposure limit of KrF exposure apparatuses. The fabrication method includes forming a first insulation layer on a substrate; forming a photoresist pattern on the first insulation layer; forming a second insulation layer covering the photoresist pattern; forming a second insulation layer spacer in a sidewall of the photoresist pattern by etching the second insulation layer; forming a contact hole by etching the first insulation layer using the photoresist pattern and the second insulation layer spacer as a mask; removing the photoresist pattern; and removing the second insulation layer spacer. A contact hole with a finer width can be formed using a KrF exposure apparatus, and furthermore, contact resistance can be lowered and device characteristics can be improved | 05-21-2009 |
20090130851 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device, comprises forming a first film above a pattern forming material, patterning the first film to form a core material pattern, forming a second film above the pattern forming material so as to cover a side surface and an upper surface of the core material pattern, forming a third film above the second film as a protective material for the second film, etching the second and third films so that side wall sections including the second film and the third film are formed on both sides of the core material pattern and the second film and the third film of an area other than the side wall sections are removed, removing the core material pattern between the side wall sections, and transferring patterns corresponding to the side wall sections on the pattern forming material by using the side wall sections as a mask. | 05-21-2009 |
20090130852 | PROCESS FOR IMPROVING CRITICAL DIMENSION UNIFORMITY OF INTEGRATED CIRCUIT ARRAYS - Methods for patterning integrated circuit (IC) device arrays employing an additional mask process for improving center-to-edge CD uniformity are disclosed. In one embodiment, a repeating pattern of features is formed in a masking layer over a first region of a substrate. Then, a blocking mask is applied over the features in the masking layer. The blocking mask is configured to differentiate array regions of the first region from peripheral regions of the first region. Subsequently, the pattern of features in the array regions is transferred into the substrate. In the embodiment, an etchant can be uniformly introduced to the masking layer because there is no distinction of center/edge in the masking layer. Thus, CD uniformity can be achieved in arrays which are later defined. | 05-21-2009 |
20090137125 | ETCHING METHOD AND ETCHING APPARATUS - Disclosed is an etching method for etching a target layer formed on a surface of a target object, including: a resist forming step for forming a resist layer uniformly on the surface of the target object; a mask forming step for forming a patterned etching mask by forming an etching recess on the resist layer; a plasma resistant film forming step for forming a plasma resistant film on the entire surface of the etching mask including a bottom and a sidewall of the etching recess; a bottom plasma resistant film removing step for removing the plasma resistant film formed on the bottom of the etching recess; and a main etching step for etching the target layer by using the etching mask as a mask, after the bottom plasma resistant film removing step. | 05-28-2009 |
20090149025 | Remover Compositions - A remover composition containing 1,3-propanediamine (a), 1-hydroxyethylidene-1,1-diphosphonic acid (b) and water, wherein the remover composition contains the component (a) in an amount of from 0.2 to 30% by weight, the component (b) in an amount of from 0.05 to 10% by weight, and the water in an amount of from 60 to 99.75% by weight, and wherein the composition has a pH at 20° C. of from 9 to 13; and a remover composition containing an organic amine (A), an organic phosphonic acid (B), a linear sugar alcohol (C) and water, wherein the remover composition contains the component (A) in an amount of from 0.2 to 30% by weight, the component (B) in an amount of from 0.05 to 10% by weight, the component (C) in an amount of from 0.1 to 10% by weight, and the water in an amount of from 50 to 99.65% by weight, and wherein the composition has a pH at 20° C. of from 9 to 13. | 06-11-2009 |
20090163026 | IMMERSION LITHOGRAPHY WAFER EDGE BEAD REMOVAL FOR WAFER AND SCANNER DEFECT PREVENTION - A method of performing a single step/single solvent edge bead removal (EBR) process on a photolithography layer stack including a photoresist layer and a top coat layer using propylene glycol monomethyl ether acetate (PGMEA) or a mixture of PGMEA and gamma-butyrolactone (GBL) is disclosed. The single step/single solvent EBR process is compatible with organic and inorganic BARC layers. | 06-25-2009 |
20090170324 | Reducing adherence in a MEMS device - In one embodiment, an apparatus for reducing adherence in a micro-electromechanical system (MEMS) device comprises a substrate. A MEMS is disposed outwardly from the substrate. The MEMS comprises structures and corresponding landing pads. Dibs are disposed outwardly from the substrate. Each dib has a surface with depressions. An adherence-reducing material is disposed within each depression. The adherence-reducing material reduces adherence between at least a portion of a structure and a corresponding landing pad. | 07-02-2009 |
20090170325 | METHOD OF FORMING A SEMICONDUCTOR DEVICE PATTERN - In a method of forming patterns of a semiconductor device, first etch mask patterns are formed over a semiconductor substrate. An auxiliary film is formed over the first etch mask patterns to a thickness in which a step corresponding to the first etch mask patterns can be maintained. Second etch mask patterns are formed in spaces defined by the auxiliary film between adjacent first etch mask patterns. First auxiliary film patterns are formed by removing the auxiliary film formed on the first etch mask patterns. Each first auxiliary film pattern has opposite ends projecting upwardly. The first etch mask patterns and the second etch mask patterns are removed. Second auxiliary film patterns are formed by etching between the ends of the first auxiliary film patterns such that the opposite ends of the first auxiliary film patterns are isolated from each other. | 07-02-2009 |
20090170326 | METHOD OF FORMING MICRO PATTERN OF SEMICONDUCTOR DEVICE - The present invention relates to a method of forming micro patterns of a semiconductor device. In the method according to an aspect of the present invention, first etch mask patterns having a second pitch, which is twice larger than a first pitch of target patterns, are formed in a column direction over a semiconductor substrate. An auxiliary film is formed over the semiconductor substrate including a surface of the first etch mask patterns. An etch mask film is formed over the semiconductor substrate including the auxiliary film. An etch process is performed in order to form second etch mask patterns having the second pitch in such a manner that the etch mask film, the auxiliary film, and the first etch mask patterns are isolated from one another in a row direction and the etch mask film remains between the first etch mask patterns. The auxiliary film between the first and second etch mask patterns is removed. | 07-02-2009 |
20090170327 | Method of manufacturing a semiconductor device - In this method of manufacturing a semiconductor device, the remaining layer of an etching mask layer remains in a predetermined thickness when the stamping face of a nano-stamper is pressed on the surface of the etching mask layer. Therefore, the remaining layer of the etching mask layer functions as a cushion so that the stress added to the nano-stamper and the semiconductor substrate is reduced. Accordingly, the crystal defect that might otherwise be introduced in the semiconductor substrate in pressing the nano-stamper on the semiconductor substrate can be restrained, resulting in suppression of the degradation of optical characteristics of the semiconductor device. Also, since the nano-stamper can be prevented from being damaged, extra steps such as the replacement of the nano-stamper can be avoided. | 07-02-2009 |
20090170328 | Method for manufacturing semiconductor device and substrate processing method - The method according to the invention includes the steps of: purging an inside of the processing chamber with gas while applying a thermal impact onto the thin film deposited on the inside of the processing chamber by decreasing the temperature in the processing chamber, so as to forcibly generate a crack in the thin film and forcibly peel the adhered material with a weak adhesive force, in a state where the substrate is not present in the processing chamber; removing the thin film deposited on the inside of the processing chamber by supplying a fluorine-based gas to the inside of the processing chamber heated to a first temperature, in the state where the substrate is not present in the processing chamber; and removing an adhered material remaining on the inside of the processing chamber after removing the thin film by supplying a fluorine-based gas to the inside of the processing chamber heated to a second temperature, in the state where the substrate is not present in the processing chamber. | 07-02-2009 |
20090170329 | PHOTO MASK - A photo mask comprises a H-type light-shield pattern. In an exposure process, a photo mask is used to form a STAR (Step Asymmetry Recess) gate region, thereby stably securing a storage node contact region and improving a refresh characteristic of a semiconductor device. | 07-02-2009 |
20090186484 | PATTERN FORMATION METHOD - After forming a resist film made from a chemically amplified resist material pattern exposure is carried out by selectively irradiating the resist film with exposing light while supplying, onto the resist film, water that includes triphenylsulfonium nonaflate, that is, an acid generator, and is circulated and temporarily stored in a solution storage. After the pattern exposure, the resist film is subjected to post-exposure bake and is then developed with an alkaline developer. Thus, a resist pattern made of an unexposed portion of the resist film can be formed in a good shape. | 07-23-2009 |
20090197416 | SILICON NANO WIRE HAVING A SILICON-NITRIDE SHELL AND MTHOD OF MANUFACTURING THE SAME - Silicon nano wires having silicon nitride shells and a method of manufacturing the same are provided. Each silicon nano wire has a core portion formed of silicon, and a shell portion formed of silicon nitride surrounding the core portion. The method includes removing silicon oxide formed on the shell of the silicon nano wire and forming a silicon nitride shell. | 08-06-2009 |
20090203216 | PHOTOLITHOGRAPHIC SYSTEMS AND METHODS FOR PRODUCING SUB-DIFFRACTION-LIMITED FEATURES - Systems and methods for near-field photolithography utilize surface plasmon resonances to enable imaging of pattern features that exceed the diffraction limit. An example near-field photolithography system includes a plasmon superlens template including a plurality of opaque features to be imaged onto photosensitive material and a metal plasmon superlens. The opaque features and the metal superlens are separated by a polymer spacer layer. Light propagates through the superlens template to form an image of the opaque features on the other side of the superlens. An intermediary layer including solid or liquid material is interposed between the superlens and a photoresist-coated semiconductor wafer to reduce damage resulting from contact between the superlens template and the photoresist-coated semiconductor wafer. | 08-13-2009 |
20090209105 | PATTERN FORMING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS - A pattern forming method for forming a pattern serving as a mask, includes a process for forming a first pattern | 08-20-2009 |
20090221146 | Nonvolatile memory device and manufacturing method for the same - The object of the present invention is to provide a manufacturing method for a nonvolatile memory device including a variable resistance having a constricted shape. The nonvolatile memory device of the present invention has a storage section composed of two electrodes and a variable resistance sandwiched between the electrodes. The variable resistance is formed to a constricted shape between the electrodes. | 09-03-2009 |
20090221147 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A method of fabricating a semiconductor device according to an embodiment includes: forming a core material on a workpiece material; forming a cover film to cover the upper and side surfaces of the core material; after forming the cover film, removing the core material; after removing the core material, removing the cover film while leaving portions thereof located on the side surfaces of the core material, so as to form sidewall spacer masks; and etching the workpiece material by using the sidewall spacer masks as a mask. | 09-03-2009 |
20090233445 | METHOD OF MAKING DIAMOND NANOPILLARS - A method for fabricating diamond nanopillars includes forming a diamond film on a substrate, depositing a metal mask layer on the diamond film, and etching the diamond film coated with the metal mask layer to form diamond nanopillars below the mask layer. The method may also comprise forming diamond nuclei on the substrate prior to forming the diamond film. Typically, a semiconductor substrate, an insulating substrate, a metal substrate, or an alloy substrate is used. | 09-17-2009 |
20090233446 | Plasma etching method - The present invention is a plasma etching method for etching a surface of a substrate in which a metal nitride film and a silicon film have been respectively formed on a first base film and a second base film that had been side-by-side arranged, with surfaces of the metal nitride film and the silicon film being exposed. At least a surface area of the silicon film is nitrided. A first etching plasma is supplied onto the surface of the substrate so as to etch the metal nitride film and to expose the first base film. A second etching plasma is supplied onto the surface of the substrate so as to etch the silicon film and to expose the second base film. | 09-17-2009 |
20090239381 | POROUS FILM - A porous film which is formed using a block copolymer composed of a water-soluble polymer and a water-insoluble polymer, has nanometer-size pores, and in which a desired functional polymer is present on the pore inner walls is provided. The porous film includes a microphase-separated morphology including a continuous phase which is composed primarily of a water-insoluble polymer A, and a plurality of cylindrical microdomains which are composed primarily of a water-soluble polymer B incompatible with the water-insoluble polymer A, distributed within the continuous phase and oriented perpendicular to a surface of the film. The cylindrical microdomains contain therein pores having a cylindrical shape and an average diameter of between 1 and 200 nm. | 09-24-2009 |
20090246958 | METHOD FOR REMOVING RESIDUES FROM A PATTERNED SUBSTRATE - The present invention relates to a method for removing residues from open areas of a patterned substrate involving the steps of providing a layer of a developable anti-reflective coating (DBARC) over a substrate; providing a layer of a photoresist over said DBARC layer; pattern-wise exposing said photoresist layer and said DBARC layer to a radiation; developing said photoresist layer and said DBARC layer with a first developer to form patterned structures in said photoresist and DBARC layers; depositing a layer of a developer soluble material over said patterned structures; and removing said developer soluble material with a second developer. | 10-01-2009 |
20090253264 | THIN FILM FORMATION METHOD AND MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE - A method of forming a thin film including a first portion having a first film thickness and a second portion having a second film thickness thinner than the first film thickness. A thin film having the first film thickness is formed on a substrate, an interference waveform upon film formation from reflected light by irradiating with laser light is acquired, the second portion of the thin film is etched, an interference waveform upon etching is acquired by irradiating, with laser light, the second portion, and calculating an interference waveform upon target etching on condition that the second portion has the second film thickness, based on the interference waveform upon film formation. The etching is stopped when the interference waveform upon etching becomes the same as the interference waveform upon target etching. | 10-08-2009 |
20090253265 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - Provided is a method and a substrate processing apparatus for fabricating a semiconductor device by forming a film at a relatively high rate without etching an N | 10-08-2009 |
20090258494 | INLINE INTEGRATED CIRCUIT SYSTEM - An integrated circuit package system including: providing a leadframe with an integrated circuit mounted thereover; encapsulating the integrated circuit with an encapsulation; mounting an etch barrier below the leadframe; and etching the leadframe. | 10-15-2009 |
20090258495 | Modified darc stack for resist patterning - A method of making a device includes forming a device layer, forming an organic hard mask layer over the device layer, forming a first oxide hard mask layer over the organic hard mask layer, forming a DARC layer over the first oxide hard mask layer, forming a photoresist layer over the DARC layer, patterning the photoresist layer to form a photoresist pattern, and transferring the photoresist pattern to the device layer using the DARC layer, the first oxide hard mask layer and the organic hard mask layer. | 10-15-2009 |
20090258496 | Method for fabricating semiconductor devices using strained silicon bearing material - A method of manufacturing an integrated circuit on semiconductor substrates, e.g., silicon wafer. The method includes providing a semiconductor substrate characterized by a first lattice with a first structure and a first spacing. In a specific embodiment, the semiconductor substrate has an overlying film of material with a second lattice with a second structure and a second spacing, the second spacing placing the film of material in a strain mode characterized by a first tensile and/or compressive mode along a single film surface crystal axis across a first portion of the film of material relative to the semiconductor substrate with the first structure and the first spacing. The method patterns a predetermined region of the first portion of the film of material to cause the first tensile and/or compressive mode in the first portion of the film of material to change to a second tensile and/or compressive mode in a resulting patterned portion of the first portion of the film of material. In a preferred embodiment, the patterns are made using a masking and etching process. | 10-15-2009 |
20090258497 | PHOTORESIST RESIN, AND METHOD FOR FORMING PATTERN AND METHOD FOR MANUFACTURING DISPLAY PANEL USING THE SAME - A photoresist resin composition, a method for forming a pattern and a method for manufacturing a display panel using the photoresist resin composition are disclosed. The photoresist resin composition includes an alkali soluble resin, a photoresist compound, and a solvent, wherein the alkali soluble resin includes a first polymer resin represented by the following Chemical Formula 1, wherein, of R | 10-15-2009 |
20090258498 | Method for Manufacturing a Semiconductor Device - A method for manufacturing a semiconductor device using a photoresist polymer comprising a fluorine component, a photoresist composition containing the photoresist polymer and an organic solvent to reduce surface tension, by forming a photoresist film uniformly on the whole surface of an underlying layer pattern to allow a subsequent ion-implanting process to be stably performed. | 10-15-2009 |
20090263971 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - A method of manufacturing a semiconductor device comprises: (a) loading a substrate into a process chamber, wherein the substrate has at least a silicon exposure surface and an exposure surface of silicon oxide film or silicon nitride film on a substrate surface; (b) simultaneously supplying at least a first process gas containing silicon and a second process gas for etching into the process chamber under conditions that the substrate inside the process chamber is heated to a predetermined temperature; and (c) supplying a third process gas having a stronger etchability than the second process gas into the process chamber, wherein the operation (b) and the operation (c) are performed at least one or more times so that an epitaxial film is selectively grown on the silicon exposure surface of the substrate surface | 10-22-2009 |
20090269929 | NON-PLASMA CAPPING LAYER FOR INTERCONNECT APPLICATIONS - The present invention provides an interconnect structure which has a high leakage resistance and substantially no metallic residues and no physical damage present at an interface between the interconnect dielectric and an overlying dielectric capping layer. The interconnect structure of the invention also has an interface between each conductive feature and the overlying dielectric capping layer that is substantially defect-free. The interconnect structure of the invention includes a non-plasma deposited dielectric capping layer which is formed utilizing a process including a thermal and chemical-only pretreatment step that removes surface oxide from atop each of the conductive features as well as metallic residues from atop the interconnect dielectric material. Following this pretreatment step, the dielectric capping layer is deposited. | 10-29-2009 |
20090275200 | TECHNIQUE FOR REDUCING TOPOGRAPHY-RELATED IRREGULARITIES DURING THE PATTERNING OF A DIELECTRIC MATERIAL IN A CONTACT LEVEL OF CLOSELY SPACED TRANSISTORS - In a dual stress liner approach, the surface conditions after the patterning of a first stress-inducing layer may be enhanced by appropriately designing an etch sequence for substantially completely removing an etch stop material, which may be used for the patterning of the second stress-inducing dielectric material, while, in other cases, the etch stop material may be selectively formed after the patterning of the first stress-inducing dielectric material. Hence, the dual stress liner approach may be efficiently applied to semiconductor devices of the 45 nm technology and beyond. | 11-05-2009 |
20090275201 | SUBSTRATE PROCESSING SYSTEM - A substrate processing method implemented in a substrate processing system that includes an etching apparatus that carries out plasma etching processing on a substrate and a vacuum-type substrate transferring apparatus to which the etching apparatus is connected is provided. A first step includes forming a protective film on a rear surface of the substrate before the plasma etching processing is carried out. The protective film prevents the rear surface of the substrate from being scratched by an electrostatic chuck that electrostatically attracts the substrate during the plasma etching processing. A second step includes electrostatically attracting the substrate to the electrostatic chuck such that the electrostatic chuck directly contacts the rear surface of the substrate and of carrying out the plasma etching processing on the substrate. A third step includes removing the protective film from the rear surface of the substrate after the plasma etching processing has been carried out. | 11-05-2009 |
20090280650 | FLOWABLE DIELECTRIC EQUIPMENT AND PROCESSES - Methods of depositing and curing a dielectric material on a substrate are described. The methods may include the steps of providing a processing chamber partitioned into a first plasma region and a second plasma region, and delivering the substrate to the processing chamber, where the substrate occupies a portion of the second plasma region. The methods may further include forming a first plasma in the first plasma region, where the first plasma does not directly contact with the substrate, and depositing the dielectric material on the substrate to form a dielectric layer. One or more reactants excited by the first plasma are used in the deposition of the dielectric material. The methods may additional include curing the dielectric layer by forming a second plasma in the second plasma region, where one or more carbon-containing species is removed from the dielectric layer. | 11-12-2009 |
20090286399 | Substrate Processing Method and Storage Medium - A substrate processing method includes performing an etching process on a low dielectric constant film disposed on a substrate, thereby forming a predetermined pattern thereon; denaturing a remaining substance to be soluble in a predetermined liquid after the etching process; dissolving and removing the substance thus denatured, by supplying the predetermined liquid thereon; then, performing a silylation process on a surface of the low dielectric constant film, by supplying a silylation agent thereon, after said dissolving and removing the substance denatured; and baking the substrate after the silylation process. | 11-19-2009 |
20090286400 | PLASMA PROCESS WITH PHOTORESIST MASK PRETREATMENT - A method for etching features in a dielectric layer through a photoresist (PR) mask is provided. The PR mask is patterned using laser light having a wavelength not more than 193 nm. The PR mask is pre-treated with a noble gas plasma, and then a plurality of cycles of a plasma process is provided. Each cycle includes a deposition phase that deposits a deposition layer over the PR mask, the deposition layer covering a top and sidewalls of mask features of the PR mask, and a shaping phase that shapes the deposition layer deposited over the PR mask. | 11-19-2009 |
20090286401 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A method of fabricating a semiconductor device according to one embodiment includes: forming a core material on a workpiece; forming a coating film comprising an amorphous material so as to cover an upper surface and side faces of the core material; crystallizing the coating film by applying heat treatment; forming a sidewall mask by removing the crystallized coating film while leaving a portion thereof located on the side faces of the core material; removing the core material after forming the sidewall mask; and etching the workpiece using the sidewall mask as a mask after removing the core material. | 11-19-2009 |
20090298291 | METHOD FOR FORMING A PATTERN OF A SEMICONDUCTOR DEVICE - In a method for forming a pattern of a semiconductor device, an ultra fine pattern is formed using a spacer patterning technology to overcome resolution limits of an exposer. A silicon-containing resist enhancement lithography assisted by a chemical shrink (RELACS) layer is formed with a spin-con-coating method in a track apparatus over a photoresist pattern. As a result, a cross-linking reaction is generated between the RELACS layer and the photoresist patterns to form the spacer, and the spacer is used as a mask in the patterning process. | 12-03-2009 |
20090305505 | METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes forming a plurality bar patterns over an underlying layer. A spacer is formed at both sides of the bar patterns and the bar patterns are removed. The spacers are isolated by an exposing process to form a vernier pattern. The underlying layer is etched using the vernier pattern as an etching mask. | 12-10-2009 |
20090311865 | Method for double patterning lithography - A method for double patterning lithography includes: (a) forming a first pattern on a first material layer that is formed on a semiconductor substrate, the first pattern having a plurality of first parts extending in a first direction and spaced apart along a second direction transverse to the first direction, and a plurality of first gaps among the first parts; (b) forming a second pattern on the first pattern, the second pattern having a plurality of second parts extending in the second direction and spaced apart along the first direction, and a plurality of second gaps among the second parts, the first and second gaps intersecting each other and cooperatively defining a plurality of uncovering regions where the first and second gaps intersect each other; and (c) etching portions of the first material layer exposed via the uncovering regions. | 12-17-2009 |
20090311866 | METHOD AND APPARATUS FOR PRODUCTION OF METAL FILM OR THE LIKE - In a metal film production apparatus, a copper plate member is etched with a Cl | 12-17-2009 |
20100003822 | METHOD FOR PRODUCING COLUMNAR STRUCTURED MATERIAL - A microcolumnar structured material having a desired material. The columnar structured material includes columnar members obtained by introducing a filler into columnar holes formed in a porous material. The porous material has the columnar holes formed by removing columnar substances from a structured material in which the columnar substances containing a first component are dispersed in a matrix member containing a second component capable of forming a eutectic with the first component. The matrix member may be removed. In the columnar structured material, the filler is a conductive material, and an electrode can be structured by electrically connecting the conductive materials in at least a part of a plurality of holes to a conductor. | 01-07-2010 |
20100009541 | Process for Adjusting the Size and Shape of Nanostructures - In accordance with the invention, a lateral dimension of a microscale device on a substrate is reduced or adjusted by the steps of providing the device with a soft or softened exposed surface; placing a guiding plate adjacent the soft or softened exposed surface; and pressing the guiding plate onto the exposed surface. Under pressure, the soft material flows laterally between the guiding plate and the substrate. Such pressure induced flow can reduce the lateral dimension of line spacing or the size of holes and increase the size of mesas. The same process also can repair defects such as line edge roughness and sloped sidewalls. This process will be referred to herein as pressed self-perfection by liquefaction or P-SPEL. | 01-14-2010 |
20100022088 | MULTIPLE EXPOSURE AND SINGLE ETCH INTEGRATION METHOD - A process including forming a silicon layer over a semiconductor wafer having features thereon and then selectively ion implanting in the silicon layer to form ion implanted regions. The step of selectively ion implanting is repeated as many times as necessary to obtain a predetermined number and density of features. Thereafter, the silicon layer is etched to form openings in the silicon layer that were formerly occupied by the ion implanted regions. The opened areas in the silicon layer form a mask for further processing of the semiconductor wafer. | 01-28-2010 |
20100029081 | SINGLE SPACER PROCESS FOR MULTIPLYING PITCH BY A FACTOR GREATER THAN TWO AND RELATED INTERMEDIATE IC STRUCTURES - Single spacer processes for multiplying pitch by a factor greater than two are provided. In one embodiment, n, where n≧2, tiers of stacked mandrels are formed over a substrate, each of the n tiers comprising a plurality of mandrels substantially parallel to one another. Mandrels at tier n are over and parallel to mandrels at tier n−1, and the distance between adjoining mandrels at tier n is greater than the distance between adjoining mandrels at tier n−1. Spacers are simultaneously formed on sidewalls of the mandrels. Exposed portions of the mandrels are etched away and a pattern of lines defined by the spacers is transferred to the substrate. | 02-04-2010 |
20100035434 | PLASMA TREATMENT OF A SEMICONDUCTOR SURFACE FOR ENHANCED NUCLEATION OF A METAL-CONTAINING LAYER - A method for forming a dielectric layer is provided. The method may include providing a semiconductor surface and etching a thin layer of the semiconductor substrate to expose a surface of the semiconductor surface, wherein the exposed surface is hydrophobic. The method may further include treating the exposed surface of the semiconductor substrate with plasma to neutralize a hydrophobicity associated with the exposed surface, wherein the exposed surface is treated using plasma with a power in a range of | 02-11-2010 |
20100041233 | FABRICATION METHODS FOR INTEGRATION CMOS AND BJT DEVICES - Fabrication methods for integrating CMOS and BJT devices are presented. A semiconductor substrate having a first region and a second region are provided, wherein the first region includes a CMOS device, and the second region includes a BJT device. A dielectric layer is conformably deposited on the semiconductor substrate. Part of the dielectric layer is removed, thereby forming sidewall spacers on a gate structure of the CMOS device and remaining a thin dielectric layer on the BJT device. The remaining thin dielectric layer is completely removed, completing integration of the CMOS device and the BJT device. | 02-18-2010 |
20100041234 | Process For Restoring Dielectric Properties - A method for preparing an interlayer dielectric to minimize damage to the interlayer's dielectric properties, the method comprising the steps of: depositing a layer of a silicon-containing dielectric material onto a substrate, wherein the layer has a first dielectric constant and wherein the layer has at least one surface; providing an etched pattern in the layer by a method that includes at least one etch process and exposure to a wet chemical composition to provide an etched layer, wherein the etched layer has a second dielectric constant, and wherein the wet chemical composition contributes from 0 to 40% of the second dielectric constant; contacting the at least one surface of the layer with a silicon-containing fluid; optionally removing a first portion of the silicon-containing fluid such that a second portion of the silicon-containing fluid remains in contact with the at least one surface of the layer; and exposing the at least one surface of the layer to UV radiation and thermal energy, wherein the layer has a third dielectric constant that is restored to a value that is at least 90% restored relative to the second dielectric constant. | 02-18-2010 |
20100048022 | SEMICONDUCTOR MANUFACTURING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor manufacturing apparatus that forms a carbon film on a wafer by plasma enhanced chemical vapor deposition includes a body having a top opening; a stage provided within the body for placement of the wafer; a showerhead that encloses the top opening and that introduces a deposition gas or an etch gas; and a gas delivery system including a central gas inlet that introduces gas toward a central portion of the wafer from a central portion of the showerhead, and a peripheral gas inlet that introduces gas toward a bevel of the wafer from an outer peripheral portion of the showerhead, wherein the gas delivery system, after activating the etch gas outside the body, delivers the activated etch gas toward the bevel of the wafer to selectively remove a portion of the carbon film formed on the bevel of the wafer. | 02-25-2010 |
20100055910 | EXPOSURE MASK AND METHOD FOR FORMING SEMICONDUCTOR DEVICE USING THE SAME - Disclosed herein is a method for forming a semiconductor device that stacks an etched layer and a first hard mask layer on a semiconductor substrate, patterns the first hard mask layer in a high density region and a low density region, using a first exposure mask, forms a first spacer on a sidewall of the first hard mask layer in the high density region, forms a second spacer on a sidewall of the first hard mask layer in the low density region at the same time, etches an end with the first spacer connected thereto using a second exposure mask to thereby form a first spacer pattern, forms a planarized second hard mask layer that exposes the first spacer pattern and the second spacer, removes the first spacer pattern and the second spacer such that the second hard mask layer is left, and etches the etched layer using the second hard mask layer as an mask. This method makes it possible to easily form a micro pattern in the high density region and the low density region. | 03-04-2010 |
20100055911 | PLASMA PROCESSING METHOD AND RESIST PATTERN MODIFYING METHOD - A plasma processing method includes modifying a resist pattern of the substrate; and trimming the modified resist pattern through a plasma etching. The modifying includes: supplying the processing gas for modification from the processing gas supply unit to the inside of the processing chamber while the substrate having a surface on which the resist pattern is formed is mounted on the lower electrode; supplying the high frequency power from the high frequency power supply to generate a plasma of the processing gas for modification; and supplying the negative DC voltage from the DC power supply to the upper electrode. | 03-04-2010 |
20100062602 | Etching method, method for producing dielectric film of low dielectric constant, method for producing porous member, etching system and thin film forming equipment - To provide an etching method employing a novel CVD system and an etching apparatus applicable to the method. | 03-11-2010 |
20100062603 | SEMICONDUCTOR DEVICES SUITABLE FOR NARROW PITCH APPLICATIONS AND METHODS OF FABRICATION THEREOF - Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof are described herein. In some embodiments, a semiconductor device may include a floating gate having a first width proximate a base of the floating gate that is greater than a second width proximate a top of the floating gate. In some embodiments, a method of shaping a material layer may include (a) oxidizing a surface of a material layer to form an oxide layer at an initial rate; (b) terminating formation of the oxide layer when the oxidation rate is about 90% or below of the initial rate; (c) removing at least some of the oxide layer by an etching process; and (d) repeating (a) through (c) until the material layer is formed to a desired shape. In some embodiments, the material layer may be a floating gate of a semiconductor device. | 03-11-2010 |
20100068884 | METHOD OF ETCHING A LAYER OF A SEMICONDUCTOR DEVICE USING AN ETCHANT LAYER - A method of semiconductor fabrication including an etching process is provided. The method includes providing a substrate and forming a target layer on the substrate. An etchant layer is formed on the target layer. The etchant layer reacts with the target layer and etches a portion of the target layer. In an embodiment, an atomic layer of the target layer is etched. The etchant layer is then removed from the substrate. The process may be iterated any number of times to remove a desired amount of the target layer. In an embodiment, the method provides for decreased lateral etching. The etchant layer may provide for improved control in forming patterns in thin target layers such as, capping layers or high-k dielectric layers of a gate structure. | 03-18-2010 |
20100081282 | PROCESS FOR ADJUSTING THE SIZE AND SHAPE OF NANOSTRUCTURES - In accordance with the invention, a lateral dimension of a microscale device on a substrate is reduced or adjusted by the steps of providing the device with a soft or softened exposed surface; placing a guiding plate adjacent the soft or softened exposed surface; and pressing the guiding plate onto the exposed surface. Under pressure, the soft material flows laterally between the guiding plate and the substrate. Such pressure induced flow can reduce the lateral dimension of line spacing or the size of holes and increase the size of mesas. The same process also can repair defects such as line edge roughness and sloped sidewalls. This process will be referred to herein as pressed self-perfection by liquefaction or P-SPEL. | 04-01-2010 |
20100093175 | Methods Of Forming Patterns Utilizing Lithography And Spacers - Some embodiments include methods of forming patterns. A first set of features is photolithographically formed over a substrate, and then a second set of features is photolithographically formed over the substrate. At least some of the features of said second set alternate with features of the first set. Spacer material is formed over and between the features of the first and second sets. The spacer material is anisotropically etched to form spacers along the features of the first and second sets. The features of the first and second sets are then removed to leave a pattern of the spacers over the substrate. | 04-15-2010 |
20100093176 | METHOD OF FORMING A SACRIFICIAL LAYER - The present disclosure provides a method for making a semiconductor device. The method includes forming a material layer on a substrate; forming a sacrificial layer on the material layer, where the material layer and sacrificial layer each as a thickness less than 100 angstrom; forming a patterned photoresist layer on the sacrificial layer; applying a first wet etching process to etch the sacrificial layer to form a patterned sacrificial layer using the patterned photoresist layer as a mask; applying a second wet etching process to etch the first material layer; and applying a third wet etching process to remove the patterned sacrificial layer. | 04-15-2010 |
20100099261 | METHOD FOR FORMING PATTERN OF SEMICONDUCTOR DEVICE - A method for forming a pattern of a semiconductor device comprises: forming a stacked film including an underlying layer, an antireflection film and a photoresist film over a semiconductor substrate; coating an over-coating composition over the photoresist film to form an over-coating film; performing an exposing and developing process with a cell mask on the photoresist film where the over-coating film is formed to form a photoresist pattern; forming a silicon-containing-RELACS layer over the antireflection film including the photoresist pattern where the over-coating film is formed; removing the over-coating film and the silicon containing RELACS layer on the photoresist pattern to form a spacer of the silicon containing RELACS layer at sidewalls of the photoresist pattern; removing the photoresist pattern; and etching the antireflection film and the underlying layer with the spacer of the silicon containing RELACS layer as a mask to form an antireflection pattern and an underlying pattern. | 04-22-2010 |
20100105207 | METHOD FOR FORMING FINE PATTERN OF SEMICONDUCTOR DEVICE - A method for forming a fine pattern of a semiconductor device includes forming an insulating layer and an etch layer over a semiconductor substrate, coating a photoresist layer over the etch layer, forming a photoresist pattern by performing a photolithography process for the photoresist layer, forming spacers at sidewalls of the photoresist pattern by performing a primary etching process using the photoresist pattern as a mask, and forming an etch layer pattern and an insulating layer pattern by performing a secondary etching process using the photoresist pattern and the spacers as a mask. | 04-29-2010 |
20100112817 | METHOD FOR FORMlNG PATTERN OF SEMICONDUCTOR DEVICE - A method for forming a pattern of a semiconductor device using a spacer patterning process comprises coating a developable antireflection film over a substrate including a spacer pattern, coating a photoresist film over the antireflection film, and patterning the antireflection film and the photoresist film by an exposing and developing process to form an etching mask pattern. The etching mask pattern has an excellent profile. When a lower underlying layer is etched using the etching mask pattern, a sufficient etching margin can be secured, thereby obtaining a reliable semiconductor device. | 05-06-2010 |
20100120251 | Large Area Patterning of Nano-Sized Shapes - Methods for creating nano-shaped patterns are described. This approach may be used to directly pattern substrates and/or create imprint lithography molds that may be subsequently used to directly replicate nano-shaped patterns into other substrates in a high throughput process. | 05-13-2010 |
20100130014 | TEXTURING MULTICRYSTALLINE SILICON - Techniques are disclosed for surface texturing multicrystalline silicon using drop jetting technology to form mask or etch patterns on a surface of a multicrystalline silicon substrate. | 05-27-2010 |
20100136790 | Method of fabricating semiconductor integrated circuit device - A method of fabricating a semiconductor integrated circuit device, including providing a semiconductor substrate, sequentially forming an etching target layer and a hard mask layer on the semiconductor substrate, forming first etch masks on the hard mask layer, the first etch masks including a plurality of first line patterns spaced apart from one another at a first pitch and extending in a first direction, forming first hard mask patterns by etching the hard mask layer using the first etch masks, forming second etch masks on the first hard mask patterns, the second etch masks including a plurality of second line patterns spaced apart from one another at a second pitch and extending in a second direction different from the first direction, forming second hard mask patterns by etching the first hard mask patterns using the second etch masks, forming spacers on sidewalls of the second hard mask patterns, and patterning the etching target layer using the second hard mask patterns having the spacers. | 06-03-2010 |
20100144150 | Methods of Fabricating Substrates - A method of fabricating a substrate includes forming first and second spaced features over a substrate. The first spaced features have elevationally outermost regions which are different in composition from elevationally outermost regions of the second spaced features. The first and second spaced features alternate with one another. Every other first feature is removed from the substrate and pairs of immediately adjacent second features are formed which alternate with individual of remaining of the first features. After such act of removing, the substrate is processed through a mask pattern comprising the pairs of immediately adjacent second features which alternate with individual of the remaining of the first features. Other embodiments are disclosed. | 06-10-2010 |
20100144151 | Methods of Fabricating Substrates - A method of fabricating a substrate includes forming spaced first features over a substrate. An alterable material is deposited over the spaced first features and the alterable material is altered with material from the spaced first features to form altered material on sidewalls of the spaced first features. A first material is deposited over the altered material, and is of some different composition from that of the altered material. The first material is etched to expose the altered material and spaced second features comprising the first material are formed on sidewalls of the altered material. Then, the altered material is etched from between the spaced second features and the spaced first features. The substrate is processed through a mask pattern comprising the spaced first features and the spaced second features. Other embodiments are disclosed. | 06-10-2010 |
20100144152 | Method of manufacturing semiconductor package - The present invention relates to a method of manufacturing a semiconductor package capable of simplifying a process and remarkably reducing a production cost by including the steps of: preparing a different bonded panel including at least one metal layer; forming a pad unit electrically connected to the metal layer; mounting a semiconductor chip over the different bonded panel to be electrically connected to the pad unit; sealing the semiconductor chip; forming a rearrangement wiring layer by etching the metal layer; and forming an external connection unit electrically connected to the rearrangement wiring layer. | 06-10-2010 |
20100159700 | Method for Fabricating Cylinder Type Capacitor - A method for fabricating a cylinder type capacitor includes forming connection contacts passing through a lower layer over a semiconductor substrate; forming a mold layer covering the connection contacts; forming a first floated pinning layer with a stress in a first direction over the mold layer; forming a second floated pinning layer for stress relief with a stress in a second direction over the first floated pinning layer, said second direction being opposite to the first direction; forming opening holes passing through the first and second floated pinning layers and the mold layer and exposing the connection contacts; forming storage nodes following a profile of the opening holes; removing portions of the first and second floated pinning layers to form a floated pinning layer pattern, the floated pinning layer pattern exposing a portion of the mold layer and contacting upper tips of the storage nodes; exposing outer walls of the storage nodes by selectively removing the exposed mold layer; and forming a dielectric layer and an upper electrode over the storage node. | 06-24-2010 |
20100159701 | EXPOSURE MASK AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME - A method for manufacturing a semiconductor device comprises performing an exposing and developing process using an exposure mask including shading patterns and assistant patterns arranged in parallel to the shading patterns to prevent a scum phenomenon generated when a main pattern is formed in a cell region over a semiconductor substrate, thereby improving characteristics, reliability and yield of the semiconductor device. As a result, the method enables high-integration of the semiconductor device. | 06-24-2010 |
20100167548 | METHOD FOR FORMING FINE PATTERN USING QUADRUPLE PATTERNING IN SEMICONDUCTOR DEVICE - A method for forming a fine pattern in a semiconductor device using a quadruple patterning includes forming a first partition layer over a first material layer which is formed over a substrate, performing a photo etch process on the first partition layer to form a first partition pattern, performing an oxidation process to form a first spacer sacrificial layer over a surface of the first partition pattern, forming a second spacer sacrificial layer over the substrate structure, forming a second partition layer filling gaps between the first partition pattern, removing the second spacer sacrificial layer, performing an oxidation process to form a third spacer sacrificial layer over a surface of the second partition layer and define a second partition pattern, forming a third partition pattern filling gaps between the first partition pattern and the second partition pattern, and removing the first and third spacer sacrificial layers. | 07-01-2010 |
20100173493 | SUBSTRATE PROCESSING METHOD - The present invention provides a substrate processing method to process a substrate including at least a process layer, an intermediate layer, and a mask layer which are stacked in this order. The mask layer includes an aperture configured to expose a portion of the intermediate layer. The substrate processing method includes a material deposition step of depositing a material on a side surface of the aperture and exposing a portion of the process layer by etching the exposed portion of the intermediate layer by plasma generated from a deposit gas, and an etching step of etching the exposed portion of the process layer. | 07-08-2010 |
20100173494 | Method and apparatus for anisotropic etching - We suggest a method of anisotropic etching of the substrates, where ultra-thin and conformable layers of materials are used to passivate sidewalls of the etched features. According to an exemplary embodiment such sidewall passivation layer is a Self-assembled monolayer (SAM) material deposited in-situ etching process from a vapor phase. According to another exemplary embodiment such sidewall passivation layer is an inorganic-based material deposited using Atomic Layer Deposition (ALD) method. SAM or ALD layers deposition can be carried out in a pulsing regime alternating with an sputtering and/or etching processes using process gasses with or without plasma. Alternatively, SAM deposition process is carried out continuously, while etch or sputtering process turns on in a pulsing regime. Alternatively, SAM deposition process and etch or sputtering processes are carried out continuously. Both types of suggested passivation materials give advantage over state-of-the-art methods in ability to carefully control thickness and uniformity of the layers, thus enable anisotropic etching process for high aspect ratio nanosize features. | 07-08-2010 |
20100173495 | SUBSTRATE PROCESSING APPARATUS USING A BATCH PROCESSING CHAMBER - Aspects of the invention include a method and apparatus for processing a substrate using a multi-chamber processing system (e.g., a cluster tool) adapted to process substrates in one or more batch and/or single substrate processing chambers to increase the system throughput. In one embodiment, a system is configured to perform a substrate processing sequence that contains batch processing chambers only, or batch and single substrate processing chambers, to optimize throughput and minimize processing defects due to exposure to a contaminating environment. In one embodiment, a batch processing chamber is used to increase the system throughput by performing a process recipe step that is disproportionately long compared to other process recipe steps in the substrate processing sequence that are performed on the cluster tool. In another embodiment, two or more batch chambers are used to process multiple substrates using one or more of the disproportionately long processing steps in a processing sequence. Aspects of the invention also include an apparatus and method for delivering a precursor to a processing chamber so that a repeatable ALD or CVD deposition process can be performed. | 07-08-2010 |
20100178769 | SPACER FORMATION FOR ARRAY DOUBLE PATTERNING - A method for forming an array area with a surrounding periphery area, wherein a substrate is disposed under an etch layer, which is disposed under a patterned organic mask defining the array area and covers the entire periphery area is provided. The patterned organic mask is trimmed. An inorganic layer is deposited over the patterned organic mask where a thickness of the inorganic layer over the covered periphery area of the organic mask is greater than a thickness of the inorganic layer over the array area of the organic mask. The inorganic layer is etched back to expose the organic mask and form inorganic spacers in the array area, while leaving the organic mask in the periphery area unexposed. The organic mask exposed in the array area is stripped, while leaving the inorganic spacers in place and protecting the organic mask in the periphery area. | 07-15-2010 |
20100178770 | Method of etching a thin film using pressure modulation - A method for transferring a feature pattern to a thin film on a substrate is described. The method comprises disposing a substrate comprising one or more mask layers overlying a thin film in a plasma processing system, and forming a feature pattern in the one or more mask layers. The method further comprises transferring the feature pattern in the one or more mask layers to the thin film by: performing a first plasma etching process at a first pressure less than about 80 mtorr, and performing a second plasma etching process at a second pressure greater than about 80 mtorr. | 07-15-2010 |
20100184294 | Method of Manufacturing a Semiconductor Device - In a method of manufacturing a semiconductor device, a substrate is loaded to a process chamber having, unit process sections in which unit processes are performed, respectively. The unit processes are performed on the substrate independently from one another at the unit process sections under a respective process pressure. The substrate sequentially undergoes the unit processes at the respective unit process section of the process chamber. Cleaning processes are individually performed to the unit process sections, respectively, when the substrate is transferred from each of the unit process sections and no substrate is positioned at the unit process sections. Accordingly, the process defects of the process units may be sufficiently prevented and the operation period of the manufacturing apparatus is sufficiently elongated. | 07-22-2010 |
20100190340 | Methods of forming fine patterns using a nanoimprint lithography - In a method of forming fine patterns, a photocurable coating layer is formed on a substrate. A first surface of a template makes contact with the photocurable coating layer. The first surface of the template includes at least two first patterns having a first dispersion degree of sizes, and at least one portion of the first surface of the template includes a photo attenuation member. A light is irradiated onto the photocurable coating layer through the template to form a cured coating layer including second patterns having a second dispersion degree of sizes. The second patterns are generated from the first patterns and the second dispersion degree is less than the first dispersion degree. The template is separate from the cured coating layer. A size dispersion degree of the patterns used in a nanoimprint lithography process may be adjusted by the light attenuation member, so that the fine patterns may be formed to have an improved size dispersion degree. | 07-29-2010 |
20100190341 | APPARATUS, METHOD FOR DEPOSITING THIN FILM ON WAFER AND METHOD FOR GAP-FILLING TRENCH USING THE SAME - Provided are an apparatus and method for depositing a thin film, and a method for gap-filling a trench in a semiconductor device. The thin film depositing apparatus includes a plurality of substrates provided on the same space inside a reactor, wherein deposition of the thin film and partial etching of the deposited thin film are repeated to form the thin film on the plurality of substrates by exposing the substrates to two or more source gases and an etching gas supplied together at predetermined time intervals while rotating the substrates. According to exemplary embodiments, it is possible to concurrently or alternatively perform deposition and etching of a thin film, so that a thin film with good gap-fill capability can be deposited. | 07-29-2010 |
20100190342 | PATTERN GENERATING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, COMPUTER PROGRAM PRODUCT, AND PATTERN-SHAPE-DETERMINATION-PARAMETER GENERATING METHOD - A pattern generating method includes: extracting, from a shape of a pattern generated on a substrate, a contour of the pattern shape; setting evaluation points as verification points for the pattern shape on the contour; calculating curvatures on the contour in the evaluation points; and verifying the pattern shape based on whether the curvatures satisfy a predetermined threshold set in advance. | 07-29-2010 |
20100190343 | LOAD LOCK HAVING SECONDARY ISOLATION CHAMBER - A load lock includes a chamber including an upper portion, a lower portion, and a partition between the upper portion and the lower portion, the partition including an opening therethrough. The load lock further includes a first port in communication with the upper portion of the chamber and a second port in communication with the lower portion of the chamber. The load lock includes a rack disposed within the chamber and a workpiece holder mounted on a first surface of the rack, wherein the rack and the workpiece holder are movable by an indexer that is capable of selectively moving wafer slots of the rack into communication with the second port. The indexer can also move the rack into an uppermost position, at which the first surface of the boat and the partition sealingly separate the upper portion and the lower portion to define an upper chamber and a lower chamber. Auxiliary processing, such as wafer pre-cleaning, or metrology can be conducted in the upper portion. | 07-29-2010 |
20100190344 | Methods of Forming Semiconductor Constructions - The invention includes methods in which silicon is removed from titanium-containing container structures with an etching composition having a phosphorus-and-oxygen-containing compound therein. The etching composition can, for example, include one or both of ammonium hydroxide and tetra-methyl ammonium hydroxide. The invention also includes methods in which titanium-containing whiskers are removed from between titanium-containing capacitor electrodes. Such removal can be, for example, accomplished with an etch utilizing one or more of hydrofluoric acid, ammonium fluoride, nitric acid and hydrogen peroxide. | 07-29-2010 |
20100197137 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a processing chamber arranged in a vacuum vessel. A wafer placed on a sample stage in the processing chamber is processed using a plasma formed in the processing chamber. Before etching the film layers provided on the wafer composed of a metal substance and an underlying oxide film or a material having a high dielectric constant, another wafer, provided on a surface thereof a film composed of a metal of the same kind as the metal substance, is processed and particles of the metal are deposited on an inner wall of said processing chamber. | 08-05-2010 |
20100197138 | METHOD AND APPARATUS FOR ETCHING - Embodiments of the invention relate to a substrate etching method and apparatus. In one embodiment, a method for etching a substrate in a plasma etch reactor is provided that include flowing a backside process gas between a substrate and a substrate support assembly, and cyclically etching a layer on the substrate. | 08-05-2010 |
20100197139 | METHOD OF FORMING A HARD MASK AND METHOD OF FORMING A FINE PATTERN OF SEMICONDUCTOR DEVICE USING THE SAME - A method of forming hard mask employs a double patterning technique. A first hard mask layer is formed on a substrate, and a first sacrificial pattern is formed on the first hard mask layer by photolithography. Features of the first sacrificial pattern are spaced from one another by a first pitch. A second hard mask layer is then formed conformally on the first sacrificial pattern and the first hard mask layer so as to delimit recesses between adjacent features of the first sacrificial pattern. Upper portions of the second hard mask layer are removed to expose the first sacrificial pattern, and the exposed first sacrificial pattern and the second sacrificial pattern are removed. The second hard mask layer and the first hard mask layer are then etched to form a hard mask composed of residual portions of the first hard mask layer and the second hard mask layer. A fine pattern of a semiconductor device, such as a trench isolation region or a pattern of contact holes, can be formed using the hard mask as an etch mask. | 08-05-2010 |
20100203730 | Epitaxial Lift Off in Inverted Metamorphic Multijunction Solar Cells - A process for selectively freeing an epitaxial layer from a single crystal substrate upon which it was grown, by providing a first substrate; depositing a separation layer on said first substrate; depositing on said separation layer a sequence of layers of semiconductor material forming a solar cell; mounting and bonding a surrogate substrate on top of the sequence of layers; attaching a connecting link element to at least two opposed points on the periphery of the surrogate substrate; and etching said separation layer while applying tension to said link element so as to remove said epitaxial layer from said first substrate. | 08-12-2010 |
20100203731 | Formation of a Zinc Passivation Layer on Titanium or Titanium Alloys Used in Semiconductor Processing - Embodiments of the current invention describe methods of processing a semiconductor substrate that include applying a zincating solution to the semiconductor substrate to form a zinc passivation layer on the titanium-containing layer, the zincating solution comprising a zinc salt, FeCl | 08-12-2010 |
20100203732 | FIN AND FINFET FORMATION BY ANGLED ION IMPLANTATION - A semiconductor device is formed by providing a substrate and forming a semiconductor-containing layer atop the substrate. A mask having a plurality of openings is then formed atop the semiconductor-containing layer, wherein adjacent openings of the plurality of openings of the mask are separated by a minimum feature dimension. Thereafter, an angled ion implantation is performed to introduce dopants to a first portion of the semiconductor-containing layer, wherein a remaining portion that is substantially free of dopants is present beneath the mask. The first portion of the semiconductor-containing layer containing the dopants is removed selective to the remaining portion of semiconductor-containing layer that is substantially free of the dopants to provide a pattern of sublithographic dimension, and the pattern is transferred into the substrate to provide a fin structure of sublithographic dimension. | 08-12-2010 |
20100203733 | ETCHING METHOD, SEMICONDUCTOR AND FABRICATING METHOD FOR THE SAME - An organic/inorganic hybrid film represented by SiC | 08-12-2010 |
20100210110 | ETCHING APPARATUS, A METHOD OF CONTROLLING AN ETCHING SOLUTION, AND A METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - An etching apparatus includes a chamber containing an etching solution including first and second components and water, a concentration of the water in the etching solution is at a specified level or lower; a circulation path circulating the etching solution; a concentration controller sampling the etching liquid from the circulation path and controls concentrations of the etching solution respectively; and a refilling chemical liquid feeder feeding a refilling chemical liquid including the first component having a concentration higher than the first component in the etching solution. | 08-19-2010 |
20100216310 | Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink - A method of dry developing an anti-reflective coating (ARC) layer on a substrate is described. The method comprises disposing a substrate comprising a multi-layer mask in a plasma processing system, wherein the multi-layer mask comprises a lithographic layer overlying a silicon-containing ARC layer and wherein the lithographic layer comprises a feature pattern formed therein using a lithographic process. The method further comprises: introducing a process gas to the plasma processing system according to a process recipe, the process gas comprising a nitrogen-containing gas, a hydrogen-containing gas, and a C | 08-26-2010 |
20100221919 | Method of forming patterns for semiconductor device - Provided is a method of forming patterns for a semiconductor device in which fine patterns and large-width patterns are formed simultaneously and adjacent to each other. In the method, a first layer is formed on a substrate so as to cover a first region and a second region which are included in the substrate. Both a blocking pattern covering a portion of the first layer in the first region and a low-density large-width pattern covering a portion of the first layer in the second region are simultaneously formed. A plurality of sacrificial mask patterns are formed on the first layer and the blocking pattern in the first region. A plurality of spacers covering exposed sidewalls of the plurality of sacrificial mask patterns are formed. The plurality of sacrificial mask patterns are removed. The first layer in the first and second regions are simultaneously etched by using the plurality of spacers and the blocking pattern as etch masks in the first region and using the low-density large-width pattern as an etch mask in the second region. | 09-02-2010 |
20100227477 | METHOD FOR FORMING THIN FILM, METHOD FOR PRODUCING ORGANIC ELECTROLUMINESCENT DEVICE, METHOD FOR PRODUCING SEMICONDUCTOR DEVICE, AND METHOD FOR PRODUCING OPTICAL DEVICE - The present invention has the object of providing a method by which a thin film pattern can be formed using a liquid material application in a prescribed area in an economical and simple manner, and a method for producing organic electroluminescent devices, semiconductor devices, and optical devices using said method. | 09-09-2010 |
20100240217 | SUBSTRATE PROCESSING METHOD - A method of processing a substrate having a processing target layer and an organic film serving as a mask layer includes a mineralizing process of mineralizing the organic film. The mineralizing process includes an adsorption process for allowing a silicon-containing gas to be adsorbed onto a surface of the organic film; and an oxidation process for oxidizing the adsorbed silicon-containing gas to be converted into a silicon oxide film. A monovalent aminosilane is employed as the silicon-containing gas. | 09-23-2010 |
20100248481 | CAD FLOW FOR 15NM/22NM MULTIPLE FINE GRAINED WIMPY GATE LENGTHS IN SIT GATE FLOW - Methods are described for forming an integrated circuit having multiple devices, such as transistors, with respective element lengths. The methods include a new CAD flow for producing masks used for exposing sidewall spacers which are to be etched to a smaller base width than other sidewall spacers and which in turn are used as an etch mask to form gate structures with smaller element lengths than those formed from the other sidewall spacers. Embodiments include generating a schematic of an integrated circuit and a corresponding netlist, establishing design rules for the integrated circuit, generating a computer aided design layout for the integrated circuit, plural transistors of the integrated circuit respectively having different gate lengths, checking the integrated circuit layout and netlist for compliance with the established design rules and for correspondence with the generated schematic, and generating a mask with different openings that correspond to the integrated circuit layout, in response to a satisfactory outcome of the checking step. | 09-30-2010 |
20100261351 | Spacer Linewidth Control - A method for forming a plurality of variable linewidth spacers adjoining a plurality of uniformly spaced topographic features uses a conformal resist layer upon a spacer material layer located over the plurality of uniformly spaced topographic features. The conformal resist layer is differentially exposed and developed to provide a differential thickness resist layer that is used as a sacrificial mask when forming the variable linewidth spacers. A method for forming uniform linewidth spacers adjoining narrowly spaced topographic features and widely spaced topographic features over the same substrate uses a masked isotropic etching of a variable thickness spacer material layer to provide a more uniform partially etched spacer material layer, followed by an unmasked anisotropic etching of the partially etched spacer material layer. A related method for forming the uniform linewidth spacers uses a two-step anisotropic etch method that includes at least one masking process step. | 10-14-2010 |
20100261352 | METHOD FOR LOW-K DIELECTRIC ETCH WITH REDUCED DAMAGE - A method for etching features in a low-k dielectric layer disposed below an organic mask is provided by an embodiment of the invention. Features are etched into the low-k dielectric layer through the organic mask. A fluorocarbon layer is deposited on the low-k dielectric layer. The fluorocarbon layer is cured. The organic mask is stripped. | 10-14-2010 |
20100273331 | METHOD OF FABRICATING A NANO/MICRO STRUCTURE - A method of fabricating a nano/micro structure comprising the following steps is provided. First, a film is provided and then a mixed material comprising a plurality of ball-shape particles and a filler among the ball-shape particles is formed on the film. Next, the ball-shape particles are removed by the etching process, the solvent extraction process or the like, such that a plurality of concaves is formed on the surface of the filler, which serves as a nano/micro structure of the film. | 10-28-2010 |
20100291770 | METHOD OF FORMING OPENINGS IN A SEMICONDUCTOR DEVICE AND A SEMICONDUCTOR DEVICE FABRICATED BY THE METHOD - A method of forming openings to a layer of a semiconductor device comprises forming a dielectric layer over the layer of the semiconductor device, and forming a mask over the dielectric layer. The mask comprises a plurality of mask openings arranged in a regular pattern extending over the dielectric layer and the plurality of mask openings include a plurality of first mask openings and a plurality of second mask openings, each of the plurality of first mask openings being greater in size than each of the plurality of second mask openings. The method further comprises reducing the size of the plurality of second mask openings such that each of the second mask openings is substantially closed and removing portions of the dielectric layer through the plurality of first mask openings to provide openings extending through the dielectric layer to the layer. | 11-18-2010 |
20100297847 | Method of forming sub-lithographic features using directed self-assembly of polymers - Methods involving the self-assembly of block copolymers are described herein, in which by beginning with openings (in one or more substrates) that have a targeted CD (critical dimension), holes are formed, in either regular arrays or arbitrary arrangements. Significantly, the percentage variation in the average diameter of the formed holes is less than the percentage variation of the average diameter of the initial openings. The formed holes (or vias) can be transferred into the underlying substrate(s), and these holes may then be backfilled with material, such as a metallic conductor. Preferred aspects of the invention enable the creation of vias with tighter pitch and better CD uniformity, even at sub-22 nm technology nodes. | 11-25-2010 |
20100304568 | PATTERN FORMING METHOD - A pattern forming method includes forming a first photoresist on an underlying region, forming a second photoresist on the first photoresist, the second photoresist having an exposure sensitivity which is different from an exposure sensitivity of the first photoresist, radiating exposure light on the first and second photoresists via a photomask including a first transmissive region and a second transmissive region which cause a phase difference of 180° between transmissive light components passing therethrough, the first transmissive region and the second transmissive region being provided in a manner to neighbor in an irradiation region, and developing the first and second photoresists which have been irradiated with the exposure light, thereby forming a structure includes a first region where the underlying region is exposed, a second region where the first photoresist is exposed and a third region where the first photoresist and the second photoresist are left. | 12-02-2010 |
20100311243 | Bottom electrode etching process in MRAM cell - A BE patterning scheme in a MRAM is disclosed that avoids damage to the MTJ array and underlying ILD layer while reducing BE-BE shorts and BE-bit line shorts. A protective dielectric layer is coated over a MTJ array before a photoresist layer is coated and patterned on the dielectric layer. The photoresist pattern is transferred through the dielectric layer with a dielectric etch process and then through the BE layer with a metal etch that includes a certain amount of overetch to remove metal residues. The photoresist is stripped with a sequence involving immersion or spraying with an organic solution followed by oxygen ashing to remove any other organic materials. Finally, a second wet strip is performed with a water based solution to provide a residue free substrate. In another embodiment, a bottom anti-reflective coating (BARC) is inserted between the photoresist and dielectric layer for improved critical dimension control. | 12-09-2010 |
20100317194 | METHOD FOR FABRICATING OPENING - A method for fabricating openings is provided. A dielectric layer is formed on a substrate, and a first patterned mask layer is formed on the dielectric layer along a first direction. A second patterned mask layer is then formed on the dielectric layer along a second direction which intersects with the first direction. A portion of the dielectric layer is removed using the first patterned mask layer and the second patterned mask layer as a mask so as to from the openings. The dielectric layer, the first patterned mask layer and the second patterned mask layer have different etching selectivities. | 12-16-2010 |
20100323523 | Methods Of Plasma Etching Platinum-Comprising Materials, Methods Of Processing Semiconductor Substrates In The Fabrication Of Integrated Circuitry, And Methods Of Forming A Plurality Of Memory Cells - A platinum-comprising material is plasma etched by being exposed to a plasma etching chemistry that includes CHCl | 12-23-2010 |
20110003478 | POLYMER FOR ORGANIC ANTI-REFLECTIVE COATING LAYER AND COMPOSITION INCLUDING THE SAME - A polymer which has siloxane group at a main chain thereof and a composition including the same, for forming an organic anti-reflective coating layer are disclosed. The polymer for forming an organic anti-reflective coating layer is represented by following Formula. | 01-06-2011 |
20110021027 | METHODS FOR FABRICATING NON-PLANAR ELECTRONIC DEVICES HAVING SIDEWALL SPACERS FORMED ADJACENT SELECTED SURFACES - Methods are provided for fabricating an electronic device having at least one sidewall spacer formed adjacent a selected surface. In one embodiment, the method includes the step of depositing spacer material adjacent first and second raised structures formed on the substrate and extending along substantially perpendicular axes. The method further includes the step of selectively removing spacer material laterally adjacent one of the first raised structure and the second raised structure. During the step of selectively removing, the electronic device is bombarded with ions from a first predetermined direction forming a first predetermined grazing angle with the substrate such that the spacer material adjacent a first sidewall of the first raised structure is substantially exposed to the ion bombardment while the spacer material adjacent opposing sidewalls of the second raised structure is substantially shielded therefrom. | 01-27-2011 |
20110065276 | Apparatus and Methods for Cyclical Oxidation and Etching - Apparatus and methods for the manufacture of semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof are described herein. Disclosed are various single chambers configured to form and/or shape a material layer by oxidizing a surface of a material layer to form an oxide layer; removing at least some of the oxide layer by an etching process; and cyclically repeating the oxidizing and removing processes until the material layer is formed to a desired shape. In some embodiments, the material layer may be a floating gate of a semiconductor device. | 03-17-2011 |
20110065277 | REFLOW METHOD, PATTERN GENERATING METHOD, AND FABRICATION METHOD FOR TFT FOR LCD - A to-be-processed object including an underlying layer and a resist film giving a pattern allowing formation of an exposure region in which the underlying layer is exposed at an upper layer to the underlying layer and a coverage region in which the underlying layer is covered is prepared. A reflow method is provided which softens the resist film to be in a flowing state, resulting in a part of or all of the exposure region covered by it. The resist film has different regions in thickness of at least a thick region and a thin region relatively thinner than the thick region. | 03-17-2011 |
20110092071 | METHOD OF PRODUCING SILYLATED POROUS INSULATING FILM, METHOD OF PRODUCING SEMICONDUCTOR DEVICE, AND SILYLATED MATERIAL - Provided is a method for the effective silylation treatment of a silica-based porous insulating film having a plurality of pores. The method of producing a silylated porous insulating film ( | 04-21-2011 |
20110097899 | METHOD OF FORMING FUNNEL-SHAPED OPENING - A method of forming a funnel-shaped opening is provided. First, a substrate is provided, wherein a conductive layer is formed on the substrate. Then, a dielectric layer is formed over the conductive layer. Further, a first opening is formed in the dielectric layer, wherein the first opening exposes the conductive layer. Thereafter, a portion of the dielectric layer at a top corner of the first opening is removed to form a second opening by an etching gas containing argon in a reaction chamber, wherein a power of the reaction chamber is about 500˜1800 W. | 04-28-2011 |
20110104900 | Alkaline Rinse Agents For Use In Lithographic Patterning - Lithographic patterning methods involve the formation of a (one or more) metal oxide capping layer, which is rinsed with an aqueous alkaline solution as part of the method. The rinse solution does not damage the capping layer, but rather allows for lithographic processing without thinning the capping layer or introducing defects into it. Ammoniated water is a preferred rinse solution, which advantageously leaves behind no nonvolatile residue. | 05-05-2011 |
20110111596 | Sidewall Image Transfer Using the Lithographic Stack as the Mandrel - In one non-limiting exemplary embodiment, a method includes: providing a structure having at least one lithographic layer on a substrate, where the at least one lithographic layer includes a planarization layer (PL); forming a sacrificial mandrel by patterning at least a portion of the at least one lithographic layer using a photolithographic process, where the sacrificial mandrel includes at least a portion of the PL; and producing at least one microstructure by using the sacrificial mandrel in a sidewall image transfer process. | 05-12-2011 |
20110117741 | Method of fabricating SOI wafer - There is provided a method of fabricating an SOI wafer, the method including: a) preparing a bonded SOI substrate that has a buried oxide layer and an SOI layer formed in this sequence on a circular plate shaped support, and at a peripheral edge portion of the support substrate, has a silicon island region in which the SOI layer is not well formed with scattered defective silicon layer; b) etching a silicon island region defective silicon layer to remove the defective silicon layer scattered in the silicon island region by dry etching; and c) etching a silicon island region buried oxide layer to remove the buried oxide layer in the silicon island region by wet etching. | 05-19-2011 |
20110136345 | Process for the Manufacture of Etched Items - C4 compounds selected from the group of trifluorobutadienes and tetrafluorobutenes can be used as etching gases, especially for anisotropic etching in the production of etched items, for example, of semiconductors, e.g. semiconductor memories or semiconductor logic circuits, flat panels, or solar cells. Preferred compounds are 1,1,3-trifluoro-1,3-butadiene, (E)-1,1,1,3-tetrafluoro-2-butene, 2,4,4,4-tetrafluoro- | 06-09-2011 |
20110143541 | APPARATUS AND METHOD OF TREATING SURFACE OF SEMICONDUCTOR SUBSTRATE - In one embodiment, an apparatus of treating a surface of a semiconductor substrate comprises a substrate holding and rotating unit, first to fourth supplying units, and a removing unit. A substrate holding and rotating unit holds a semiconductor substrate, having a convex pattern formed on its surface, and rotates the semiconductor substrate. A first supplying unit supplies a chemical onto the surface of the semiconductor substrate in order to clean the semiconductor substrate. A second supplying unit supplies pure water to the surface of the semiconductor substrate in order to rinse the semiconductor substrate. A third supplying unit supplies a water repellent agent to the surface of the semiconductor substrate in order to form a water repellent protective film onto the surface of the convex pattern. A fourth supplying unit supplies alcohol, which is diluted with pure water, or acid water to the surface of the semiconductor substrate in order to rinse the semiconductor substrate. A removing unit removes the water repellent protective film with the convex pattern being left. | 06-16-2011 |
20110165778 | ELECTRON BEAM DEPICTING PATTERN DESIGN, PHOTOMASK, METHODS OF DEPICTING AND FABRICATING PHOTOMASK, AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING THE SAME - A method of depicting a photomask using e-beams includes preparing a photomask having an e-beam resist, depicting the e-beam resist and forming an e-beam resist pattern on the photomask. Depicting the e-beam resist includes irradiating e-beams to an e-beam depiction region without irradiating the e-beams to an e-beam non-depiction region disposed in the e-beam depiction region. The e-beam depiction region and the e-beam non-depiction region are formed using an e-beam resist pattern having the same polarity. | 07-07-2011 |
20110177691 | METHOD FOR FORMING HOLE PATTERN - A method for forming a hole pattern includes forming a hard mask layer for a hole pattern over an etch target layer, forming pillar patterns having a gap therebetween over the hard mask layer for a hole pattern, forming spacer patterns on sidewalls of the pillar patterns, removing the pillar patterns between the spacer patterns, and etching the hard mask layer for a hole pattern by using the spacer patterns as etch barriers. | 07-21-2011 |
20110183520 | Method for Removing Copper Oxide Layer - The invention is directed to a method for removing copper oxide from a copper surface to provide a clean copper surface, wherein the method involves exposing the copper surface containing copper oxide thereon to an anhydrous vapor containing a carboxylic acid compound therein, wherein the anhydrous vapor is generated from an anhydrous organic solution containing the carboxylic acid and one or more solvents selected from hydrocarbon and ether solvents. | 07-28-2011 |
20110183521 | METHODS AND SYSTEMS OF MATERIAL REMOVAL AND PATTERN TRANSFER - Polymerized material on a substrate may be removed by exposure to vacuum ultraviolet (VUV) radiation from an energy source within a gaseous atmosphere of a controlled composition. Following such removal, additional etching techniques are also described for nano-imprinting. | 07-28-2011 |
20110189858 | METHOD FOR REDUCING PATTERN COLLAPSE IN HIGH ASPECT RATIO NANOSTRUCTURES - A method is provided for treating the surface of high aspect ratio nanostructures to help protect the delicate nanostructures during some of the rigorous processing involved in fabrication of semiconductor devices. A wafer containing high aspect ratio nanostructures is treated to make the surfaces of the nanostructures more hydrophobic. The treatment may include the application of a primer that chemically alters the surfaces of the nanostructures preventing them from getting damaged during subsequent wet clean processes. The wafer may then be further processed, for example a wet cleaning process followed by a drying process. The increased hydrophobicity of the nanostructures helps to reduce or prevent collapse of the nanostructures. | 08-04-2011 |
20110201201 | METHODS OF FABRICATING LARGE-AREA, SEMICONDUCTING NANOPERFORATED GRAPHENE MATERIALS - Methods for forming a nanoperforated graphene material are provided. The methods comprise forming an etch mask defining a periodic array of holes over a graphene material and patterning the periodic array of holes into the graphene material. The etch mask comprises a pattern-defining block copolymer layer, and can optionally also comprise a wetting layer and a neutral layer. The nanoperforated graphene material can consist of a single sheet of graphene or a plurality of graphene sheets. | 08-18-2011 |
20110207328 | METHODS AND APPARATUS FOR THE MANUFACTURE OF MICROSTRUCTURES - A method of manufacturing microstructures is disclosed, the method comprising a applying a mask to substrate; forming a pattern in the mask; processing the substrate according to the pattern; and mechanically removing the mask from the substrate. A polymer mask is disclosed for manufacturing micro scale structure, the polymer mask comprising a thin, preferably ultra thin flexible film. A method of manufacturing an integrated circuit is disclosed, the method comprising forming a plurality of isolated semiconductor devices on a common substrate; and connecting some of the devices. Apparatus for manufacturing microstructures is disclosed comprising: a mechanism for coating a mass substrate to create a structure; a mechanism for removing a mask from the substrate; and processing apparatus. A thin film transistor is disclosed comprising drain source and gate electrodes, the drain and source electrode being separated by a semiconductor, and the gate electrode being separated from the semiconductor by an insulator, comprising a bandgap alignment layer disposed between a semiconductor and the insulator. | 08-25-2011 |
20110212622 | SURFACE TEXTURING USING A LOW QUALITY DIELECTRIC LAYER - A low cost method is described for forming a textured Si surface such as for a solar cell which includes forming a dielectric layer containing pinholes, anisotropically etching through the pinholes to form inverted pyramids in the Si surface and removing the dielectric layer thereby producing a high light trapping efficiency for incident radiation. | 09-01-2011 |
20110212623 | Substrate treatment device - It is intended to provide a substrate treatment device capable of adjusting both of a growth speed and an etching speed in a selective epitaxial growth, avoiding particle generation from nozzles, and achieving good etching characteristics. A substrate treatment device for selectively growing an epitaxial film on a surface of a substrate by alternately supplying a raw material gas containing silicon and an etching gas to a treatment chamber, the substrate treatment device being provided with a substrate support member for supporting the substrate in the treatment chamber, a heating member provided outside the treatment chamber for heating the substrate and an atmosphere of the treatment chamber, a gas supply system provided inside the treatment chamber, and a discharge port opened on the treatment chamber, wherein the gas supply system comprises first gas supply nozzles for supplying the raw material gas and second gas supply nozzles for supplying the etching gas. | 09-01-2011 |
20110223765 | SILICON NITRIDE PASSIVATION LAYER FOR COVERING HIGH ASPECT RATIO FEATURES - A method of forming a passivation layer comprising silicon nitride on features of a substrate is described. In a first stage of the deposition method, a dielectric deposition gas, comprising a silicon-containing gas and a nitrogen-containing gas, is introduced into the process zone and energized to deposit a silicon nitride layer. In a second stage, a treatment gas, having a different composition than that of the dielectric deposition gas, is introduced into the process zone and energized to treat the silicon nitride layer. The first and second stages can be performed a plurality of times. | 09-15-2011 |
20110223766 | METHOD AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes: exposing an insulating film including a siloxane bond to an energy beam or plasma; and exposing the insulating film to a gas (excluding N | 09-15-2011 |
20110223767 | CONTROL WAFER RECLAMATION PROCESS - A method of recycling a control wafer having a low-k dielectric layer deposited thereon involves etching a portion of the low-k dielectric layer using a plasma resulting in a residual film of the low-k dielectric layer and byproduct particulates of carbon on the substrate. The residual dielectric film is removed by wet etching with a low polarization organic solvent that includes HF and a surfactant. | 09-15-2011 |
20110230051 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A post-CMP cleaning process of a copper layer is to be performed as follows. An alkaline aqueous solution, a polycarboxylic acid, BTA, and an alkaline aqueous solution are sequentially brought into contact with a primary surface of a silicon substrate over which the copper layer is provided. | 09-22-2011 |
20110237080 | Method for integrating low-k dielectrics - A method for treating a dielectric film on a substrate and, in particular, a method for integrating a low-k dielectric film with subsequently formed metal interconnects is described. The method includes preparing a dielectric film on a substrate, wherein the dielectric film is a low-k dielectric film having a dielectric constant less than or equal to a value of about 4. Thereafter, the method further includes performing a preliminary curing process on the dielectric film, forming a pattern in the dielectric film using a lithographic process and an etching process, removing undesired residues from the substrate, and performing a final curing process on the dielectric film, wherein the final curing process includes irradiating the substrate with ultraviolet (UV) radiation. | 09-29-2011 |
20110244686 | INORGANIC RAPID ALTERNATING PROCESS FOR SILICON ETCH - A method for etching features into a silicon substrate disposed below a mask in a plasma processing chamber is provided. The silicon substrate is etched through the mask comprising a plurality of cycles, wherein each cycle comprises a sidewall deposition phase and an etch phase. The sidewall deposition phase comprises providing a flow of sidewall inorganic deposition phase gas comprising a silicon containing compound gas and at least one of oxygen, nitrogen or NO | 10-06-2011 |
20110244687 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - In a process for forming trenches having M different widths in a substrate, a passivation step and an etching step are alternately performed. The passivation step includes depositing a passivation layer on a bottom of the trenches by converting gas introduced in a chamber into plasma. The etching step includes removing the passivation layer on the bottom of the trenches and applying reactive ion etching to the bottom to increase a depth of the trenches. The etching step further includes setting energy for the reactive ion etching to a predetermined value when the passivation layer on the bottom of the trench having the Nth smallest width is removed. The value allows the etching amount of the trench having the Nth smallest width to be equal to or greater than the etching amount of the trench having the (N+1)th smallest width. | 10-06-2011 |
20110256723 | METHOD FOR FORMING SEMICONDUCTOR DEVICE - A method for forming a semiconductor device is disclosed. A method for forming a semiconductor device includes forming a first sacrificial hard mask layer over a semiconductor substrate including an etch layer, forming a first spacer over the first sacrificial hard mask layer, forming a first sacrificial hard mask pattern by etching the first sacrificial hard mask layer using the first spacer as an etch mask, forming a second spacer at both sidewalls of the first sacrificial hard mask pattern, partially isolating the second spacer, and forming a pad pattern over the second spacer. As a result, a line-and-space pattern such as a control gate of the NAND flash memory and a pad portion coupled to a drain contact in an X-decoder of a peripheral circuit region can be easily implemented. | 10-20-2011 |
20110256724 | GAS AND LIQUID INJECTION METHODS AND APPARATUS - A liquid injection system for a processing chamber includes a liquid injector that receives a liquid from a liquid supply and that selectively pulses the liquid into a conduit. A control module selects a number of pulses and a pulse width of the liquid injector. A gas supply supplies gas into the conduit. A sensor senses at least one of a first temperature and a first pressure in the conduit and that generates at least one of a first temperature signal and a first pressure signal, respectively. The control module confirms that the selected number of pulses occur based on the at least one of the first temperature signal and the first pressure signal. | 10-20-2011 |
20110256725 | STRUCTURE AND METHOD FOR THIN FILM DEVICE WITH STRANDED CONDUCTOR - Provided is a thin film device and an associated method of making a thin film device. For example, fabrication of an inverter thin film device is described. Moreover, a parallel spaced electrically conductive strips are provided upon a substrate. A functional material is deposited upon the conductive strips. A 3D structure is then provided upon the functional material, the 3D structure having a plurality of different heights, at least one height defining a first portion of the conductive strips to be bundled. The 3D structure and functional material are then etched to define a TFD disposed above the first portion of the conductive strips. The first portion of the conductive strips is bundled adjacent to the TFD. | 10-20-2011 |
20110263127 | Method for Fabricating Low-k Dielectric and Cu Interconnect - A system and method for improving the performance of an integrated circuit by lowering RC delay time is provided. A preferred embodiment comprises adding a reactive etch gas to the ash/flush plasma process following a low-k dielectric etch. The illustrative embodiments implement a removal of the damage layer that is formed during a low-k dielectric etch. | 10-27-2011 |
20110269313 | SEMICONDUCTOR SUBSTRATE SURFACE TREATMENT METHOD - In one embodiment, a method for treating a surface of a semiconductor substrate is disclosed. The semiconductor substrate has a first pattern covered by a resist and a second pattern not covered by the resist. The method includes supplying a resist-insoluble first chemical solution onto a semiconductor substrate to subject the second pattern to a chemical solution process. The method includes supplying a mixed liquid of a water repellency agent and a resist-soluble second chemical solution onto the semiconductor substrate after the supply of the first chemical solution, to form a water-repellent protective film on a surface of at least the second pattern and to release the resist. In addition, the method can rinse the semiconductor substrate using water after the formation of the water-repellent protective film, and dry the rinsed semiconductor substrate. | 11-03-2011 |
20110281433 | ETCHING METHOD USING AN AT LEAST SEMI-SOLID MEDIA - An etching method that uses an etch reactant retained within at least a semi-solid media ( | 11-17-2011 |
20110294295 | METHOD FOR MAKING THREE-DIMENSIONAL NANO-STRUCTURE ARRAY - A method for making a three-dimensional nano-structure array includes following steps. First, a substrate is provided. Next, a mask is formed on the substrate. The mask is a monolayer nanosphere array or a film defining a number of holes arranged in an array. The mask is then tailored and simultaneously the substrate is etched by the mask. Lastly, the mask is removed. | 12-01-2011 |
20110312183 | Method of Fine Patterning Semiconductor Device - For patterning during integrated circuit fabrication, a first pattern of first masking structures is formed, and a buffer layer is formed on exposed surfaces of the first masking structures. Also, a second pattern of second masking structures is formed in recesses between the buffer layer at sidewalls of the first masking structures. Furthermore, the first and masking structures are formed from spin-coating respective high carbon containing materials. Such first and second masking structures pattern a target layer with higher pitch than possible with traditional photolithography. | 12-22-2011 |
20120009791 | PATTERN FORMATION METHOD - According to one embodiment, a pattern formation method is disclosed. The method can include filling an imprint material between a first protrusion-depression pattern of a first pattern transfer layer formed on a first replica substrate and a second pattern transfer layer being transparent to energy radiation and formed on a second replica substrate transparent to the energy radiation. The method can include curing the imprint material by irradiating the imprint material with the energy radiation from an opposite surface side of the second replica substrate. The method can include releasing the first protrusion-depression pattern from the imprint material. The method can include forming a second protrusion-depression pattern in the second pattern transfer layer by processing the second pattern transfer layer using the imprint material as a mask. | 01-12-2012 |
20120009792 | SEMICONDUCTOR WET ETCHANT AND METHOD OF FORMING INTERCONNECTION STRUCTURE USING THE SAME - A semiconductor wet etchant includes deionized water, a fluorine-based compound, an oxidizer and an inorganic salt. A concentration of the fluorine-based compound is 0.25 to 10.0 wt % based on a total weight of the etchant, a concentration of the oxidizer is 0.45 to 3.6 wt % based on a total weight of the etchant, and a concentration of the inorganic salt is 1.0 to 5.0 wt % based on a total weight of the etchant. The inorganic salt comprises at least one of an ammonium ion (NH | 01-12-2012 |
20120015520 | Methods of Modifying Oxide Spacers - Methods for reducing line roughness of spacers and other features utilizing a non-plasma and non-wet etch fluoride processing technology are provided. Embodiments of the methods can be used for spacer or line reduction and/or smoothing the surfaces along the edges of such features through the reaction and subsequent removal of material. | 01-19-2012 |
20120028468 | METHOD OF FORMING A LAYER ON A SEMICONDUCTOR SUBSTRATE HAVING A PLURALITY OF TRENCHES - A method of fabricating a semiconductor device is illustrated. A substrate having a plurality of trenches is provided. The plurality of trenches include trenches having differing widths. A first layer is formed on the substrate including in the plurality of trenches. Forming the first layer creates an indentation in the first layer in a region overlying a trench (e.g., wide trench). A second layer is formed in the indentation. The first layer is etched while the second layer remains in the indentation. The second layer may protect the region of indentation from further reduction in thickness. In an embodiment, the first layer is polysilicon and the second layer is BARC of photoresist. | 02-02-2012 |
20120028469 | METHOD OF TAILORING CONFORMALITY OF Si-CONTAINING FILM - A method of tailoring conformality of a film deposited on a patterned surface includes: (I) depositing a film by PEALD or pulsed PECVD on the patterned surface; (II) etching the film, wherein the etching is conducted in a pulse or pulses, wherein a ratio of an etching rate of the film on a top surface and that of the film on side walls of the patterns is controlled as a function of the etching pulse duration and the number of etching pulses to increase a conformality of the film; and (III) repeating (I) and (II) to satisfy a target film thickness. | 02-02-2012 |
20120028470 | Increasing Robustness of a Dual Stress Liner Approach in a Semiconductor Device by Applying a Wet Chemistry - In a dual stress liner approach, unwanted material provided between closely spaced gate electrode structures may be removed to a significant degree on the basis of a wet chemical etch process, thereby reducing the risk of creating patterning-related irregularities. Consequently, the probability of contact failures in sophisticated interlayer dielectric material systems formed on the basis of a dual stress liner approach may be reduced. | 02-02-2012 |
20120028471 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device includes: forming a thin film on a substrate; forming a resist mask which forms a photoresist mask having an elliptical hole pattern on the thin film; shrinking a hole size of the second pattern by forming an insulating film on a side wall of the second pattern of the photoresist layer; and etching the thin film using the insulating film and the photoresist layer which form the second pattern having the shrinked hole size as a mask. | 02-02-2012 |
20120034781 | METHOD FOR FABRICATING A SEMICONDUCTOR STRUCTURE - A method for fabricating a semiconductor structure is disclosed. The method includes the steps of: providing a substrate; depositing a material layer on the substrate; forming at least one dielectric layer on the material layer; forming a patterned resist on the dielectric layer; performing a first trimming process on at least the patterned resist; performing a second trimming process on at least the dielectric layer; and using the dielectric layer as mask for etching the material layer. | 02-09-2012 |
20120034782 | Method of Forming Fine Patterns - A method of forming fine patterns according to an aspect of the present disclosure comprises stacking a hard mask layer and a first auxiliary layer over an underlying layer, removing regions of the first auxiliary layer, thereby forming first auxiliary patterns to expose regions of the hard mask layer, filling between the first auxiliary patterns with a second auxiliary layer, wherein a material of the second auxiliary layer is different from that of the first auxiliary layer, lowering a height of the second auxiliary layer by removing the second auxiliary layer to expose sidewalls of the first auxiliary patterns, forming spacers on the exposed sidewalls of the first auxiliary patterns to expose regions of the second auxiliary layer, wherein a material of the spacers is different from that of the second auxiliary layer, removing the exposed regions of the second auxiliary layer, removing the spacers and the first auxiliary patterns to expose regions of the hard mask layer and removing the exposed regions of the hard mask layer, thereby forming hard mask patterns. | 02-09-2012 |
20120034783 | MANUFACTURING INTEGRATED CIRCUIT COMPONENTS HAVING MULTIPLE GATE OXIDATIONS - STI divot formation is minimized and STI field height mismatch between different regions is eliminated. A nitride cover layer ( | 02-09-2012 |
20120040533 | Method of Manufacturing Semiconductor Devices - A method of manufacturing semiconductor devices comprises forming a plurality of patterns by patterning a thin film formed over an underlying layer and cleaning contaminants generated when the thin film is patterned using a plasma both having oxidative and reductive properties. | 02-16-2012 |
20120045899 | PATTERN REVERSAL FILM FORMING COMPOSITION AND METHOD OF FORMING REVERSED PATTERN - There is provided to a pattern reversal film forming composition that is capable of forming a pattern reversal film which is not mixed with a resist pattern formed on a substrate, and that is only capable of forming a pattern reversal film advantageously covering the pattern, but also irrespective of whether the resist pattern is coarse or fine, capable of forming a planar film excellent in temporal stability on the pattern. A pattern reversal film forming composition including a polysiloxane, an additive and an organic solvent, characterized in that the polysiloxane is a product of a hydrolysis and/or condensation reaction of a silane compound containing a tetraalkoxysilane of Si(OR | 02-23-2012 |
20120070992 | METHOD OF STRIPPING HOT MELT ETCH RESISTS FROM SEMICONDUCTORS - Hot melt etch resist is selectively applied to an anti-reflective coating or a selective emitter on a semiconductor wafer. The exposed portions of the anti-reflective coating or selective emitter are etched away using an inorganic acid containing etch to expose the semiconductor surface. The hot melt etch resist is then stripped from the semiconductor with an alkaline stripper which does not compromise the electrical integrity of the semiconductor. The exposed semiconductor is then metalized to form current tracks. | 03-22-2012 |
20120077343 | RESIST COMPOSITION AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE - A resist composition includes: a crosslinking material that is crosslinked in the presence of an acid; an acid amplifier; and a solvent. | 03-29-2012 |
20120083124 | Method of Patterning NAND Strings Using Perpendicular SRAF - A lithography mask includes a plurality of patterning features formed on a mask substrate and a first plurality of sub-resolution assist features (SRAFs) formed substantially perpendicular to the patterning features on the mask substrate. | 04-05-2012 |
20120088367 | SEMICONDUCTOR DEVICE AND STRUCTURE - A method of manufacturing a semiconductor wafer, the method comprising: providing a base wafer comprising a semiconductor substrate; preparing a first monocrystalline layer comprising semiconductor regions; preparing a second monocrystalline layer comprising semiconductor regions overlying the first monocrystalline layer; and etching portions of said first monocrystalline layer and portions of said second monocrystalline layer as part of forming at least one transistor on said first monocrystalline layer. | 04-12-2012 |
20120094492 | METHOD OF FORMING PATTERN, RETICLE, AND COMPUTER READABLE MEDIUM FOR STORING PROGRAM FOR FORMING PATTERN - A method of forming a pattern includes forming a plurality of target patterns, forming a plurality of pitch violating patterns that make contact with the plurality of target patterns and are disposed between the plurality of target patterns, classifying the plurality of pitch violating patterns into a first region and a second region adjacent to the first region, and forming an initial pattern corresponding to one of the first region and the second region. | 04-19-2012 |
20120094493 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device in which an insulating film is filled between patterns etched into a workpiece structure is disclosed. The method includes cleaning etch residues residing between the etched patterns by a first chemical liquid; rinsing the workpiece structure cleaned by the first chemical liquid by a rinse liquid; and coating the workpiece structure rinsed by the rinse liquid with a coating liquid for formation of the insulating film. The cleaning to the coating are carried out within the same processing chamber such that a liquid constantly exists between the patterns of the workpiece structure. | 04-19-2012 |
20120100719 | METHOD FOR MAKING A PLANAR MEMBRANE - A method for determining a minimum tension compensation stress which will have a membrane of a thickness of less than or equal to one micrometer, secured to a frame, having, in the absence of any external stress, a desired deflection. The membrane can be made as planar as possible in absence of any external stress, and its thickness can be less than or equal to one micrometer. | 04-26-2012 |
20120108067 | Edge Bead Remover For Coatings - The invention relates to an edge bead remover composition for an organic film disposed on a substrate surface, comprising an organic solvent and at least one polymer having a contact angle with water greater than 70°. The invention also relates to a process for using the composition as an edge bead remover for an organic film. | 05-03-2012 |
20120108068 | Method for Patterning Sublithographic Features - A method of uniformly shrinking hole and space geometries by forming sidewalls of an ALD film deposited at low temperature on a photolithographic pattern. | 05-03-2012 |
20120115331 | METHODS OF FORMING FINE PATTERNS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES - Method of forming fine patterns and methods of fabricating semiconductor devices by which a photoresist (PR) pattern may be transferred to a medium material layer with a small thickness and a high etch selectivity with respect to a hard mask to form a medium pattern and the hard mask may be formed using the medium pattern. According to the methods, the PR pattern may have a low aspect ratio so that a pattern can be transferred using a PR layer with a small thickness without collapsing the PR pattern. | 05-10-2012 |
20120129347 | Apparatus and Method For Incorporating Composition Into Substrate Using Neutral Beams - An apparatus and method for processing a surface of a substrate using neutral beams are provided to repeatedly process an oxide layer using the neutral beams having low energy to minimize electrical damage to the oxide layer and improve characteristics of the oxide layer. The apparatus is mounted in a plasma generating chamber, and includes: an ion beam generating gas inlet, which injects a gas for generating ion beams; an ion source, which generates the ion beams having a polarity from the gas introduced through the ion beam generating gas inlet; a grid assembly, which is installed on one end of the ion source; a reflector, which is aligned with the grid assembly and converts the ion beams to the neutral beams; and a stage, on which the substrate is placed on a traveling path of the neutral beams. | 05-24-2012 |
20120135603 | METHODS FOR INCREASED ARRAY FEATURE DENSITY - The embodiments generally relate to methods of making semiconductor devices, and more particularly, to methods for making semiconductor pillar structures and increasing array feature pattern density using selective or directional gap fill. The technique has application to a variety of materials and can be applied to making monolithic two or three-dimensional memory arrays. | 05-31-2012 |
20120135604 | PROCESSING LIQUID FOR SUPPRESSING PATTERN COLLAPSE OF FINE METAL STRUCTURE, AND METHOD FOR PRODUCING FINE METAL STRUCTURE USING SAME - There are provided a processing liquid that is capable of suppressing pattern collapse of a fine metal structure, such as a semiconductor device and a micromachine, and a method for producing a fine metal structure using the same. The processing liquid for suppressing pattern collapse of a fine metal structure, contains a phosphate ester and/or a polyoxyalkylene ether phosphate ester, and the method for producing a fine metal structure, uses the same. | 05-31-2012 |
20120149199 | SAMPLE CONTAMINATION METHOD - A sample contamination method according to an embodiment includes spraying a chemical solution containing contaminants into a casing, carrying a semiconductor substrate into the casing filled with the chemical solution by the spraying, leaving the semiconductor substrate in the casing filled with the chemical solution for a predetermined time, and carrying the semiconductor substrate out of the casing after the predetermined time passes. | 06-14-2012 |
20120156879 | RESIST PATTERN IMPROVING MATERIAL, METHOD FOR FORMING RESIST PATTERN, AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE - To provide a resist pattern improving material, containing: a compound represented by the following general formula (1), or a compound represented by the following general formula (2), or both thereof; and water: | 06-21-2012 |
20120156880 | RAPID AND UNIFORM GAS SWITCHING FOR A PLASMA ETCH PROCESS - An inductively coupled plasma processing apparatus includes a processing chamber in which a semiconductor substrate is processed, a substrate support, a dielectric window forming a wall of the chamber, an antenna operable to generate and maintain a plasma in the processing chamber, and a showerhead plate of dielectric material adjacent the dielectric window. The showerhead plate includes gas holes in fluid communication with a plenum below the dielectric window, the plenum having a gas volume of no greater than 500 cm | 06-21-2012 |
20120164834 | Variable-Density Plasma Processing of Semiconductor Substrates - Methods and hardware for generating variable-density plasmas are described. For example, in one embodiment, a process station comprises a showerhead including a showerhead electrode and a substrate holder including a mesa configured to support a substrate, wherein the substrate holder is disposed beneath the showerhead. The substrate holder includes an inner electrode disposed in an inner region of the substrate holder and an outer electrode being disposed in an outer region of the substrate holder. The process station further comprises a plasma generator configured to generate a plasma in a plasma region disposed between the showerhead and the substrate holder, and a controller configured to control the plasma generator, the inner electrode, the outer electrode, and the showerhead electrode to effect a greater plasma density in an outer portion of the plasma region than in an inner portion of the plasma region. | 06-28-2012 |
20120164835 | Method of Forming Via Hole - The present invention provides a method of forming via holes. First, a substrate is provided. A plurality of first areas is defined on the substrate. A dielectric layer and a blocking layer are formed on the substrate. A patterned photoresist layer is formed on the blocking layer. The patterned photoresist layer includes a plurality of holes arranged in a regular array wherein the area of the hole array is greater than those of the first areas. The blocking layer in the first areas is removed by using the patterned photoresist layer as a mask. Lastly, the dielectric layer is patterned to form at least a via hole in the dielectric layer in the first area. | 06-28-2012 |
20120171865 | METHOD FOR FABRICATING FINE PATTERNS - A method for fabricating fine patterns includes forming a first photomask including first line patterns and first assist features and forming a second photomask including second line patterns extending to a portion corresponding to the first assist features in a direction perpendicular to the first line patterns. A first resist layer may be exposed through a first exposure process by using the first photomask, and a first resist pattern formed to open regions following the shape of the first line patterns. The first resist pattern may be frozen and a second resist layer may be formed to fill the opened regions of the first resist pattern. The second resist layer may be exposed through a second exposure process by using the second photomask, and a second resist pattern formed to open regions corresponding to the intersections between the first and second line patterns with the first resist pattern. | 07-05-2012 |
20120171866 | SUBSTRATE STRUCTURE INCLUDING FUNCTIONAL REGION AND METHOD FOR TRANSFERRING FUNCTIONAL REGION - According to a method for transferring a functional region, at least part of functional regions on separation layers arranged on a first substrate is transferred onto a second substrate, the separation layers being capable of being brought into a separable state by treatment. In a first bonding step, the first substrate is bonded to the second substrate with a dry film resist arranged between the second substrate and the at least part of the functional regions above the first substrate. In an exposure step, at least part of the dry film resist is exposed. In a patterning step, the exposed dry film resist is patterned. | 07-05-2012 |
20120178259 | METHOD OF CLEANING SILICON CARBIDE SEMICONDUCTOR AND APPARATUS FOR CLEANING SILICON CARBIDE SEMICONDUCTOR - A method of cleaning an SiC semiconductor includes the steps of forming an oxide film on a surface of an SiC semiconductor and removing the oxide film. In the step of removing the oxide film, the oxide film is removed with halogen plasma or hydrogen plasma. In the step of removing the oxide film, fluorine plasma is preferably employed as halogen plasma. The SiC semiconductor can be cleaned such that good surface characteristics are achieved. | 07-12-2012 |
20120184102 | Method for smoothing group lll nitride semiconductor substrate - The invention discloses a smoothing method to decrease bowing of group III nitride semiconductor substrate. The certain face of group III nitride semiconductor substrates is etched under the appropriate etching recipe and time, the certain morphology such as rod-type and other structures are appeared at the certain face. And such structures releases the compressive stresses at these certain faces, resulting in clearly increasing the bowing radius of the group III nitride semiconductor substrates, finally decreasing the bowing phenomenon of the group III nitride semiconductor substrate. | 07-19-2012 |
20120190202 | METHOD FOR FABRICATING SEMICONDUCTOR NANO CIRCULAR RING - The present invention discloses a method for fabricating a semiconductor nano circular ring. In the method, firstly, a positive photoresist is coated on a semiconductor substrate, then the photoresist is exposed by using a circular mask with a micrometer-sized diameter to obtain the circular ring-shaped photoresist, based on the poisson diffraction principle. Then, a plasma etching is performed on the substrate under a protection of the circular ring-shaped photoresist to form a circular ring-shaped structure with a nano-sized wall thickness on a surface of the substrate. The embodiment of present invention fabricates a nano-sized circular ring-shaped structure by using a micrometer-sized lithography equipment and a micrometer-sized circular mask, and overcomes the dependence on advanced technologies, so as to effectively reduce the fabrication cost of the circular ring-shaped nano structure. | 07-26-2012 |
20120214308 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE - An aspect of the present embodiment, there is provided a method of fabricating a semiconductor device including providing a film to be processed above a semiconductor substrate, providing a negative-type resist and a photo-curable resist in order, pressing a main surface of a template onto the photo-curable resist, the main surface of the template having a concavo-convex pattern with a light shield portion provided on at least a part of a convex portion, irradiating the template with light from a back surface of the template, developing the negative-type resist and the photo-curable resist so as to print the concavo-convex pattern of the template on the negative-type resist and the photo-curable resist, and etching the film to be processed by using the concavo-convex pattern printed on the negative-type resist and the photo-curable resist as a mask. | 08-23-2012 |
20120220129 | METHOD FOR FORMING MASK FOR FORMING CONTACT HOLES OF SEMICONDUCTOR DEVICE - A method for forming a mask for forming contact holes of a semiconductor device includes coating an etch target layer with a first photoresist layer, patterning the first photoresist layer in a type of lines and spaces to form a first photoresist pattern, wherein the first photoresist pattern comprises pads formed at both ends of the first photoresist pattern, and lines repeatedly formed between the pads at the both ends, forming a protective layer on a surface of the first photoresist pattern by performing a freezing process onto the first photoresist pattern, and forming a second photoresist pattern having a type of lines stretched in a second direction which is perpendicular to the first direction on the etch target layer including the protective layer. | 08-30-2012 |
20120225557 | SILICON GERMANIUM MASK FOR DEEP SILICON ETCHING - Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF | 09-06-2012 |
20120225558 | METHODS FOR CONTACT CLEAN - Methods and apparatus for removing oxide from a surface, the surface comprising at least one of silicon and germanium, are provided. The method and apparatus are particularly suitable for removing native oxide from a metal silicide layer of a contact structure. The method and apparatus advantageously integrate both the etch stop layer etching process and the native oxide removal process in a single chamber, thereby eliminating native oxide growth or other contaminates redeposit during the substrate transfer processes. Furthermore, the method and the apparatus also provides the improved three-step chemical reaction process to efficiently remove native oxide from the metal silicide layer without adversely altering the geometry of the contact structure and the critical dimension of the trenches or vias formed in the contact structure. | 09-06-2012 |
20120231628 | REDUCTION OF A PROCESS VOLUME OF A PROCESSING CHAMBER USING A NESTED DYNAMIC INERT VOLUME - A substrate processing chamber includes a lift actuator that moves a pedestal between a substrate loading position and a substrate processing position. An adjustable seal defines an expandable sealed volume between a bottom surface of the pedestal and a bottom surface of the substrate processing chamber and is moveable between the substrate loading position and the substrate processing position. When the pedestal is in the substrate processing position, the pedestal and the adjustable seal define a first inert volume and a first process volume. When the pedestal is in the substrate loading position, the pedestal and the adjustable seal define a second inert volume and a second process volume. The second inert volume is less than the first inert volume and the second process volume is greater than the first process volume. | 09-13-2012 |
20120231629 | TEMPLATE AND PATTERN FORMING METHOD - A template for imprinting in which a pattern is transferred onto a first substrate applied curable resin thereon, including a second substrate having a surface to be contacted with the curable resin, a concave portion provided on the surface and corresponding to a pattern to be transferred onto the first substrate, and at least one convex portion arranged in the concave portion to decrease volume of | 09-13-2012 |
20120238095 | PATTERNING PROCESS AND COMPOSITION FOR FORMING SILICON-CONTAINING FILM USABLE THEREFOR - The invention provides a patterning process for forming a negative pattern by lithography, comprising at least the steps of: using a composition for forming silicon-containing film, containing specific silicon-containing compound (A) and an organic solvent (B), to form a silicon-containing film; using a silicon-free resist composition to form a photoresist film on the silicon-containing film; heat-treating the photoresist film, and subsequently exposing the photoresist film to a high energy beam; and using a developer comprising an organic solvent to dissolve an unexposed area of the photoresist film, thereby obtaining a negative pattern. There can be a patterning process, which is optimum as a patterning process of a negative resist to be formed by adopting organic solvent-based development, and a composition for forming silicon-containing film to be used in the process. | 09-20-2012 |
20120238096 | METHOD AND APPARATUS FOR INSPECTING A REFLECTIVE LITHOGRAPHIC MASK BLANK AND IMPROVING MASK QUALITY - An EUV integrated circuit fabrication method and system EUV that includes blank inspection, defect characterization, simulation, pattern compensation, modification of the mask writer database, inspection and simulation of patterned masks, and patterned mask repair. The system performs blank inspection to identify defects at multiple focal planes within the blank. The mask can be relocated on the blank and alterations to the pattern can be developed to compensate for the defects prior to prior to patterning the mask. Once the mask has been patterned, the reticle is inspected to identify any additional or remaining defects that were not picked up during blank inspection or fully mitigated through pattern compensation. The patterned reticle can then be repaired prior to integrated circuit fabrication. | 09-20-2012 |
20120238097 | METHOD FOR FABRICATING FINE LINE - Disclosed herein is a method for fabricating a fine line, which belongs to a field of ultra-large-scale integrated circuit manufacturing technology. In the invention, three trimming mask processes are performed to effectively improve a profile of the line and greatly reduce the LER (line edge roughness) of the line. At the same time, the invention is combined with a sidewall process, so that a nano-scaled fine line can be successfully fabricated and precisely controlled to 20 nm. Thus, a nano-scaled line with an optimized LER can be fabricated over the substrate. | 09-20-2012 |
20120244707 | METHOD OF CORRECTING MASK PATTERN, COMPUTER PROGRAM PRODUCT, MASK PATTERN CORRECTING APPARATUS, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In the method of correcting a mask pattern according to the embodiments, a mask pattern correction amount for a reference flare value is calculated as a reference mask correction amount, for every type of patterns within the layout, and a change amount of the mask pattern correction amount corresponding to the change amount of the flare value is calculated as the change amount information. A mask pattern corresponding to the flare value of the pattern is created based on the reference mask correction amount and the change amount information corresponding to the pattern, extracted from the information having the pattern, the reference mask correction amount, and the change amount information correlated with each other, and based on a difference between the flare value of the pattern and the reference flare value. | 09-27-2012 |
20120244708 | Methods Of Patterning Materials - Some embodiments include methods of forming openings. For instance, a construction may have a material over a plurality of electrically conductive lines. A plurality of annular features may be formed over the material, with the annular features crossing the lines. A patterned mask may be formed over the annular features, with the patterned mask leaving segments of the annular features exposed through a window in the patterned mask. The exposed segments of the annular features may define a plurality of openings, and such openings may be transferred into the material to form openings extending to the electrically conductive lines. | 09-27-2012 |
20120252215 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE, PATTERN WRITING APPARATUS, RECORDING MEDIUM RECORDING PROGRAM, AND PATTERN TRANSFER APPARATUS - A method for fabricating a semiconductor device, includes dividing a pattern region of a desired pattern that is to be formed on a semiconductor substrate into a plurality of sub-regions; calculating combination condition including a shape of illumination light for transferring and a mask pattern obtained by correcting a partial pattern in the sub-region of the desired pattern formed on a mask used during transferring for each of the plurality of sub-regions, to make a dimension error of the partial pattern of each of the plurality of sub-regions smaller when transferred to the semiconductor substrate; and forming the desired pattern by making multiple exposures on the semiconductor substrate in such a way that the partial patterns of the sub-regions divided are sequentially transferred by transferring a pattern to the semiconductor substrate using the combination conditions calculated for each of the sub-regions. | 10-04-2012 |
20120264305 | Footing Reduction Using Etch-Selective Layer - A method of forming side spacers upwardly extending from a substrate, includes: providing a template constituted by a photoresist formed on and in contact with an etch-selective layer laminated on a substrate; anisotropically etching the template in a thickness direction with an oxygen-containing plasma to remove a footing of the photoresist and an exposed portion of the underlying layer; depositing a spacer film on the template by atomic layer deposition (ALD); and forming side spacers using the spacer film by etching. The etch-selective layer has a substantially lower etch rate than that of the photoresist. | 10-18-2012 |
20120270402 | METHOD OF MAKING AN ARRAY COLUMNAR HOLLOW SEMICONDUCTOR STRUCTURE - A method of making an array columnar hollow semiconductor structure includes: providing an oxide layer; placing a chromeless mask on the oxide layer, wherein the chromeless mask is a bank-shaped frame; forming a silicone nitride layer to cover the first partial top surface of the oxide layer and the whole outer surface of the bank-shaped frame; removing one part of the silicone nitride layer to expose a second partial top surface of the oxide layer and a top surface of the bank-shaped frame; removing the bank-shaped frame to expose a third partial top surface of the oxide layer; removing a first part of the oxide layer under the second partial top surface and a second portion of the oxide layer under the third partial top surface to form a plurality of columnar hollow bodies; and removing the other silicone nitride layer to completely expose the columnar hollow bodies. | 10-25-2012 |
20120276743 | METHODS OF FORMING A CARBON TYPE HARD MASK LAYER USING INDUCED COUPLED PLASMA AND METHODS OF FORMING PATTERNS USING THE SAME - A method of forming a carbon type hard mask layer using induced coupled plasma includes loading a substrate onto a lower electrode in a process chamber of an induced coupled plasma (ICP) deposition apparatus, the process chamber including an upper electrode and the lower electrode therein, generating a plasma in the process chamber, injecting a reactive gas into the process chamber such that the reactive gas is activated by colliding with the plasma, the reactive gas including a hydrocarbon compound gas, and applying a bias power to the lower electrode to form a diamond-like carbon layer on the substrate from the activated reactive gas. | 11-01-2012 |
20120282776 | PHOTORESIST UNDERLAYER COMPOSITION AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE BY USING THE SAME - A photoresist underlayer composition includes a solvent, and a polysiloxane resin represented by Chemical Formula 1: | 11-08-2012 |
20120289049 | COPPER OXIDE REMOVAL TECHNIQUES - A method for the removal of copper oxide from a copper and dielectric containing structure of a semiconductor chip is provided. The copper and dielectric containing structure may be planarized by chemical mechanical planarization (CMP) and treated by the method to remove copper oxide and CMP residues. Annealing in a hydrogen (H | 11-15-2012 |
20120295444 | TECHNIQUES FOR FORMING 3D STRUCTURES - A technique for forming 3D structures is disclosed. In one particular exemplary embodiment, the technique may be realized as a method for forming 3D structures. The method may comprise providing a substrate comprising at least two vertically extending fins that are spaced apart from one another to define a trench; depositing a dielectric material in the trench between the at least two vertically extending fins; providing an etch stop layer within the dielectric material, the etch stop layer having a first side and a second opposite side; removing the dielectric material near the first side of the etch stop layer. | 11-22-2012 |
20120302065 | PULSE-PLASMA ETCHING METHOD AND PULSE-PLASMA ETCHING APPARATUS - The present invention relates to a pulse-plasma etching method and apparatus for preparing a depression structure with reduced bowing. The pulse-plasma etching apparatus comprises a container, an upper electrode plate, a lower electrode plate, a gas source, a first ultrahigh RF power supply, a bias RF power supply, and a pulsing module. When the pulsing module supplies an ultrahigh-frequency voltage between the upper electrode plate and the lower electrode plate, an ultrahigh-frequency voltage is switched to the off state, and a large amount of electrons pass through the plasma and reach the substrate to neutralize the positive ions during the duration of the off state (T | 11-29-2012 |
20120309194 | METHOD FOR PROVIDING HIGH ETCH RATE - A method for etching features into an etch layer in a plasma processing chamber, comprising a plurality of cycles is provided. Each cycle comprises a deposition phase and an etching phase. The deposition phase comprises providing a flow of deposition gas, forming a plasma from the deposition gas in the plasma processing chamber, providing a first bias during the deposition phase to provide an anisotropic deposition, and stopping the flow of the deposition gas into the plasma processing chamber. The etching phase, comprises providing a flow of an etch gas, forming a plasma from the etch gas in the plasma processing chamber, providing a second bias during the etch phase, wherein the first bias is greater than the second bias, and stopping the flow of the etch gas into the plasma processing chamber. | 12-06-2012 |
20120315765 | RESIST UNDERLAYER FILM FORMING COMPOSITION CONTAINING SILICON HAVING NITROGEN-CONTAINING RING - There is provided a resist underlayer film forming composition for lithography for forming a resist underlayer film capable of being used as a hardmask. A resist underlayer film forming composition for lithography, includes as a silane compound, a hydrolyzable organosilane, a hydrolysis product thereof, or a hydrolysis-condensation product thereof, wherein the hydrolyzable organosilane is a hydrolyzable organosilane of Formula (1): | 12-13-2012 |
20120322266 | Methods of Forming Semiconductor Constructions - The invention includes methods in which silicon is removed from titanium-containing container structures with an etching composition having a phosphorus-and-oxygen-containing compound therein. The etching composition can, for example, include one or both of ammonium hydroxide and tetra-methyl ammonium hydroxide. The invention also includes methods in which titanium-containing whiskers are removed from between titanium-containing capacitor electrodes. Such removal can be, for example, accomplished with an etch utilizing one or more of hydrofluoric acid, ammonium fluoride, nitric acid and hydrogen peroxide. | 12-20-2012 |
20120329280 | METHOD FOR FORMING PHOTORESIST PATTERNS - A method for forming photoresist patterns includes providing a substrate, forming a bi-layered photoresist on the substrate, and performing a photolithography process to pattern the bi-layered photoresist. The bi-layered photoresist includes a first photoresist layer and a second photoresist layer positioned between the first photoresist layer and the substrate. The first photoresist layer has a first refraction index and the second photoresist layer has a second refraction index, and the second refraction index is larger than the first refraction index. | 12-27-2012 |
20130005150 | COMPOSITION FOR FORMING RESIST UNDERLAYER FILM AND PATTERNING PROCESS USING THE SAME - The invention provides a composition for forming a silicon-containing resist underlayer film comprising: (A) a silicon-containing compound obtained by a hydrolysis-condensation reaction of a mixture containing, at least, one or more hydrolysable silicon compound shown by the following general formula (1) and one or more hydrolysable compound shown by the following general formula (2), and (B) a silicon-containing compound obtained by a hydrolysis-condensation reaction of a mixture containing, at least, one or more hydrolysable silicon compound shown by the following general formula (3) and one or more hydrolysable silicon compound shown by the following general formula (4). There can be provided a composition for forming a resist underlayer film applicable not only to a resist pattern obtained in a negative development but also to a resist pattern obtained in a conventional positive development, and a patterning process using this composition. | 01-03-2013 |
20130017682 | Overburden Removal For Pore Fill Integration ApproachAANM Bruce; Robert L.AACI White PlainsAAST NYAACO USAAGP Bruce; Robert L. White Plains NY USAANM Dubois; Geraud Jean-MichelAACI San JoseAAST CAAACO USAAGP Dubois; Geraud Jean-Michel San Jose CA USAANM Frot; Theo J.AACI Los GatosAAST CAAACO USAAGP Frot; Theo J. Los Gatos CA USAANM Volksen; WilliAACI San JoseAAST CAAACO USAAGP Volksen; Willi San Jose CA US - In one exemplary embodiment of the invention, a method includes: providing a structure having a first layer overlying a substrate, where the first layer includes a dielectric material having a plurality of pores; applying a filling material to a surface of the first layer; after applying the filling material, heating the structure to enable the filling material to at least partially fill the plurality of pores, where heating the structure results in residual filling material being left on the surface of the first layer; and after heating the structure, removing the residual filling material by applying a solvent wash. | 01-17-2013 |
20130017683 | METHOD OF MANUFACTURING SILICON CARBIDE SUBSTRATE AND METHOD OF MANUFACTURING SILICON CARBIDE SEMICONDUCTOR DEVICE - A silicon carbide substrate is prepared. By exposing the silicon carbide substrate to an atmosphere having a nitrogen dioxide concentration greater than or equal to 2 μg/m | 01-17-2013 |
20130023121 | DOUBLE PATTERNING METHOD USING TILT-ANGLE DEPOSITION - Methods for patterning material layers, which may be implemented in forming integrated circuit device features, are disclosed. In an example, a method includes forming a first resist layer over a material layer; forming a second resist layer over the first resist layer; forming an opening that extends through the second resist layer and the first resist layer to expose the material layer, wherein the opening has a substantially constant width in the second resist layer and a tapered width in the first resist layer; and performing a tilt-angle deposition process to form a feature over the exposed material layer. | 01-24-2013 |
20130029490 | HIGH LATERAL TO VERTICAL RATIO ETCH PROCESS FOR DEVICE MANUFACTURING - A layer stack over a substrate is etched using a photoresist pattern deposited on the layer stack as a first mask. The photoresist pattern is in-situ cured using plasma. At least a portion of the photoresist pattern can be modified by curing. In one embodiment, silicon by-products are formed on the photoresist pattern from the plasma. In another embodiment, a carbon from the plasma is embedded into the photoresist pattern. In yet another embodiment, the plasma produces an ultraviolet light to cure the photoresist pattern. The cured photoresist pattern is slimmed. The layer stack is etched using the slimmed photoresist pattern as a second mask. | 01-31-2013 |
20130034960 | METHOD OF FABRICATING A SEMICONDUCTOR DEVICE - The present invention discloses a method of fabricating a semiconductor device. In the present invention, after the formation of a photo-resist mask on a substrate, the photo-resist is subjected to a plasma pre-treatment, and then etch is conducted. With the plasma pre-treatment, a line width roughness of a linear pattern of the photo-resist can be improved, and thus much better linear patterns can be formed on the substrate during the subsequent etching steps. | 02-07-2013 |
20130045600 | METHOD FOR FORMING FIN-SHAPED SEMICONDUCTOR STRUCTURE - A method for fabricating a fin-shaped semiconductor structure is provided, including: providing a semiconductor substrate with a semiconductor island and a dielectric layer formed thereover; forming a mask layer over the semiconductor island and the dielectric layer; forming an opening in the mask layer, exposing a top surface of the semiconductor island and portions of the dielectric layer adjacent to the semiconductor island; performing an etching process, simultaneously etching portions of the mask layer, and portions of the semiconductor island and the dielectric layer exposed by the opening; and removing the mask layer and the dielectric layer, leaving an etched semiconductor island with curved top surfaces and various thicknesses over the semiconductor substrate. | 02-21-2013 |
20130045601 | COMPOSITION FOR FORMING A SILICON-CONTAINING RESIST UNDERLAYER FILM AND PATTERNING PROCESS USING THE SAME - A composition for forming a silicon-containing resist underlayer film that contains: a component (A) including at least one or more compounds selected from the group consisting of a polymer having repeating units shown by the following general formulae (1-1a) and (1-1b) and being capable of generating a phenolic hydroxyl group, a hydrolysate of the polymer, and a hydrolysis-condensate of the polymer, and a component (B) which is a silicon-containing compound obtained by hydrolysis-condensation of a mixture containing, at least, one or more hydrolysable silicon compounds represented by the following general formula (2) and one or more hydrolysable silicon compounds represented by the following general formula (3). | 02-21-2013 |
20130052826 | High Aspect Ratio Grid for Phase Contrast X-ray Imaging and Method of Making the Same - Semiconductor substrates with high aspect ratio recesses formed therein are described. The high aspect ratio recesses have bottom surface profile characteristics that promote formation of initial growth sites of plated metal as compared to the side surfaces of the recesses. Processes for making and plating the recesses are also disclosed. The metal-plated high aspect ratio recesses can be used as X-ray gratings in Phase Contrast X-ray imaging apparatuses. | 02-28-2013 |
20130052827 | SELECTIVE SUPPRESSION OF DRY-ETCH RATE OF MATERIALS CONTAINING BOTH SILICON AND OXYGEN - A method of suppressing the etch rate for exposed silicon-and-oxygen-containing material on patterned heterogeneous structures is described and includes a two stage remote plasma etch. Examples of materials whose selectivity is increased using this technique include silicon nitride and silicon. The first stage of the remote plasma etch reacts plasma effluents with the patterned heterogeneous structures to form protective solid by-product on the silicon-and-oxygen-containing material. The plasma effluents of the first stage are formed from a remote plasma of a combination of precursors, including a nitrogen-containing precursor and a hydrogen-containing precursor. The second stage of the remote plasma etch also reacts plasma effluents with the patterned heterogeneous structures to selectively remove material which lacks the protective solid by-product. The plasma effluents of the second stage are formed from a remote plasma of a fluorine-containing precursor. | 02-28-2013 |
20130052828 | SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS - In a substrate processing apparatus ( | 02-28-2013 |
20130059440 | SELECTIVE SUPPRESSION OF DRY-ETCH RATE OF MATERIALS CONTAINING BOTH SILICON AND NITROGEN - A method of suppressing the etch rate for exposed silicon-and-nitrogen-containing material on patterned heterogeneous structures is described and includes a two stage remote plasma etch. The etch selectivity of silicon relative to silicon nitride and other silicon-and-nitrogen-containing material is increased using the method. The first stage of the remote plasma etch reacts plasma effluents with the patterned heterogeneous structures to form protective solid by-product on the silicon-and-nitrogen-containing material. The plasma effluents of the first stage are formed from a remote plasma of a combination of precursors, including nitrogen trifluoride and hydrogen (H | 03-07-2013 |
20130059441 | METHOD FOR FABRICATING A SEMICONDUCTOR STRUCTURE - A method for fabricating a semiconductor structure is disclosed. The method includes the steps of: providing a substrate; depositing a material layer on the substrate; forming at least one dielectric layer on the material layer; forming a patterned resist on the dielectric layer; performing a first trimming process on at least the patterned resist; and performing a second trimming process on at least the dielectric layer, wherein the second trimming process comprises trimming greater than 70% of a total trimming value. | 03-07-2013 |
20130065396 | APPARATUS INCLUDING GAS DISTRIBUTION MEMBER SUPPLYING PROCESS GAS AND RADIO FREQUENCY (RF) POWER FOR PLASMA PROCESSING - A plasma processing apparatus includes a gas distribution member which supplies a process gas and radio frequency (RF) power to a showerhead electrode. The gas distribution member can include multiple gas passages which supply the same process gas or different process gases at the same or different flow rates to one or more plenums at the backside of the showerhead electrode. The gas distribution member provides a desired process gas distribution to be achieved across a semiconductor substrate processed in a gap between the showerhead electrode and a bottom electrode on which the substrate is supported. | 03-14-2013 |
20130084703 | RESTRICTED STRESS REGIONS FORMED IN THE CONTACT LEVEL OF A SEMICONDUCTOR DEVICE - In sophisticated semiconductor devices, an efficient stress decoupling may be accomplished between neighboring transistor elements of a densely packed device region by providing a gap or a stress decoupling region between the corresponding transistors. For example, a gap may be formed in the stress-inducing material so as to reduce the mutual interaction of the stress-inducing material on the closely spaced transistor elements. In some illustrative aspects, the stress-inducing material may be provided as an island for each individual transistor element. | 04-04-2013 |
20130095662 | INTEGRATED CIRCUIT METHOD WITH TRIPLE PATTERNING - The present disclosure provides one embodiment of an integrated circuit (IC) design method. The method includes receiving an IC design layout having a plurality of IC features. The method includes identifying, from the IC design layout, simple features as a first layout wherein the first layout does not violate design rules; and complex features as a second layout wherein the second layout violates the design rules. The method further includes generating a third layout and a fourth layout from the second layout wherein the third layout includes the complex features and connecting features to meet the design rules and the fourth layout includes trimming features. | 04-18-2013 |
20130109183 | Multilayer Construction | 05-02-2013 |
20130115772 | Etching Method - The present invention relates to an etching method of capable of etching a silicon carbide substrate with a higher accuracy. A first etching step in which a silicon carbide substrate K is heated to a temperature equal to or higher than 200° C., SF6 gas is supplied into a processing chamber and plasma is generated from the SF6 gas, and a bias potential is applied to a platen, thereby isotropically etching the silicon carbide substrate K, and a second etching step in which the silicon carbide substrate K is heated to a temperature equal to or higher than 200° C., SF6 gas and O2 gas are supplied into the processing chamber and plasma is generated from the SF6 gas and the O2 gas, and a bias potential is applied to the platen on which the silicon carbide substrate K is placed, thereby etching the silicon carbide substrate K while forming a silicon oxide film as passivation film on the silicon carbide substrate K are alternately repeated. | 05-09-2013 |
20130122706 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - According to one embodiment, a method of manufacturing of a semiconductor device is provided. In the method, a front surface of a semiconductor substrate and a front surface of a support substrate are bonded to each other by an adhesive. A part of a circumferential part of the support substrate is subjected to water-repellent treatment to thereby form a water-repellent area on the part of the circumferential part in such a manner that the water-repellent area and an end face of the adhesive are in contact with each other. The semiconductor substrate is removed from a rear surface side by wet etching. | 05-16-2013 |
20130122707 | METHODS OF POLYMERS DEPOSITION FOR FORMING REDUCED CRITICAL DIMENSIONS - Methods of polymer deposition for forming reduced critical dimensions are described. In one embodiment, a substrate is provided into a chamber, the substrate having a patterned layer disposed on an underlying layer formed thereon. The patterned layer includes a plurality of openings, each opening having a sidewall, a bottom, and a critical dimension. A gas mixture is provided into the chamber, the gas mixture having an etching gas and a polymer control gas. The polymer control gas includes a polymerizing fluorocarbon C | 05-16-2013 |
20130122708 | Partial Die Process For Uniform Etch Loading Of Imprint Wafers - Methods, systems, and devices which result from, or facilitates, convenient processing of partial dies of a semiconductor chip in a lithography process are disclosed. Embodiments utilize an exposure through an imprint-style template which does not come in physical contact with the partial die. In one embodiment, a semiconductor process is disclosed which has at least one full die and at least one partial die. The semiconductor chip is fabricated, in part, by using an etching process which utilizes an imprint template configured to be exposed to the at least one full die when the imprint template is in contact with resist which has been dispensed onto the at least one full die. Further, at least one partial die of the semiconductor chip is configured to be exposed to the imprint template without the template contacting resist dispensed onto the at least one partial die. | 05-16-2013 |
20130137266 | MANUFACTURING TECHNIQUES TO LIMIT DAMAGE ON WORKPIECE WITH VARYING TOPOGRAPHIES - Some embodiments relate to a method for processing a workpiece. In the method, a first photoresist layer is provided over the workpiece, wherein the first photoresist layer has a first photoresist tone. The first photoresist layer is patterned to provide a first opening exposing a first portion of the workpiece. A second photoresist layer is then provided over the patterned first photoresist layer, wherein the second photoresist layer has a second photoresist tone opposite the first photoresist tone. The second photoresist layer is then patterned to provide a second opening that at least partially overlaps the first opening to define a coincidentally exposed workpiece region. A treatment is then performed on the coincidentally exposed workpiece region. Other embodiments are also disclosed. | 05-30-2013 |
20130137267 | Methods for Atomic Layer Etching - Provided are methods of etching a substrate using atomic layer deposition apparatus. Atomic layer deposition apparatus including a gas distribution plate with a thermal element are discussed. The thermal element is capable of locally changing the temperature of a portion of the surface of the substrate to vaporize an etch layer deposited on the substrate. | 05-30-2013 |
20130137268 | METHOD FOR PATTERN FORMATION - According to one embodiment, a method for pattern formation comprises forming a first pattern on a first region of a processed film, forming a reverse material film, having a photosensitive compound, on the processed film so that the reverse material film covers the first pattern, exposing and developing the reverse material film and processing the reverse material film into a second pattern in a second region different from the first region on the processed film, applying etch-back, after exposing and developing the reverse material film, to the reverse material film to expose an upper surface of the first pattern and processing the reverse material film into a third pattern in the first region, and etching the processed film using the second pattern and the third pattern as masks. | 05-30-2013 |
20130143406 | TECHNIQUES PROVIDING PHOTORESIST REMOVAL - A method for manufacturing a semiconductor device includes forming a patterned photoresist layer over a substrate, performing a plasma ashing process to the patterned photoresist layer, thereby removing a portion of the patterned photoresist layer, exposing the patterned photoresist layer to broadband ultraviolet radiation and ozone, thereby removing other portions of the patterned photoresist layer, and performing a cleaning of the patterned photoresist layer after exposing the patterned photoresist layer to broadband ultraviolet radiation and ozone. | 06-06-2013 |
20130143407 | METHOD FOR PRODUCING A THIN SINGLE CRYSTAL SILICON HAVING LARGE SURFACE AREA - The present invention relates to a method for producing a thin single crystal silicon having large surface area, and particularly relates to a method for producing a silicon micro and nanostructure on a silicon substrate (or wafer) and lifting off the silicon micro and nanostructure from the silicon substrate (or wafer) by metal-assisted etching. In this method, a thin single crystal silicon is produced in the simple processes of lifting off and transferring the silicon micro and nanostructure from the substrate by steps of depositing metal catalyst on the silicon wafer, vertically etching the substrate, laterally etching the substrate. And then, the surface of the substrate is processed, for example planarizing the surface of the substrate, to recycle the substrate for repeatedly producing thin single crystal silicons. Therefore, the substrate can be fully utilized, the purpose of decreasing the cost can be achieved and the application can be increased. | 06-06-2013 |
20130143408 | ETCH RESISTANT ALUMINA BASED COATINGS - Method of forming a protective hard mask layer on a substrate in a semiconductor etch process, comprising the step of applying by solution deposition on the substrate a solution or colloidal dispersion of an alumina polymer, said solution or dispersion being obtained by hydrolysis and condensation of monomers of at least one aluminium oxide precursor in a solvent or a solvent mixture in the presence of water and a catalyst. The invention can be used for making a hard mask in a TSV process to form a high aspect ratio via a structure on a semiconductor substrate. | 06-06-2013 |
20130149866 | BAFFLE PLATE FOR SEMICONDUCTOR PROCESSING APPARATUS - A baffle plate for redirecting a reactive gas flow within a process chamber of a semiconductor plasma processing apparatus includes a topside surface having a plurality of topside apertures for receiving the reactive gas flow and a bottomside surface having a plurality of bottomside apertures for emitting the reactive gas flow toward a semiconductor substrate. An outer portion of the baffle plate includes both topside apertures and bottomside apertures, while within an inner portion of the baffle plate for at least one of the topside surface and bottomside surface is a solid region throughout exclusive of any apertures. The inner portion has an outer dimension that is at least ten (10) percent of an outer dimension of the outer portion. | 06-13-2013 |
20130157465 | METHODS FOR STRIPPING PHOTORESIST AND/OR CLEANING METAL REGIONS - Methods are provided for cleaning metal regions overlying semiconductor substrates. A method for removing material from a metal region comprises heating the metal region, forming a plasma from a gas comprising hydrogen and carbon dioxide, and exposing the metal region to the plasma. | 06-20-2013 |
20130157466 | SILICON NITRIDE FILMS FOR SEMICONDUCTOR DEVICE APPLICATIONS - The embodiments herein relate to plasma-enhanced chemical vapor deposition methods and apparatus for depositing silicon nitride on a substrate. The disclosed methods provide silicon nitride films having wet etch rates (e.g., in dilute hydrofluoric acid or hot phosphoric acid) suitable for certain applications such as vertical memory devices. Further, the methods provide silicon nitride films having defined levels of internal stress suitable for the applications in question. These silicon nitride film characteristics can be set or tuned by controlling, for example, the composition and flow rates of the precursors, as well as the RF power supplied to the plasma and the pressure in the reactor. In certain embodiments, a boron-containing precursor is added. | 06-20-2013 |
20130164938 | Selective Bias Compensation for Patterning Steps in CMOS Processes - A method includes forming a photo resist pattern, and performing a light-exposure on a first portion of the photo resist pattern, wherein a second portion of the photo resist pattern is not exposed to light. A photo-acid reactive material is coated on the first portion and the second portion of the photo resist pattern. The photo-acid reactive material reacts with the photo resist pattern to form a film. Portions of the photo-acid reactive material that do not react with the photo resist pattern are then removed, and the film is left on the photo resist pattern. | 06-27-2013 |
20130164939 | METHOD, APPARATUS FOR HOLDING AND TREATMENT OF A SUBSTRATE - Some embodiments discussed relates to an apparatus for holding a substrate, comprising a body with a surface for a semiconductor wafer to rest on, with the surface having a first surface area on which a first area of the semiconductor wafer can rest, and a second surface area on which a second area of the semiconductor wafer can rest, wherein the second surface area protrudes with respect to the first surface area. | 06-27-2013 |
20130171825 | PHOTORESIST PATTERN TRIMMING METHODS - Provided are methods of trimming photoresist patterns. The methods involve coating a photoresist trimming composition over a photoresist pattern, wherein the trimming composition includes a matrix polymer, a thermal acid generator and a solvent, the trimming composition being free of cross-linking agents. The coated semiconductor substrate is heated to generate an acid in the trimming composition from the thermal acid generator, thereby causing a change in polarity of the matrix polymer in a surface region of the photoresist pattern. The photoresist pattern is contacted with a developing solution to remove the surface region of the photoresist pattern. The methods find particular applicability in the formation of very fine lithographic features in the manufacture of semiconductor devices. | 07-04-2013 |
20130171826 | SEMICONDUCTOR DEVICE PRODUCTION METHOD AND RINSE - The present invention provides a semiconductor device production method and a rinse used in the production method. The method includes: a sealing composition application process in which a semiconductor sealing layer is formed by applying, to at least a portion of a surface of a semiconductor substrate, a semiconductor sealing composition that includes a resin having a cationic functional group and a weight average molecular weight of from 2,000 to 600,000, wherein a content of sodium and a content of potassium are 10 mass ppb or less on an elemental basis, respectively; and, subsequently, a rinsing process in which the surface of the semiconductor substrate on which the semiconductor sealing layer has been formed is rinsed with a rinse having a pH at 25° C. of 6 or lower. | 07-04-2013 |
20130171827 | METHOD AND APPARATUS FOR MANUFACTURING THREE-DIMENSIONAL-STRUCTURE MEMORY DEVICE - A method for manufacturing a memory device having a vertical structure according to one embodiment of the present invention comprises: a step for alternatingly laminating one or more insulation layers and one or more sacrificial layers on a substrate; a step for forming a penetration hole for penetrating the insulation layer and the sacrificial layer; a step for forming a pattern for filling up the penetration hole; a step for forming an opening for penetrating the insulation layer and the sacrificial layer; and a step for removing the sacrificial layer by supplying an etchant through the opening, wherein the step for laminating the insulation layer includes a step for depositing a first silicon oxide film by supplying to the substrate at least one gas selected from the group consisting of SiH | 07-04-2013 |
20130178066 | METHOD AND APPARATUS FOR MANUFACTURING THREE-DIMENSIONAL-STRUCTURE MEMORY DEVICE - Provided is a method of manufacturing a memory device having a 3-dimensional structure, which includes alternately stacking one or more dielectric layers and one or more sacrificial layers on a substrate, forming a through hole passing through the dielectric layers and the sacrificial layers, forming a pattern filling the through hole, forming an opening passing through the dielectric layers and the sacrificial layers, and supplying an etchant through the opening to remove the sacrificial layers. The stacking of the dielectric layers includes supplying the substrate with one or more gases selected from the group consisting of SiH | 07-11-2013 |
20130183827 | Methods Of Patterning Substrates - A method of patterning a substrate includes forming spaced first features over a substrate. Individual of the spaced first features include sidewall portions of different composition than material that is laterally between the sidewall portions. A mixture of immiscible materials is provided between the spaced first features. At least two of the immiscible materials are laterally separated along at least one elevation between adjacent spaced first features. The laterally separating forms a laterally intermediate region including one of the immiscible materials between two laterally outer regions including another of the immiscible materials along the one elevation. The laterally outer regions are removed and material of the spaced first features is removed between the sidewall portions to form spaced second features over the substrate. Other embodiments are disclosed. | 07-18-2013 |
20130183828 | PATTERN FORMATION METHOD AND GUIDE PATTERN MATERIAL - According to one embodiment, a pattern formation method includes forming a pattern on a layer. The layer has a first surface energy and includes a silicon compound. The pattern has a second surface energy different from the first surface energy. The method includes forming a block polymer on the layer and the pattern. The method includes forming a structure selected from a lamellar structure and a cylindrical structure of the block polymer containing polymers arranged by microphase separation. The lamellar structure is oriented perpendicularly to the layer surface. The cylindrical structure is oriented so as to have an axis parallel to a normal line of the layer surface. The second surface energy is not less than a maximum value of surface energies of the polymers or not more than a minimum value of the surface energies of the polymers. | 07-18-2013 |
20130189844 | Method to increase the pattern density of integrated circuits using near-field EUV patterning technique - A novel near-field EUV patterning technique and the corresponding imaging film stacks are invented for integrated-circuit manufacturing. This invention pertains to methods of forming one and/or two dimensional features on an EUV near-field imaging material with patterned light absorbers sitting on its top. These methods can be used to produce integrated circuits with a feature density higher than what is possible using conventional EUV or optical DUV lithography. | 07-25-2013 |
20130203255 | WAFERLESS AUTO CONDITIONING - A method for reducing contamination in an etch chamber is provided. A substrate with a metal containing layer is placed in the etch chamber. The metal containing layer is etched, producing nonvolatile metal residue deposits on surfaces of the etch chamber, wherein some of the metal residue of the metal residue deposits is in a first state. The substrate is removed from the etch chamber. The chamber is conditioned by converting metal residue in the first state to metal residue in a second state, where metal residue in the second state has stronger adhesion to surfaces of the etch chamber than metal residue in the first state. | 08-08-2013 |
20130203256 | CONTROLLED GAS MIXING FOR SMOOTH SIDEWALL RAPID ALTERNATING ETCH PROCESS - A method for etching features in a silicon layer disposed below a mask in a plasma processing chamber a plurality of cycles is provided. A deposition phase forming a deposition on the silicon layer in the plasma processing chamber is provided comprising providing a deposition gas into the plasma processing chamber wherein the deposition gas comprises a halogen containing etchant component and a fluorocarbon deposition component, forming the deposition gas into a plasma, which provides a net deposition on the silicon layer, and stopping the flow of the deposition gas. A silicon etch phase is provided, comprising providing a silicon etch gas into the plasma processing chamber that is different than the deposition gas, forming the silicon etch gas into a plasma to etch the silicon layer, and stopping the flow of the silicon etch gas. | 08-08-2013 |
20130210229 | SILICON-CONTAINING SURFACE MODIFIER, RESIST LOWER LAYER FILM-FORMING COMPOSITION CONTAINING THE SAME, AND PATTERNING PROCESS - The present invention provides a silicon-containing surface modifier containing one or more repeating units each represented by the following general formula (A), or one or more partial structures each represented by the following general formula (C): | 08-15-2013 |
20130210230 | METHOD FOR PROVIDING ELECTRICAL CONNECTIONS TO SPACED CONDUCTIVE LINES - An integrated circuit and a method of formation provide a contact area formed at an angled end of at least one linearly extending conductive line. In an embodiment, conductive lines with contact landing pads are formed by patterning lines in a mask material, cutting at least one of the material lines to form an angle relative to the extending direction of the material lines, forming extensions from the angled end faces of the mask material, and patterning an underlying conductor by etching using said material lines and extension as a mask. In another embodiment, at least one conductive line is cut at an angle relative to the extending direction of the conductive line to produce an angled end face, and an electrical contact landing pad is formed in contact with the angled end face. | 08-15-2013 |
20130210231 | METHOD OF FORMING CONTACT HOLE PATTERN - A method of forming a contact hole pattern, including: a block copolymer layer forming step in which a layer containing a block copolymer having a plurality of blocks bonded is formed on a substrate having on a surface thereof a thin film with a hole pattern formed, so as to cover the thin film; a phase separation step in which the layer containing the block copolymer is subjected to phase separation; a selective removing step in which phase of at least one block of the plurality of blocks constituting the block copolymer is removed, wherein hole diameter of the hole pattern formed on the thin film is 0.8 to 3.1 times period of the block copolymer, and in the layer forming step, thickness between upper face of the thin film and surface of the layer containing the block copolymer is 70% or less of thickness of the thin film. | 08-15-2013 |
20130217232 | Method of Fabricating Semiconductor Cleaners - A method of manufacturing cleaning solvents is provided. The method includes selecting a small plurality of test solvents from a large plurality of perspective solvents. The equilibrium composition of a multi-component solution is preferably described by the Hansen solubility model. A small plurality of test solvents is applied to solute samples and the degree of dissolution or swelling recorded. Based on the degree of dissolution or swelling, at least one solvent is selected from the large plurality of perspective solvents based on the Hansen parameters. | 08-22-2013 |
20130230987 | FLOWABLE OXIDE FILM WITH TUNABLE WET ETCH RATE - Provided herein are integration-compatible dielectric films and methods of depositing and modifying them. According to various embodiments, the methods can include deposition of flowable dielectric films targeting specific film properties and/or modification of those properties with an integration-compatible treatment process. In certain embodiments, methods of depositing and modifying flowable dielectric films having tunable wet etch rates and other properties are provided. Wet etch rates can be tuned during integration through am integration-compatible treatment process. Examples of treatment processes include plasma exposure and ultraviolet radiation exposure. | 09-05-2013 |
20130244434 | METHOD OF FABRICATING A SEMICONDUCTOR DEVICE - The present disclosure provides a method of fabricating a semiconductor device. The method includes forming a plurality of circuit devices over a substrate. The method includes forming an organic layer over the substrate. The organic layer is formed over the plurality of circuit devices. The method includes polishing the organic layer to planarize a surface of the organic layer. The organic layer is free of being thermally treated prior to the polishing. The organic material is un-cross-linked during the polishing. The method includes depositing a LT-film over the planarized surface of the organic layer. The depositing is performed at a temperature less than about 150 degrees Celsius. The depositing is also performed without using a spin coating process. The method includes forming a patterned photoresist layer over the LT-film. | 09-19-2013 |
20130244435 | SEMICONDUCTOR DEVICE HAVING AN N-CHANNEL MOS TRANSISTOR, A P-CHANNEL MOS TRANSISTOR AND A CONTRACTING FILM - In a second direction, in a plan view, an n-channel MOS transistor and an expanding film are adjacent. Therefore, the n-channel MOS transistor receives a positive stress in the direction in which a channel length is extended from the expanding film. As a result, a positive tensile strain in an electron moving direction is generated in a channel of the n-channel MOS transistor. On the other hand, in the second direction, in a plan view, a p-channel MOS transistor and the expanding film are shifted from each other. Therefore, the p-channel MOS transistor receives a positive stress in the direction in which a channel length is narrowed from the expanding film. As a result, a positive compressive strain in a hole moving direction is generated in a channel of the p-channel MOS transistor. Thus, both on-currents of the n-channel MOS transistor and the p-channel MOS transistor can be improved. | 09-19-2013 |
20130252427 | METHOD FOR CLEANING TEXTURED SILICON WAFERS - Substrates for solar cells are prepared by the reverse of the standard RCA clean. The substrates are first cleaned in RCA-2 solution and then in RCA-1 solution. A pyramids rounding step using HF/HNO | 09-26-2013 |
20130252428 | Photo-etching and Exposing System - A method of photo-etching is proposed. The method includes steps as follows. Expose a photoresist layer with a stack of at least two masks. Each mask defines a corresponding pattern, and a new pattern is formed when the at least two masks are stacked. Process the exposed photoresist layer to derive a hollow-out structure that complements the new pattern. A mask system is also proposed. The adoption of the abovementioned method can lower the possibility of manufacturing new masks and reduce production costs. | 09-26-2013 |
20130260559 | METHODS FOR FORMING FINE PATTERNS OF A SEMICONDUCTOR DEVICE - Methods of forming fine patterns are provided. The methods may include forming first hard mask patterns extending in a first direction on a lower layer, forming second hard mask patterns filling gap regions between the first hard mask patterns, forming first mask patterns extending in a second direction perpendicular to the first direction on the first and second hard mask patterns, etching the first hard mask patterns using the first mask patterns as etch masks to form first openings, forming second mask patterns filling the first openings and extending in the second direction, and etching the second hard mask patterns using the second mask patterns as etch masks to form second openings spaced apart from the first openings in a diagonal direction with respect to the first direction. | 10-03-2013 |
20130260560 | Patterning Processes Comprising Amplified Patterns - The present invention is directed to substrates comprising amplified patterns, methods for making the amplified patterns, and methods of using the amplified patterns to form surface features on the substrates. | 10-03-2013 |
20130267094 | PLASMA ETCHING METHOD AND PLASMA PROCESSING APPARATUS - A plasma etching method for plasma etching, in a processing chamber, an antireflection film laminated on an organic film formed on a substrate by using an etching mask made of a resist film formed on the antireflection film, the plasma etching method includes: depositing a Si-containing compound on the etching mask made of the resist film by using plasma of Si-containing gas in the processing chamber; and etching the antireflection film in a state where the Si-containing compound is deposited on the etching mask. | 10-10-2013 |
20130273740 | FILM PORTION AT WAFER EDGE - A film layer on a substrate of the wafer is patterned to form a first plurality of areas of the film layer and a second plurality of areas of the film layer. The first plurality of areas of the film layer is removed. The second plurality of areas of the film layer is kept on the substrate. A first portion of the film layer is kept on the substrate. A first edge of the first portion of the film layer is substantially near an edge of the wafer. The first portion of the film layer defines a boundary for the wafer. | 10-17-2013 |
20130273741 | GAP EMBEDDING COMPOSITION, METHOD OF EMBEDDING GAP AND METHOD OF PRODUCING SEMICONDUCTOR DEVICE BY USING THE COMPOSITION - A gap embedding composition used for embedding a patterned gap formed between photosensitive resin film portions on a semiconductor substrate surface, the gap embedding composition, at least having: a hydrolysis condensate of an aryloxysilane raw material; and an aromatic compound, as a solvent. | 10-17-2013 |
20130280911 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MANUFACTURING APPARATUS - In one embodiment, a method of manufacturing a semiconductor device includes forming a concave portion on a surface of a substrate to be processed. The method further includes forming a coating film on the substrate to embed the coating film in the concave portion. The method further includes performing a first heat treatment in an atmosphere including an oxidant which contains polar molecules. The method further includes performing a second heat treatment after the first heat treatment by irradiating the coating film with a microwave after or while exposing the coating film to a liquid or a gas containing polar molecules. | 10-24-2013 |
20130280912 | SILICON COMPOUND, SILICON-CONTAINING COMPOUND, COMPOSITION FOR FORMING RESIST UNDERLAYER FILM CONTAINING THE SAME AND PATTERNING PROCESS - The invention provides a silicon compound represented by the following general formula (A-1) or (A-2), | 10-24-2013 |
20130280913 | COMPOSITION FOR FORMING A RESIST UNDERLAYER FILM INCLUDING HYDROXYL GROUP-CONTAINING CARBAZOLE NOVOLAC RESIN - There is provided a composition for forming a resist underlayer film having heat resistance for use in a lithography process in semiconductor device production. A composition for forming a resist underlayer film, comprising a polymer that contains a unit structure of formula (1) and a unit structure of formula (2) in a proportion of 3 to 97:97 to 3 in molar ratio: | 10-24-2013 |
20130288480 | METHOD OF EPITAXIAL GERMANIUM TIN ALLOY SURFACE PREPARATION - Methods of preparing a clean surface of germanium tin or silicon germanium tin layers for subsequent deposition are provided. An overlayer of Ge, doped Ge, another GeSn or SiGeSn layer, a doped GeSn or SiGeSn layer, an insulator, or a metal can be deposited on a prepared GeSn or SiGeSn layer by positioning a substrate with an exposed germanium tin or silicon germanium tin layer in a processing chamber, heating the processing chamber and flowing a halide gas into the processing chamber to etch the surface of the substrate using either thermal or plasma assisted etching followed by depositing an overlayer on the substantially oxide free and contaminant free surface. Methods can also include the placement and etching of a sacrificial layer, a thermal clean using rapid thermal annealing, or a process in a plasma of nitrogen trifluoride and ammonia gas. | 10-31-2013 |
20130288481 | Device and Method for Stopping Etching Process - A method for etching a layer assembly, the layer assembly including an intermediate layer sandwiched between an etch layer and a stop layer, the method including a step of etching the etch layer using a first etchant and a step of etching the intermediate layer using a second etchant. The first etchant includes a first etch selectivity of at least 5:1 with respect to the etch layer and the intermediate layer. The second etchant includes a second etch selectivity of at least 5:1 with respect to the intermediate layer and the stop layer. The first etchant being is different from the second etchant. | 10-31-2013 |
20130295772 | METHOD OF FORMING PATTERNS - A method of forming patterns includes forming a photoresist film on a substrate. The photoresist film is exposed with a first dose of light to form a first area and a second area in the photoresist film. A first hole and a second hole are formed by removing the first area and the second area with a first developer. The photoresist film is re-exposed with a second dose of the light to form a third area in the photoresist film between the first hole and the second hole. A third hole is formed between the first hole and the second hole by removing the third area with a second developer. | 11-07-2013 |
20130302985 | METHOD OF REMOVING RESIDUE DURING SEMICONDUCTOR DEVICE FABRICATION - A method is described including forming a first photoresist feature and a second photoresist feature on a semiconductor substrate. A chemical material coating is formed on the semiconductor substrate. The chemical material coating interposes the first and second photoresist features. The semiconductor substrate is then rinsed; the rinsing removes the chemical material coating from the semiconductor substrate. The chemical material may mix with a residue disposed on the substrate between the first and second photoresist features. Removing the chemical material coating from the substrate may also remove the residue. | 11-14-2013 |
20130302986 | METHOD FOR DEFINING A SEPARATING STRUCTURE WITHIN A SEMICONDUCTOR DEVICE - A method includes depositing a material layer over a semiconductor substrate and using a first mask in a first exposure/patterning process to pattern the material layer thereby forming a plurality of first and second features. The first features include patterns for the semiconductor device and the second features include printing assist features. The method includes using a second mask in a second exposure/patterning process to effectively remove the second features from the material layer and to define at least one separating structure between two first features. | 11-14-2013 |
20130302987 | MASK MATERIAL CONVERSION - The dimensions of mask patterns, such as pitch-multiplied spacers, are controlled by controlled growth of features in the patterns after they are formed. A pattern of mandrels is formed overlying a semiconductor substrate. Spacers are then formed on sidewalls of the mandrels by depositing a blanket layer of material over the mandrels and preferentially removing spacer material from horizontal surfaces. The mandrels are selectively removed, leaving behind a pattern of freestanding spacers. The spacers comprise a material, such as polysilicon and amorphous silicon, known to increase in size upon being oxidized. The spacers are oxidized and grown to a desired width. The spacers can then be used as a mask to pattern underlying layers and the substrate. Advantageously, because the spacers are grown by oxidation, thinner blanket layers can be deposited over the mandrels, allowing the deposition of more conformal blanket layers and widening the process window for spacer formation. | 11-14-2013 |
20130302988 | ETCHING METHOD USING AN AT LEAST SEMI-SOLID MEDIA - An etching method that uses an etch reactant retained within at least a semi-solid media ( | 11-14-2013 |
20130309868 | METHODS FOR FORMING AN INTEGRATED CIRCUIT WITH STRAIGHTENED RECESS PROFILE - Methods are provided for forming an integrated circuit. In an embodiment, the method includes forming a sacrificial mandrel overlying a base substrate. Sidewall spacers are formed adjacent sidewalls of the sacrificial mandrel. The sidewall spacers have a lower portion that is proximal to the base substrate, and the lower portion has a substantially perpendicular outer surface relative to the base substrate. The sidewall spacers also have an upper portion that is spaced from the base substrate. The upper portion has a sloped outer surface. A first dielectric layer is formed overlying the base substrate and is conformal to at least a portion of the upper portion of the sidewall spacers. The upper portion of the sidewall spacers is removed after forming the first dielectric layer to form a recess having a re-entrant profile in the first dielectric layer. The re-entrant profile of the recess is straightened. | 11-21-2013 |
20130309869 | LITHOGRAPHY MASK AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A lithography mask is disclosed. The lithography mask is for use with an exposure apparatus which forms an unpatterned first region and a patterned second region that includes groups of desired patterns in a photosensitive layer. The lithography mask includes a transparent substrate; and a patterned light blocking layer that is formed above the transparent substrate and that is configured to block or partially transmit incident light. The patterned light blocking layer includes a first mask pattern that exposes the first region. The first mask pattern includes a periodic pattern having a sub-resolution pitch that is given by an exposure condition of the exposure apparatus. | 11-21-2013 |
20130323928 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND MASK - An improvement is achieved in the performance of a semiconductor device. A method of manufacturing the semiconductor device includes an exposure step of subjecting a resist film formed over a substrate to pattern exposure using EUV light reflected by the top surface of an EUV mask which is a reflection-type mask. In the exposure step, the EUV mask is held with the cleaned back surface thereof being in contact with a mask stage. In the EUV mask, the water repellency of the side surface thereof is higher than the water repellency of the top surface thereof. After the exposure step, the resist film subjected to the pattern exposure is developed to form a resist pattern. | 12-05-2013 |
20130330928 | FILM FORMING DEVICE, SUBSTRATE PROCESSING SYSTEM AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A substrate processing system of forming a resist pattern having a molecular resist of a low molecular compound on a substrate includes a film forming device configured to form a resist film on the substrate; an exposure device configured to expose the formed resist film; and a developing device configured to develop the exposed resist film. The film forming device includes a processing chamber configured to accommodate therein the substrate; a holding table that is provided in the processing chamber and configured to hold the substrate thereon; a resist film deposition head configured to supply a vapor of the molecular resist to the substrate held on the holding table; and a depressurizing device configured to depressurize an inside of the processing chamber to a vacuum atmosphere. | 12-12-2013 |
20130337649 | COMPOUND FOR FORMING ORGANIC FILM, AND ORGANIC FILM COMPOSITION USING THE SAME, PROCESS FOR FORMING ORGANIC FILM, AND PATTERNING PROCESS - The invention provides a compound for forming an organic film having a partial structure represented by the following formula (i) or (ii), | 12-19-2013 |
20130344697 | METHOD OF FABRICATING NMOS DEVICES - A method of fabricating n-channel metal-oxide-semiconductor (NMOS) devices is disclosed, the method including: providing a substrate having a plurality of NMOS structures formed thereon; depositing a silicon nitride layer having a high tensile stress over the substrate; and sequentially exposing and dry etching a plurality of portions of the silicon nitride layer in an order of channel lengths of the plurality of NMOS structures such that each portion of the etched silicon nitride layer has a thickness proportional to the channel length of its corresponding NMOS structure. Compared to a conventional method, the above fabrication method of NMOS devices can achieve uniform performance adjustment of NMOS devices after a silicon nitride layer with a high tensile stress is deposited. | 12-26-2013 |
20130344698 | PATTERN FORMATION METHOD - According to one embodiment, a mask layer is formed on a film to be processed. A resist film containing a desired pattern is formed on the mask layer. Etching is performed on the above mentioned mask layer with an etching gas that does not contain fluorine. The method also includes removing the resist film. After the resist film is removed, using the mask layer as a mask, an etching is performed on the to be processed film using a fluorocarbon gas. | 12-26-2013 |
20140004704 | TFT Mask Reduction | 01-02-2014 |
20140017894 | Methods of Manufacturing Semiconductor Devices - Methods of manufacturing semiconductor devices are disclosed. In one embodiment, a material layer is formed over a workpiece. The workpiece includes a first portion, a second portion, and a hard mask disposed between the first portion and the second portion. The material layer is patterned, and first spacers are formed on sidewalls of the patterned material layer. The patterned material layer is removed, and the second portion of the workpiece is patterned using the first spacers as an etch mask. The first spacers are removed, and second spacers are formed on sidewalls of the patterned second portion of the workpiece. The patterned second portion of the workpiece is removed, and the hard mask of the workpiece is patterned using the second spacers as an etch mask. The first portion of the workpiece is patterned using the hard mask as an etch mask. | 01-16-2014 |
20140017895 | METHOD TO REDUCE DIELECTRIC CONSTANT OF A POROUS LOW-K FILM - Embodiments of the present invention generally relate to methods for lowering the dielectric constant of low-k dielectric films used in semiconductor fabrication. In one embodiment, a method for lowering the dielectric constant (k) of a low-k silicon-containing dielectric film, comprising exposing a porous low-k silicon-containing dielectric film to a hydrofluoric acid solution and subsequently exposing the low-k silicon-containing dielectric film to a silylation agent. The silylation agent reacts with Si—OH functional groups in the porous low-k dielectric film to increase the concentration of carbon in the low-k dielectric film. | 01-16-2014 |
20140017896 | COMPOSITION FOR FORMING PATTERN REVERSAL FILM AND METHOD FOR FORMING REVERSAL PATTERN - There is provided a silicon-containing composition for forming a pattern reversal film that can be reworked by an organic solvent that is normally used for the removal of resist patterns. A composition for forming a pattern reversal film, characterized by comprising: polysiloxane; an additive; and an organic solvent, wherein the polysiloxane has a structural unit of Formula (1) and a structural unit of Formula (2): | 01-16-2014 |
20140024217 | METHOD FOR FORMING RESIST PATTERNS AND METHOD FOR PRODUCING PATTEREND SUBSTRATES EMPLOYING THE RESIST PATTERNS - Residual film etching steps for etching a resist film, onto which a pattern of protrusions and recesses has been formed, include: a first etching step employing a first etching gas including a sedimentary gas that generates sediment during etching, to etch the resist film such that the sediment is deposited on the sidewalls of protrusions of a resist pattern while residual film is etched. In the steps following the first etching step, the resist film is etched such that the widths of the protrusions including the deposited sediment become a desired width greater than or equal to the widths of the protrusions prior to residual film etching. Thereby, it becomes possible for the widths of protrusions of resist patterns following residual film etching to become desired widths greater than or equal to the widths of the protrusions of the resist patterns prior to residual film etching when forming resist patterns. | 01-23-2014 |
20140038415 | POLYMER-CONTAINING DEVELOPER - Disclosed is a developer, one that does not cause pattern collapse during the formation process, for the formation of a fine pattern and a method for pattern formation using the developer. A developer used in a lithography process includes a polymer for forming a dry-etching mask and an organic solvent. The polymer is preferably a curable resin different from a curable resin forming a resist film. The developer is preferably used after exposure of the resist film. The organic solvent in the developer is preferably butyl acetate or a mixed solvent of butyl acetate and an alcohol, or 2-pentanone or a mixed solvent of 2-pentanone and an alcohol. Also disclosed is a method for producing a semiconductor device. | 02-06-2014 |
20140045334 | Methods of Providing Photolithography Patterns Using Feature Parameters, Systems and Computer Program Products Implementing the Same - A method of providing a photolithography pattern can be provided by identifying at least one weak feature from among a plurality of features included in a photolithography pattern based on a feature parameter that is compared to a predetermined identification threshold value for the feature parameter. A first region of the weak feature can be classified as a first dosage region and a second region of the weak feature can be classified as a second dosage region. Related methods and apparatus are also disclosed. | 02-13-2014 |
20140065827 | GAS DISTRIBUTION SHOWERHEAD FOR INDUCTIVELY COUPLED PLASMA ETCH REACTOR - A two piece ceramic showerhead includes upper and lower plates which deliver process gas to an inductively coupled plasma processing chamber. The upper plate overlies the lower plate and includes radially extending gas passages which extend inwardly from an outer periphery of the upper plate, axially extending gas passages in fluid communication with the radially extending gas passages and an annular recess forming a plenum between the upper and lower plates. The lower plate includes axially extending gas holes in fluid communication with the plenum. The two piece ceramic showerhead forms a dielectric window of the chamber through which radiofrequency energy generated by an antenna is coupled into the chamber. The gas delivery system is operable to supply an etching gas and a deposition gas into the processing chamber such that the etching gas in the plenum can be replaced with the deposition gas. | 03-06-2014 |
20140080305 | DOUBLE PATTERNING PROCESS - A double patterning process is described. A substrate having a first area and a second area is provided. A target layer is formed over the substrate. A patterned first photoresist layer is formed over the target layer, wherein the patterned first photoresist layer has openings and has a first thickness in the first area, and at least a portion of the patterned first photoresist layer in the second area has a second thickness less than the first thickness. A second photoresist layer is then formed covering the patterned first photoresist layer and filling in the openings. | 03-20-2014 |
20140094034 | PATTERN FORMING METHOD - A pattern forming method includes forming a pattern forming material film on a substrate as an etching target film, the pattern forming material film having an exposing section that has porosity upon exposure and a non-exposing section, patterning and exposing the pattern forming material film for the exposing section to have the porosity, selectively infiltrating a filling material into voids of the exposing section to reinforce the exposing section, and removing the non-exposing section of the pattern forming material film by dry etching to form a predetermined pattern. | 04-03-2014 |
20140099791 | COMPOSITION FOR FORMING RESIST UNDERLAYER FILM FOR EUV LITHOGRAPHY - A method for producing a semiconductor device includes the steps of: applying a composition for forming a resist underlayer film for EUV lithography including a novolac resin containing a halogen atom onto a substrate having a film to be fabricated for forming a transferring pattern and baking the composition so as to form a resist underlayer film for EUV lithography; and applying a resist for EUV lithography onto the resist underlayer film for EUV lithography, irradiating, with EUV through a mask, the resist underlayer film for EUV lithography and a film of the resist for EUV lithography on the resist underlayer film, developing the film of the resist for EUV lithography, and transferring an image formed in the mask onto the substrate by dry etching so as to form an integrated circuit device. | 04-10-2014 |
20140106565 | Methods For Atomic Layer Etching - Provided are methods of etching a substrate using atomic layer deposition apparatus. Atomic layer deposition apparatus including a gas distribution plate with a thermal element are discussed. The thermal element is capable of locally changing the temperature of a portion of the surface of the substrate to vaporize an etch layer deposited on the substrate. | 04-17-2014 |
20140106566 | Method For Etching an Ultra Thin Film - A method for etching an ultra thin film is provided which includes providing a substrate having the ultra thin film formed thereon, patterning a photosensitive layer formed over the ultra thin film, etching the ultra thin film using the patterned photosensitive layer, and removing the patterned photosensitive layer. The etching process includes utilizing an etch material with a diffusion resistant carrier such that the etch material is prevented from diffusing to a region underneath the photosensitive layer and removing portions of the ultra thin film underneath the photosensitive layer. | 04-17-2014 |
20140113450 | PLASMA ETCHING METHOD - A plasma etching method includes supplying an etching gas containing an oxygen gas and a sulfur fluoride gas at a predetermined flow rate into a processing chamber that accommodates a processing substrate including a silicon layer and a resist layer, and etching the silicon layer with plasma generated from the etching gas using the resist layer as a mask. The plasma etching method further includes a first step of etching the silicon layer while a flow ratio of the oxygen gas to the sulfur fluoride gas is adjusted to a first flow ratio; a second step of etching the silicon layer while decreasing a flow rate of the oxygen gas to decrease the flow ratio to a second flow ratio, which is lower than the first flow ratio; and a third step of etching the silicon layer while the flow ratio is adjusted to the second flow ratio. | 04-24-2014 |
20140120726 | METHOD OF PATTERNING A LOW-K DIELECTRIC FILM - Methods of patterning low-k dielectric films are described. In an example, a method of patterning a low-k dielectric film involves forming and patterning a mask layer above a low-k dielectric layer, the low-k dielectric layer disposed above a substrate. The method also involves modifying exposed portions of the low-k dielectric layer with a nitrogen-free plasma process. The method also involves removing, with a remote plasma process, the modified portions of the low-k dielectric layer selective to the mask layer and unmodified portions of the low-k dielectric layer. | 05-01-2014 |
20140127906 | SPUTTER AND SURFACE MODIFICATION ETCH PROCESSING FOR METAL PATTERNING IN INTEGRATED CIRCUITS - Fabricating conductive lines in an integrated circuit includes providing a conductive metal in a multi-layer structure, performing a first sputter etch of the conductive metal using methanol plasma, and performing a second sputter etch of the conductive metal using a second plasma, wherein a portion of the conductive metal that remains after the second sputter etch forms the conductive lines. Alternatively, fabricating conductive lines includes providing a conductive metal as an intermediate layer in a multi-layer structure, etching the multi-layer structure to expose the conductive metal, performing a first etch of the conductive metal using methanol plasma, performing a second sputter etch of the conductive metal using a second plasma, wherein a portion of the conductive metal that remains after the second sputter etch forms the conductive lines, forming a liner that surrounds the conductive lines, and depositing a dielectric layer on the multi-layer structure. | 05-08-2014 |
20140127907 | METHODS OF FORMING SEMICONDUCTOR STRUCTURES AND RELATED SULFUR DIOXIDE ETCH CHEMISTRIES - Methods of forming a semiconductor device structure and sulfur dioxide etch chemistries. The methods and chemistries, which may be plasma chemistries, include use of sulfur dioxide and a halogen-based compound to form a trimmed pattern of a patterning material, such as a resist material, at a critical dimension with low feature width roughness, with low space width roughness, without excessive height loss, and without substantial irregularities in the elevational profile, as compared to trimmed features formed using conventional chemistries and trimming methods. | 05-08-2014 |
20140127908 | SUBSTRATE TREATMENT METHOD AND SUBSTRATE TREATMENT APPARATUS - The inventive substrate treatment method includes: an organic solvent supplying step of supplying an organic solvent having a smaller surface tension than a rinse liquid to the upper surface of a substrate so that rinse liquid adhering to the upper surface of the substrate is replaced with the organic solvent; a higher temperature maintaining step of maintaining the upper surface of the substrate at a predetermined temperature higher than the boiling point of the organic solvent to thereby form a gas film of the organic solvent on the entire upper surface of the substrate including the gap of the minute pattern and to form a liquid film of the organic solvent on the gas film, the higher temperature maintaining step being performed after the organic solvent supplying step is started; and an organic solvent removing step of removing the organic solvent liquid film from the upper surface of the substrate. | 05-08-2014 |
20140134842 | DRY ETCH PROCESS - A method for conformal dry etch of a liner material in a high aspect ratio trench is achieved by depositing or forming an inhomogeneous passivation layer which is thicker near the opening of a trench bat thinner deep within the trench. The methods described herein use a selective etch following formation of the inhomogeneous passivation layer. The selective etch etches liner material faster than the passivation material. The inhomogeneous passivation layer suppresses the etch rate of the selective etch near the top of the trench (where it would otherwise be fastest) and gives the etch a head start deeper in the trench (where it would otherwise be slowest). This method may also find utility in removing bulk material uniformly from within a trench. | 05-15-2014 |
20140134843 | Methanofullerenes - The present disclosure relates to novel methanofullerene derivatives, negative-type photoresist compositions prepared therefrom and methods of using them. The derivatives, their photoresist compositions and the methods are ideal for fine pattern processing using, for example, ultraviolet radiation, beyond extreme ultraviolet radiation, extreme ultraviolet radiation, X-rays and charged particle rays. | 05-15-2014 |
20140141614 | Remote Plasma System and Method - A system and method for generating and using plasma is provided. An embodiment comprises a plasma generating unit that comprises beta-phase aluminum oxide. A precursor material is introduced to the plasma generating unit and a plasma is induced from the precursor material. The plasma may be used to deposit or etch materials on a semiconductor substrate. | 05-22-2014 |
20140141615 | METHOD OF FORMING PATTERNED FILM ON A BOTTOM AND A TOP-SURFACE OF A DEEP TRENCH - A method of forming a patterned film on both a bottom and a top-surface of a deep trench is disclosed. The method includes the steps of: 1) providing a substrate having a deep trench formed therein; 2) growing a film over a bottom and a top-surface of the deep trench; 3) coating a photoresist in the deep trench and over the substrate and baking the photoresist to fully fill the deep trench; 4) exposing the photoresist to form a latent image that partially covers the deep trench in the photoresist; 5) silylating the photoresist with a silylation agent to transform the latent image into a silylation pattern; 6) etching the photoresist to remove a portion of the photoresist not covered by the silylation pattern; and 7) etching the film to form a patterned film on both the bottom and the top-surface of the deep trench. | 05-22-2014 |
20140141616 | ETCHING COMPOSITION AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME - An etching composition includes about 1 wt % to about 7 wt % of hydrogen peroxide, about 20 wt % to about 80 wt % of phosphoric acid, about 0.001 wt % to about 1 wt % of an amine or amide polymer, 0 wt % to about 55 wt % of sulfuric acid, and about 10 wt % to about 45 wt % of deionized water. | 05-22-2014 |
20140148009 | Forming a Substantially Uniform Wing Height Among Elements in a Charge Trap Semiconductor Device - During formation of a charge trap separation in a semiconductor device, an organic material is formed over a plurality of cells. This organic material is selectively removed in order to create a flat upper surface. An etching process is performed to remove the organic material as well as a charge trap layer formed over the plurality of cells, thereby exposing underlying first oxide layers in each of the cells and forming charge trap separation. Further, because of the selective removal step, the etch results in substantially uniform wing heights among the separated cells. | 05-29-2014 |
20140148010 | Forming Charge Trap Separation in a Flash Memory Semiconductor Device - During formation of a charge trap separation in a semiconductor device, a polymer deposition is formed in a reactor using a first chemistry. In a following step, a second chemistry can be used to etch the polymer deposition in the reactor. The same or similar second chemistry can be used in a second etching step to expose a first oxide layer in each of the cells of the semiconductor device and to form a flat upper surface. This additional etch step can also be performed by the reactor, thereby reducing the number of machines required in the formation process. | 05-29-2014 |
20140179107 | Etching Silicon Nitride Using Dilute Hydrofluoric Acid - Provided are methods for processing semiconductor substrates or, more specifically, methods for etching silicon nitride structures without damaging photoresist structures that are exposed to the same etching solutions. In some embodiments, a highly diluted hydrofluoric acid is used for etching silicon nitride. A volumetric ratio of water to hydrofluoric acid may be between 1000:1 and 10,000:1. This level of dilution results in a low etching selectivity of photoresist to silicon nitride. In some embodiments, this selectivity is less than 0.2 and even less than 0.02. The solution may be kept at a temperature of between 60° C. and 90° C. to increase silicon nitride etching rates and to maintain high selectivity. The process may proceed until complete removal of the silicon nitride structure, while the photoresist structure may remain substantially intact. | 06-26-2014 |
20140187044 | ADDITION OF CARBOXYL GROUPS PLASMA DURING ETCHING FOR INTERCONNECT RELIABILITY ENHANCEMENT - The present disclosure is directed to a method of manufacturing a semiconductor structure in which a low-k dielectric layer is formed over a semiconductor substrate. Features can be formed proximate to the low-k dielectric layer by plasma etching with a plasma formed of a mixture of a CO | 07-03-2014 |
20140187045 | SILICON NITRIDE GAPFILL IMPLEMENTING HIGH DENSITY PLASMA - Methods of filling features with silicon nitride using high-density plasma chemical vapor deposition are described. Narrow trenches may be filled with gapfill silicon nitride without damaging compressive stress. A low but non-zero bias power is used during deposition of the gapfill silicon nitride. An etch step is included between each pair of silicon nitride high-density plasma deposition steps in order to supply sputtering which would normally be supplied by high bias power. | 07-03-2014 |
20140199843 | PATTERN FORMING METHOD AND ARTICLE MANUFACTURING METHOD - Provided is a method for forming a pattern on a layer on a substrate. The method includes forming a line-and-space pattern on the layer; coating a resist on the line-and-space pattern and filling the resist in a space portion of the line-and-space pattern; exposing a pattern to the resist, developing the exposed resist, and forming a resist pattern on the space portion; and forming a pattern on the layer using a pattern which is a combination of a line portion of the line-and-space pattern and the resist pattern as a mask. | 07-17-2014 |
20140199844 | ARRAY DESCRIPTION SYSTEM FOR LARGE PATTERNS - A method for describing an array of elements includes the steps of providing an array description system that includes a library of possible alternative designations; and describing the array of elements using at least one of the alternative designations. The library of possible alternative designations includes one or more of the following (i) a line designation, (ii) a column designation, (iii) a square designation, (iv) a rectangle designation, (v) a cross designation, (vi) a diagonal designation, (vii) a complex designation, (viii) a mosaic designation, (ix) an overlap designation, (x) a power designation, (xi) a border designation, (xii) a corner flip designation, (xiii) a mirror image designation, (xiv) a repeat designation, and (xv) a glide designation. | 07-17-2014 |
20140206191 | Etchant and Etching Process - A system and method for manufacturing semiconductor devices is provided. An embodiment comprises using an etchant to remove a portion of a substrate to form an opening with a 45° angle with a major surface of the substrate. The etchant comprises a base, a surfactant, and an oxidant. The oxidant may be hydrogen peroxide. | 07-24-2014 |
20140206192 | METHOD FOR ETCHING ATOMIC LAYER OF GRAPHINE - This present disclosure relates to an atomic layer etching method for graphene, including adsorbing reactive radicals onto a surface of the graphene and irradiating an energy source to the graphene on which the reactive radicals are adsorbed. | 07-24-2014 |
20140206193 | HIGH RESOLUTION PRINTING TECHNIQUE - A pattern having exceptionally small features is printed on a partially fabricated integrated circuit during integrated circuit fabrication. The pattern is printed using an array of probes, each probe having: 1) a photocatalytic nanodot at its tip; and 2) an individually controlled light source. The surface of the partially fabricated integrated circuit comprises a photochemically active species. The active species undergoes a chemical change when contacted by the nanodot, when the nanodot is illuminated by light. To print a pattern, each probe raster-scans its associated nanodot across the surface of the partially fabricated integrated circuit. When the nanodot reaches a desired location, the nanodot is illuminated by the light source, catalyzing a change in the reactive species and, thus, printing at that location. Subsequently, reacted or unreacted species are selectively removed, thereby forming a mask pattern over the partially fabricated integrated circuit. The minimum size of the features in the pattern is determined by the size of the nanodot and can be very small, e.g., having critical dimensions of about 20 nm or less. | 07-24-2014 |
20140206194 | METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes forming an etch-target layer over a semiconductor substrate having a lower structure, forming a first mask pattern over the etch-target layer, forming a spacer material layer with a uniform thickness over the etch-target layer including the first mask pattern, forming a second mask pattern on an indented region of the space material layer, and etching the etch-target layer with the first mask pattern and the second mask pattern as an etch mask to form a fine pattern. | 07-24-2014 |
20140213058 | PATTERN FORMATION METHOD AND PATTERN FORMATION APPARATUS - According one embodiment, a pattern formation method forming a resist layer on a pattern formation surface by pressing a template provided with a concave-convex from above the resist layer to form a resist pattern on the pattern formation surface, includes: forming a resist layer in a first region having an area smaller than an area of the pattern formation surface and in a second region other than the first region of the pattern formation surface; pressing a template against the resist layer; irradiating the resist layer with light via the template to form a first resist layer in the first region, curing of the first resist layer being suppressed, and form the resist pattern including a second resist layer, curing of the second resist layer proceeds in the second region; and removing the first resist layer from the first region, the curing of the first resist layer being suppressed. | 07-31-2014 |
20140213059 | BORON-DOPED CARBON-BASED HARDMASK ETCH PROCESSING - Boron-doped carbon-based hardmask etch processing is described. In an example, a method of patterning a film includes etching a boron-doped amorphous carbon layer with a plasma based on a combination of CH | 07-31-2014 |
20140220780 | Resist Technique - The present disclosure provides various methods for removing a resist layer from a wafer. An exemplary method includes performing an etching process to remove a resist layer from a wafer. During the etching process, a first heating process is performed to effect a first graded thermal profile in the resist layer, the first graded thermal profile having a temperature that increases along a direction perpendicular to the wafer. Further during the etching process, and after performing the first heating process, a second heating process is performed to effect a second graded thermal profile in the resist layer, the second graded thermal profile having a temperature that decreases along the direction perpendicular to the wafer. In an example, the method further includes, before performing the etching process, performing an ion implantation process to the wafer using the resist layer as a mask. | 08-07-2014 |
20140235055 | METHOD FOR FABRICATING A SEMICONDUCTOR INTEGRATED CIRCUIT WITH A LITHO-ETCH, LITHO-ETCH PROCESS FOR ETCHING TRENCHES - Methods are provided for fabricating semiconductor integrated circuits including isolated trench features. In one embodiment, a method includes providing a semiconductor substrate with an overlying process layer. A trench pattern to be etched into the process layer is determined and that trench pattern is decomposed into first and second patterns, the second pattern including an isolated trench. First and second lithographic masks are formed to implement the first and second patterns, the second mask implementing the second pattern, the isolated trench, and a plurality of density balancer patterns symmetrically positioned with respect to the isolated trench. A first resist layer is patterned with the first lithographic mask and the process layer is etched with the first resist layer. A second resist layer is patterned with the second lithographic mask and the process layer is etched with the second resist layer to implement the required trench pattern in the process layer. | 08-21-2014 |
20140235056 | SYSTEM, METHOD AND APPARATUS FOR ION MILLING IN A PLASMA ETCH CHAMBER - A system and method of ion milling performed in a plasma etch system including a plasma etch chamber, multiple process gas sources coupled to the plasma etch chamber, a radio frequency bias source and a controller. The plasma etch chamber including a substrate support. The substrate support being a non-pivoting and non-rotating substrate support. The substrate support capable of supporting a substrate to be processed on a top surface of the substrate support without use of a mechanical clamp device. The plasma etch chamber also including an upper electrode disposed opposite from the top surface of the substrate support. The radio frequency bias source is coupled to the substrate support. The controller is coupled to the plasma etch chamber, the multiple process gas sources and the radio frequency bias source. The controller including logic stored on computer readable media for performing an ion milling process in the plasma etch chamber. | 08-21-2014 |
20140248777 | RESIST COMPOSITION AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE - A resist composition includes: a crosslinking material that is crosslinked in the presence of an acid; an acid amplifier; and a solvent. | 09-04-2014 |
20140256135 | METHODS OF REMOVING GATE CAP LAYERS IN CMOS APPLICATIONS - One illustrative method disclosed herein includes the steps of forming a masking layer that covers a P-type transistor and exposes at least a gate cap layer of an N-type transistor, performing a first etching process through the masking layer to remove a portion of the gate cap of the N-type transistor so as to thereby define a reduced thickness gate cap layer for the N-type transistor, removing the masking layer, and performing a common second etching process on the P-type transistor and the N-type transistor that removes a gate cap layer of the P-type transistor and the reduced thickness gate cap of the N-type transistor. | 09-11-2014 |
20140273459 | Systems and Methods for a Narrow Band High Transmittance Interference Filter - The present disclosure provides an interference filter, a lithography system incorporating an interference filter, and a method of fabricating an interference filter. The interference filter includes a transparent substrate having a front surface and a back surface, a plurality of alternating material layers formed over the front surface of the transparent substrate that form a bandpass filter, and an anti-reflective structure formed over the back surface of the transparent substrate. The alternating material layers alternate between a relatively high refractive index material and a relatively low refractive index material. | 09-18-2014 |
20140273460 | PASSIVE CONTROL FOR THROUGH SILICON VIA TILT IN ICP CHAMBER - Embodiments of the present disclosure generally provide apparatus and methods for improving process result near the edge region of a substrate being processed. One embodiment of the present disclosure provides a cover ring for improving process uniformity. The cover ring includes a ring shaped body, and an extended lip extending radially inwards from the ring shaped body. An inner edge of the extended lip forms a central opening to expose a processing region on a substrate being processed, and a width of the extended lip is between about 15% to about 20% of a radius of the central opening. | 09-18-2014 |
20140273461 | CARBON FILM HARDMASK STRESS REDUCTION BY HYDROGEN ION IMPLANTATION - Methods for forming a hydrogen implanted amorphous carbon layer with desired film mechanical strength as well as optical film properties are provided. In one embodiment, a method of a hydrogen implanted amorphous carbon layer includes providing a substrate having a material layer disposed thereon, forming an amorphous carbon layer on the material layer, and ion implanting hydrogen ions from a hydrogen containing gas into the amorphous carbon layer to form a hydrogen implanted amorphous carbon layer. | 09-18-2014 |
20140287587 | Method for Forming Fine Patterns of Semiconductor Device Using Directed Self-Assembly Process - Provided herein is a method for forming fine patterns of semiconductor devices capable of forming patterns with 20 nm-level line width without bulk-exposure and hardening of guide patterns. Method steps include (a) forming a photoresist layer over a wafer on which an organic anti-reflection coating layer is formed; (b) exposing and developing the photoresist layer to form guide patterns; (c) forming a neutral layer over the wafer; (d) developing the guide patterns to remove them and form neutral layer patterns having an opening part; (e) coating block copolymer of directed self assembly material on the substrate and heating the substrate over a glass transition temperature (Tg) to form directed self-assembly patterns; and (f) selectively etching a part having relatively small etching resistivity (or high etching rate) among the directed self-assembly patterns by using O | 09-25-2014 |
20140287588 | Deposition Method and Deposition Apparatus - [Object] To provide a deposition method and a deposition apparatus, which are capable of cleaning a surface of a silicon substrate and causing a single crystal film having excellent crystallinity to grow on the surface. | 09-25-2014 |
20140287589 | ADDITIVE FOR RESIST UNDERLAYER FILM-FORMING COMPOSITION AND RESIST UNDERLAYER FILM-FORMING COMPOSITION CONTAINING THE SAME - It is aimed to enhance adhesiveness between a resist pattern formed on a resist underlayer film and to reduce an undercut of the resist pattern. An additive for a resist underlayer film-forming composition, including: a polymer having a structural unit of Formula (1): | 09-25-2014 |
20140322914 | GAP EMBEDDING COMPOSITION, METHOD OF EMBEDDING GAP AND METHOD OF PRODUCING SEMICONDUCTOR DEVICE BY USING THE COMPOSITION - A gap embedding composition used for embedding a patterned gap formed between photosensitive resin film portions on a semiconductor substrate surface, the gap embedding composition, having a hydrolysis condensate having an average molecular weight of 3,000 to 50,000 derived from an alkoxysilane raw material including at least alkyltrialkoxysilane and an ether compound having a total carbon atom of from 7 to 9 and/or an alkyl alcohol compound having a total carbon atom of from 6 to 9, as a solvent. | 10-30-2014 |
20140335693 | SUBSTRATE PROCESSING METHOD, PROGRAM, CONTROL APPARATUS, FILM FORMING APPARATUS, AND SUBSTRATE PROCESSING SYSTEM - A substrate processing method of the present disclosure includes forming a film on a workpiece using a processing gas in a processing chamber with a setting temperature profile including increase or decrease of a temperature; and etching the film. An etching rate of the film in the etching depends on a film formation temperature in the forming. The setting temperature profile is determined based on a first temperature dependence of the etching rate in the etching on the film formation temperature, and a second temperature dependence of a film formation amount in the forming on the film formation temperature. | 11-13-2014 |
20140349485 | METHOD FOR MANUFACTURING SILICON SUBSTRATE HAVING TEXTURED STRUCTURE - The present invention provides a method for manufacturing a silicon substrate having texture structure, by which, in comparison with conventional methods, it is possible to reduce manufacturing step and form easily regular texture structure on silicon substrate surface. The method of the present invention comprises the steps of: (A) forming a pattern on the silicon substrate using a resin-comprising composition; (B) irradiating an etching gas to the silicon substrate surface other than the pattern portion; and (C) processing the silicon substrate irradiated with the etching gas with an alkaline etching fluid to form concave structure under the pattern portion. Furthermore, the present invention provides a resin-comprising composition usable in the method, in particular, a composition comprising photo-curable resin. | 11-27-2014 |
20140357082 | High-Rate Chemical Vapor Etch of Silicon Substrates - Methods of etching a silicon substrate at a high rate using a chemical vapor etching process are provided. A silicon substrate may be etched by heating the silicon substrate in a process chamber and then flowing hydrochloric acid and a germanium-carrying compound into the process chamber. The substrate may be heated to at least 700° C. The hydrochloric acid flow rate may be at least approximately 100 (standard cubic centimeters per minute) sccm. In some embodiments, the hydrochloric acid flow rate may be between approximately 10 slm and approximately 20 standard liters per minute (slm). The germanium-carrying compound flow rate may be at least approximately 50 sccm. In some embodiments, the germanium-carrying compound flow rate may be between approximately 100 sccm and approximately 500 sccm. The etching may extend fully through the silicon substrate. | 12-04-2014 |
20140357083 | DIRECTED BLOCK COPOLYMER SELF-ASSEMBLY PATTERNS FOR ADVANCED PHOTOLITHOGRAPHY APPLICATIONS - Embodiments of methods and an apparatus for utilizing a directed self-assembly (DSA) process on block copolymers (BCPs) to form a defect-free photoresist layer for feature transfer onto a substrate are provided. In one embodiment, a method for performing a dry development process includes transferring a substrate having a layer of block copolymers disposed thereon into an etching processing chamber, wherein at least a first type and a second type of polymers comprising the block copolymers are aggregated into a first group of regions and a second group of regions on the substrate, supplying an etching gas mixture including at least a carbon containing gas into the etching processing chamber, and predominately etching the second type of the polymers disposed on the second groups of regions on the substrate in the presence of the etching gas mixture. | 12-04-2014 |
20140363974 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device and a method of manufacturing the same are provided. The device includes first and second line pattern units configured to extend substantially parallel to one another in a first direction and alternately disposed such that end portions of the first and second line pattern units are arranged in a diagonal direction, third and fourth pattern units configured to respectively extend from the end portions of the first and second line pattern units in a second direction crossing the first direction, first contact pad units respectively formed in the third line pattern units disposed a first distance from the end portions of the first line pattern units, and fourth contact pad units respectively formed in the fourth line pattern units disposed a second distance from the end portions of the second line pattern units. Here, the second distance is different from the first distance. | 12-11-2014 |
20140370708 | PHOTORESIST TREATMENT METHOD BY LOW BOMBARDMENT PLASMA - Methods for reducing the line width roughness on a photoresist pattern are provided herein. In some embodiments, a method of processing a patterned photoresist layer disposed atop a substrate includes flowing a process gas into a processing volume of a process chamber having the substrate disposed therein; forming a plasma within the process chamber from the process gas, wherein the plasma has a ion energy of about 1 eV to about 10 eV; and etching the patterned photoresist layer with species from the plasma to at least one of smooth a line width roughness of a sidewall of the patterned photoresist layer or remove debris. | 12-18-2014 |
20140377955 | SUBSTRATE PREPARATION FOR SELECTIVE AREA DEPOSITION - A method of producing a patterned inorganic thin film element includes providing a substrate having a patterned thin layer of polymeric inhibitor on the surface. The substrate and the patterned thin layer of polymeric inhibitor are exposed to a highly reactive oxygen process. An inorganic thin film layer is deposited on the substrate in areas without inhibitor using an atomic layer deposition process. | 12-25-2014 |
20150011089 | PATTERN FORMATION METHOD - According to one embodiment, a pattern formation method includes forming a layer above an underlying layer. The layer includes a block copolymer. The method further includes forming a first phase including a first polymer and a second phase including a second polymer in the layer by phase-separating the block copolymer, and selectively removing the first phase by dry etching the layer using an etching gas including carbon monoxide. | 01-08-2015 |
20150024597 | METHOD FOR SIDEWALL SPACER LINE DOUBLING USING POLYMER BRUSH MATERIAL AS A SACRIFICIAL LAYER - A method for sidewall spacer line doubling uses sacrificial sidewall spacers. A mandrel layer is deposited on a substrate and patterned into mandrel stripes with a pitch double that of the desired final line pitch. A functionalized polymer is deposited over the mandrel stripes and into the gaps between the stripes. The functionalized polymer has a functional group that reacts with the surface of the mandrel stripes when heated to graft a monolayer of polymer brush material onto the sidewalls of the mandrel stripes. A layer of etch mask material is deposited into the gaps between the polymer brush sidewall spacers to form interpolated stripes between the mandrel stripes. The polymer brush sidewall spacers are removed, leaving on the substrate a pattern of mandrel stripes and interpolated stripes with a pitch equal to the desired final line pitch. The stripes function as a mask to etch the substrate. | 01-22-2015 |
20150024598 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device is provided. A substrate having a first area with a first poly layer and a second area with a second poly layer is provided. A nitride HM film is then deposited above the first poly layer of a first device in the first area and above the second poly layer in the second area. Afterwards, a first patterned passivation is formed on the nitride HM film in the first area to cover the nitride HM film and the first device, and a second patterned passivation is formed above the second poly layer in the second area. The second poly layer in the second area is defined by the second patterned passivation. | 01-22-2015 |
20150024599 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - In a plasma processing apparatus comprising a processing chamber arranged in a vacuum chamber, a sample stage arranged under the processing chamber and having its top surface on which a wafer to be processed is mounted, a vacuum decompression unit for evacuating the interior of the processing chamber to reduce the pressure therein, and introduction holes arranged above said sample stage to admit process gas into the processing chamber, the wafer having its top surface mounted with a film structure and the film structure being etched by using plasma formed by using the process gas, the film structure is constituted by having a resist film or a mask film, a poly-silicon film and an insulation film laminated in this order from top to bottom on a substrate and before the wafer is mounted on the sample stage and the poly-silicon film underlying the mask film is etched, plasma is formed inside the processing chamber to cover the surface of members inside the processing chamber with a coating film containing a component of Si. | 01-22-2015 |
20150031206 | COMPOSITION FOR FORMING HIGHLY ADHESIVE RESIST UNDERLAYER FILM - A composition for forming a resist underlayer film for lithography, including a polymer having a repeating structural unit of Formula (1): | 01-29-2015 |
20150037979 | CONFORMAL SIDEWALL PASSIVATION - A method for etching features into an etch layer in a stack disposed below a patterned mask with mask features is provided. Coating providing molecules are provided. The coating providing molecules are pyrolyzed, which only produces a first set of byproducts and a second set of byproducts, wherein the first set of byproducts have a sticking coefficient between 10 | 02-05-2015 |
20150044873 | SILICON CONTAINING CONFINEMENT RING FOR PLASMA PROCESSING APPARATUS AND METHOD OF FORMING THEREOF - A method of forming a silicon containing confinement ring for a plasma processing apparatus useful for processing a semiconductor substrate comprises inserting silicon containing vanes into grooves formed in a grooved surface of an annular carbon template wherein the grooved surface of the annular carbon template includes an upwardly projecting step at an inner perimeter thereof wherein each groove extends from the inner perimeter to an outer perimeter of the grooved surface. The step of the grooved surface and a projection at an end of each silicon containing vane is surrounded with an annular carbon member wherein the annular carbon member covers an upper surface of each silicon containing vane in each respective groove. Silicon containing material is deposited on the annular carbon template, the annular carbon member, and exposed portions of each silicon containing vane thereby forming a silicon containing shell of a predetermined thickness. A portion of the silicon containing shell is removed and the annular carbon template and the annular carbon member are removed from the silicon containing shell leaving a silicon containing confinement ring wherein the silicon containing vanes are supported by the silicon containing shell of the silicon containing confinement ring. | 02-12-2015 |
20150050810 | METHOD FOR PREVENTING PHOTORESIST CORNER ROUNDING EFFECTS - A method for ameliorating corner rounding effects in a photolithographic process is provided. A semiconductor workpiece having an active device region is provided, and a photoresist layer is formed over the semiconductor workpiece. A mask is provided for patterning for the photoresist layer, wherein the mask comprises pattern having a sharp corner associated with the active device region. The sharp corner is separated from the active device region by a first distance in a first direction and a second distance in a second direction, wherein the first distance meets a minimum criteria for the photolithographic process, and wherein the second distance is greater than the first distance. The photoresist layer is then exposed to a radiation source, and the radiation source patterns the photoresist layer through the mask, defining an exposure region on the semiconductor workpiece having a rounded corner associated with the sharp corner. Accordingly, the second distance generally prevents the rounded corner of the exposure region from overlapping the active device region. | 02-19-2015 |
20150056808 | METHOD OF ETCHING SILICON OXIDE FILM - Provided is a method of etching a silicon oxide film. The method includes exposing a workpiece including the silicon oxide film and a mask formed on the silicon oxide film to plasma of a processing gas to etch the silicon oxide film. The mask includes a first film formed on the silicon oxide film and a second film formed on the first film, and the second film is constituted by a film having an etching rate lower than that of the first film with respect to active species in the plasma. | 02-26-2015 |
20150064904 | STABLE METAL COMPOUNDS AS HARDMASKS AND FILLING MATERIALS, THEIR COMPOSITIONS AND METHODS OF USE - The present invention relates to novel, soluble, multi-ligand-substituted metal oxide compounds to form metal oxide films with improved stability as well as compositions made from them and methods of their use. | 03-05-2015 |
20150064905 | SEMICONDUCTOR PROCESS - A semiconductor process including the following steps is provided. A substrate is provided. A nitride layer is formed on the substrate, but exposing a silicon containing area. An oxidation process is performed to oxidize a surface of the silicon containing area to form an oxidized surface. The nitride layer is removed. | 03-05-2015 |
20150064906 | Triple Patterning NAND Flash Memory - A NAND flash memory array is initially patterned by forming a plurality of sidewall spacers according along sides of patterned portions of material. The pattern of sidewall spacers is then used to form a second pattern of hard mask portions including first hard mask portions defined on both sides by sidewall spacers and second hard mask portions defined on only one side by sidewall spacers. | 03-05-2015 |
20150064907 | Triple Patterning NAND Flash Memory with Stepped Mandrel - A NAND flash memory array is initially patterned by forming a plurality of sidewall spacers according along sides of patterned portions of material. The pattern of sidewall spacers is then used to form a second pattern of hard mask portions including first hard mask portions defined on both sides by sidewall spacers and second hard mask portions defined on only one side by sidewall spacers. | 03-05-2015 |
20150064908 | SUBSTRATE PROCESSING APPARATUS, METHOD FOR PROCESSING SUBSTRATE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a substrate processing apparatus, including: a first gas supply system to supply raw material gas of a film being deposited in at least a portion of the surface of the substrate, and first etching gas which removes the deposited film, from a first gas supply nozzle to the processing chamber; a second gas supply system to supply second etching gas, which removes the deposited film, from a second gas supply nozzle to the processing chamber; and a control device to control the first and second gas supply systems such that the raw material gas is supplied from the first gas supply nozzle and the second etching gas is supplied from the second gas supply nozzle while the substrate is in the processing chamber, and the first etching gas is supplied from the first gas supply nozzle while the substrate is not in the processing chamber. | 03-05-2015 |
20150064909 | RESIN MOLD MATERIAL COMPOSITION FOR IMPRINTING - Provided are: a resin mold material and a resin replica mold material composition for imprinting having a superior mold releasability; a resin mold and a resin replica mold resulting from containing the material composition; and a method for producing them. The resin mold material or resin replica mold material composition for imprinting contains 100 parts by weight of a mold resin or replica mold resin for imprinting and 0.1 to 10 parts by weight of a curable fluoropolymer (A). Preferably, the fluoropolymer (A) has a weight-average molecular weight of 3,000 to 20,000 and results from including as repeating units (a1) an α-position substituted acrylate having a fluoroalkyl group having 4 to 6 carbon atoms and (a2) and 5 to 120 parts by weight of a high-softening-point monomer exhibiting a glass transition point or softening point of at least 50° C. in the homopolymer state. | 03-05-2015 |
20150064910 | SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING SYSTEM AND MEMORY MEDIUM - A substrate processing method includes supplying onto a substrate a processing liquid which contains a volatile component and forms a film, vaporizing the volatile component in the processing liquid such that the processing liquid solidifies or cures on the substrate and forms a film on the substrate, and supplying onto the film formed on the substrate a removing liquid which removes the processing liquid. The processing liquid is supplied onto the substrate after dry etching or ashing is applied to the substrate. | 03-05-2015 |
20150064911 | SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS AND STORAGE MEDIUM - Productivity can be improved. A substrate processing method includes a processing liquid supplying process of supplying a processing liquid, which contains a volatile component and forms a film on a substrate, onto the substrate on which a pre-treatment, which requires atmosphere management or time management after the pre-treatment, is performed; and an accommodating process of accommodating, in a transfer container, the substrate on which the processing liquid is solidified or cured by volatilization of the volatile component. | 03-05-2015 |
20150072526 | METHODS FOR REMOVING CARBON CONTAINING FILMS - Embodiments of methods for removing carbon-containing films are provided herein. In some embodiments, a method for removing a carbon-containing layer includes providing an ammonia containing process gas to a process chamber having a substrate with a silicon oxide layer disposed atop the substrate and a carbon-containing layer disposed atop the silicon oxide layer disposed in the process chamber; providing RF power to the process chamber to ignite the ammonia containing process gas to form a plasma; and exposing the substrate to NH and/or NH | 03-12-2015 |
20150099362 | Methods of Forming Line Patterns In Substrates - A method including forming a line pattern in a substrate includes using a plurality of longitudinally spaced projecting features formed along respective guide lines as a template in forming a plurality of directed self-assembled (DSA) lines that individually comprise at least one of (a): the spaced projecting features and DSA material longitudinally there-between, and (b): are laterally between and laterally spaced from immediately adjacent of the guide lines. Substrate material elevationally inward of and laterally between the DSA lines may be processed using the DSA lines as a mask. | 04-09-2015 |
20150111384 | Anti-Reflective Layer and Method - A system and method for anti-reflective layers is provided. In an embodiment the anti-reflective layer comprises a floating component in order to form a floating region along a top surface of the anti-reflective layer after the anti-reflective layer has dispersed. The floating component may be a floating cross-linking agent, a floating polymer resin, or a floating catalyst. The floating cross-linking agent, the floating polymer resin, or the floating catalyst may comprise a fluorine atom. The anti-reflective layers are removed using a fluid. | 04-23-2015 |
20150118846 | Method For Trimming Carbon-Containing Film At Reduced Trimming Rate - A method for trimming a carbon-containing film includes: (i) providing a substrate having a carbon-containing film formed thereon; (ii) supplying a trimming gas and a rare gas to the reaction space, which trimming gas includes an oxygen-containing gas; and (iii) applying RF power between the electrodes to generate a plasma using the trimming gas and the rare gas and to thereby trim the carbon-containing film while controlling a trimming rate at 55 nm/min or less as a function of at least one parameter selected from the group consisting of a flow rate of an oxygen-containing gas, a flow rate of nitrogen-containing gas to be added to the oxygen-containing gas, pressure in the reaction space, RF power, a duty cycle of RF power, a distance between the electrodes, and a temperature of a susceptor on which the substrate is placed. | 04-30-2015 |
20150118847 | IMPRINT METHOD, IMPRINT APPARATUS, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In an imprint method of an embodiment, in the imprinting of an imprint shot including an outermost peripheral region of a substrate where resist is not desired to be entered at the time of imprinting, light curing the resist is applied to a light irradiation region with a predetermined width including a boundary between the outermost peripheral region and a pattern formation region more inside than the outermost peripheral region, whereby the resist which is to enter inside the outermost peripheral region is cured. Then, light curing the resist filled in a template pattern is applied onto a template. | 04-30-2015 |
20150126032 | METHODS FOR FABRICATING INTEGRATED CIRCUITS INCLUDING GENERATING E-BEAM PATTERNS FOR DIRECTED SELF-ASSEMBLY - Methods for fabricating integrated circuits are provided. In one example, a method for fabricating an integrated circuit includes generating an e-beam pattern for forming a DSA directing pattern on a semiconductor substrate. The DSA directing pattern is configured to guide a self-assembly material deposited thereon that undergoes directed self-assembly (DSA) to form a DSA pattern. Generating the e-beam pattern includes using a computing system, inputting a DSA target pattern. Using the computing system, the DSA target pattern, a DSA model, and an EBPC model, an output EBPCed pattern is produced for an e-beam writer to write on a resist layer that overlies the semiconductor substrate. | 05-07-2015 |
20150126033 | METHOD FOR DEEP SILICON ETCHING USING GAS PULSING - Techniques disclosed herein include methods for etching deep silicon features using a continuous gas pulsing process that etches high aspect ratio features having a relatively smooth profile. Such methods provide an etch rate faster than time-multiplexed etch-deposition processes. Techniques include using a continuous process that comprises a cyclic gas-pulsing process of alternating chemistries. One process gas mixture includes a halogen-containing silicon gas and oxygen that creates an oxide layer. A second process gas mixture includes a halogen-containing gas and a fluorocarbon gas that etches oxide and silicon. | 05-07-2015 |
20150132959 | PATTERN FORMATION AND TRANSFER DIRECTLY ON SILICON BASED FILMS - Embodiments involve patterned mask formation. In one embodiment, a method involves depositing a CVD film over a semiconductor wafer; exposing the CVD film to e-beam or UV radiation, forming a pattern in the CVD film; and etching the pattern in the CVD film, forming features in areas not exposed to the e-beam or UV radiation. In one embodiment, a method involves depositing a CVD film over a semiconductor wafer; depositing a thin photo-sensitive CVD hardmask film over the CVD film; exposing the thin photo-sensitive CVD hardmask film to e-beam or UV radiation, forming a pattern in the thin photo-sensitive CVD hardmask film; etching the pattern in the thin photo-sensitive CVD hardmask film; etching the pattern into the CVD film through the patterned thin photo-sensitive CVD hardmask film; and removing the patterned thin photo-sensitive CVD hardmask film. | 05-14-2015 |
20150132960 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus that can appropriately carry out desired plasma processing on a substrate. The substrate is accommodated in an accommodating chamber. An ion trap partitions the accommodating chamber into a plasma producing chamber and a substrate processing chamber. High-frequency antennas are disposed in the plasma producing chamber. A process gas is introduced into the plasma producing chamber. The substrate is mounted on a mounting stage disposed in the substrate processing chamber, and a bias voltage is applied to the mounting stage. The ion trap has grounded conductors and insulating materials covering surfaces of the conductors. | 05-14-2015 |
20150132961 | Methods For Atomic Layer Etching - Provided are methods of etching a substrate using atomic layer deposition apparatus. Atomic layer deposition apparatus including a gas distribution plate with a thermal element and remote plasma are discussed. The thermal element is capable of locally changing the temperature of a portion of the surface of the substrate to vaporize an etch layer deposited on the substrate. | 05-14-2015 |
20150140821 | ETCHING METHOD AND ETCHING APPARATUS - An etching method is provided that includes the steps of supplying an etching gas containing a fluorocarbon (CF) based gas into a processing chamber, generating a plasma from the etching gas, and etching a silicon oxide film through a polysilicon mask using the plasma. The polysilicon film has a predetermined pattern and is arranged on the silicon oxide film. The silicon oxide film has at least one of a silicon content per unit volume, a fluorine content per unit volume, and a volume density that varies in a depth direction. | 05-21-2015 |
20150140822 | MULTILAYER FILM ETCHING METHOD AND PLASMA PROCESSING APPARATUS - In one embodiment of the present invention, there is provided a method for etching a multilayer film formed by laminating a plurality of alternating layers of a first layer having a first dielectric constant and a second layer having a second dielectric constant. This method includes (a) a multilayer film etching step, in which an etchant gas is supplied into a processing chamber and a microwave is supplied into the processing chamber to excite a plasma of the etchant gas; and (b) a resist mask reducing step in which an oxygen-containing gas and a fluorocarbon-based gas are supplied to the processing chamber and a microwave is supplied into the processing chamber to excite a plasma of the oxygen-containing gas and the fluorocarbon-based gas. In this method, the steps (a) and (b) are alternately repeated. | 05-21-2015 |
20150147885 | ARTICLE AND PROCESS FOR SELECTIVE ETCHING - A process for etching includes disposing an activating catalyst on a substrate; providing a vapor composition that includes an etchant oxidizer, an activatable etchant, or a combination thereof; contacting the activating catalyst with the etchant oxidizer; contacting the substrate with the activatable etchant; performing an oxidation-reduction reaction between the substrate, the activatable etchant, and the etchant oxidizer in a presence of the activating catalyst and the vapor composition; forming an etchant product that includes a plurality of atoms from the substrate; and removing the etchant product from the substrate to etch the substrate. | 05-28-2015 |
20150303064 | Developer Free Positive Tone Lithography by Thermal Direct Write - A method for lithographic patterning of thin films. A thin film is deposited on a substrate and the film is exposed to optical energy from a focused laser to induce a thermal gradient in the film by optical absorption. The film is softened through a melting or glass transition process and the thermal gradient induces a directional dewetting down the thermal gradient. The invention permits developer free positive tone lithography by thermal direct write and also metrology of the thin film by the morphology of the resultant features. | 10-22-2015 |
20150311066 | SURFACE PLASMA MODIFICATION OF POROUS THIN-FILMS TO OPTIMIZE PORE FILLING - The present invention describes a process to modify a top portion of a porous ultra low-k (ULK) material in order to maximize porosity filling with a filling material that initially displayed low compatibility with the ULK material. Surface modification is achieved by a plasma treatment, enhancing the compatibility between the ULK surface and the filling material. The invention obtains high filling levels with minimum modification to the ULK material, as only a thin top portion is modified without significant pore sealing. | 10-29-2015 |
20150316849 | RESIST UNDERLAYER FILM FORMING COMPOSITION CONTAINING SILICON HAVING ESTER GROUP - A resist underlayer film forming composition for lithography for a resist underlayer film usable as a hardmask. A resist underlayer film forming composition for lithography, including: as a silane, a hydrolyzable silane, a hydrolysis product thereof, or a hydrolysis-condensation product thereof, wherein the hydrolyzable silane includes a hydrolyzable silane of Formula (1) or a hydrolyzable silane containing a combination of a hydrolyzable silane of Formula (1) with a hydrolyzable silane of Formula (2), and a content of the hydrolyzable silane of Formula (1) or the hydrolyzable silane containing a combination of a hydrolyzable silane of Formula (1) with a hydrolyzable silane of Formula (2) in all silanes is less than 50% by mole, | 11-05-2015 |
20150325441 | SEMICONDUCTOR FABRICATION METHOD - A semiconductor fabrication method is provided. A substrate having thereon a base layer, a hard mask layer, and a core layer is prepared. A resist pattern is transferred to the core layer, thereby forming a core pattern. The core pattern is subjected to a post-clean process. Thereafter, a spacer layer is deposited on the core pattern. The spacer layer is etched to form spacer pattern on each sidewall of the core pattern. The core pattern is then removed. The spacer pattern is transferred to the underlying hard mask layer and the base layer. | 11-12-2015 |
20150332895 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - A plasma processing method is provided. In the method, a distribution of an amount of processing within a surface of a substrate by a plasma process performed on a film deposited on the substrate is obtained. Next, a flow speed of the plasma processing gas is adjusted by increasing the flow speed of the plasma processing gas supplied to a first area where the amount of processing is expected to be increased or by decreasing the flow speed of the plasma processing gas supplied to a second area where the amount of processing is expected to be decreased. Then, the plasma process is performed on the film deposited on the substrate by supplying the plasma processing gas having the adjusted flow speed into the predetermined plasma process area. | 11-19-2015 |
20150332929 | PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS - Disclosed is a plasma etching method including a deposition process and an etching process. For a processing target object including a base layer and a photoresist having a predetermined pattern which are laminated in sequence, the deposition process deposits a protective layer including silicon and carbon on the photoresist of the processing target object by plasma of a first processing gas including silicon tetrachloride gas, methane gas, and hydrogen gas. The etching process etches the base layer by plasma of a second processing gas using the photoresist including the protective layer deposited thereon, as a mask. The second processing gas is different from the first processing gas. | 11-19-2015 |
20150340225 | BACK SIDE DEPOSITION APPARATUS AND APPLICATIONS - The embodiments disclosed herein pertain to methods and apparatus for depositing stress compensating layers and sacrificial layers on either the front side or back side of a substrate. In various implementations, back side deposition occurs while the wafer is in a normal front side up orientation. The front/back side deposition may be performed to reduce stress introduced through deposition on the front side of the wafer. The back side deposition may also be performed to minimize back side particle-related problems that occur during post-deposition processing such as photolithography. | 11-26-2015 |
20150364332 | INORGANIC FILM-FORMING COMPOSITION FOR MULTILAYER RESIST PROCESSES, AND PATTERN-FORMING METHOD - An inorganic film-forming composition for multilayer resist processes includes a complex that includes: metal atoms; at least one bridging ligand; and a ligand which is other than the at least one bridging ligand and which is derived from a hydroxy acid ester, a β-diketone, a β-keto ester, a β-dicarboxylic acid ester or a combination thereof. The at least one bridging ligand includes a first bridging ligand derived from a compound represented by formula (1). An amount of the first bridging ligand is no less than 50 mol % with respect to a total of the bridging ligand. In the formula (1), R | 12-17-2015 |
20150364339 | DUAL CHAMBER PLASMA ETCHER WITH ION ACCELERATOR - The embodiments herein generally deal with semiconductor processing methods and apparatus. More specifically, the embodiments relate to methods and apparatus for etching a semiconductor substrate. A partially fabricated semiconductor substrate is provided in a reaction chamber. The reaction chamber is divided into an upper sub-chamber and a lower sub-chamber by a grid assembly. Plasma is generated in the upper sub-chamber, and the substrate is positioned in the lower sub-chamber. The grid assembly includes at least two grids, each of which is negatively biased, and each of which includes perforations which allow certain species to pass through. The uppermost grid is negatively biased in order to repel electrons. The lowermost grid is biased further negative (compared to the uppermost grid) in order to accelerate positive ions from the upper to the lower sub-chamber. Etching gas is supplied directly to the lower sub-chamber. The etching gas and ions react with the surface of the substrate to etch the substrate as desired. | 12-17-2015 |
20150371845 | SURFACE TREATMENT APPARATUS AND METHOD FOR SEMICONDUCTOR SUBSTRATE - In one embodiment, a surface treatment apparatus for a semiconductor substrate includes a holding unit, a first supply unit, a second supply unit, a third supply unit, a drying treatment unit, and a removal unit. The holding unit holds a semiconductor substrate with a surface having a convex pattern formed thereon. The first supply unit supplies a chemical solution to the surface of the semiconductor substrate, to perform cleaning and oxidation. The second supply unit supplies pure water to the surface of the semiconductor substrate, to rinse the semiconductor substrate. The third supply unit supplies a water repelling agent to the surface of the semiconductor substrate, to form a water repellent protective film on the surface of the convex pattern. The drying treatment unit dries the semiconductor substrate. The removal unit removes the water repellent protective film while making the convex pattern remain. | 12-24-2015 |
20150371851 | AMORPHOUS CARBON DEPOSITION PROCESS USING DUAL RF BIAS FREQUENCY APPLICATIONS - Methods for forming an amorphous carbon layer with desired film mechanical strength low film stress as well as optical film properties are provided. In one embodiment, a method of forming an amorphous carbon layer includes forming a plasma of a deposition gas mixture including a hydrocarbon gas supplied in a processing chamber by application of a RF source power, applying a low frequency RF bias power and a high frequency RF bias power to a first electrode disposed in the processing chamber, controlling a power ratio of the high frequency to the low frequency RF bias power, and forming an amorphous carbon layer on a substrate disposed in the processing chamber. | 12-24-2015 |
20150371862 | METHOD OF FORMING PATTERN - A method of forming a pattern including following steps is provided. A wafer is provided, wherein the wafer includes a plurality of wafer interior dies and a plurality of wafer edge dies. A first pattern is formed on each of the wafer interior dies, and a second pattern is formed on each of the wafer edge dies. A method of forming the first patterns includes performing at least two exposure processes, and a method of forming the second patterns includes performing at least one of the at least two exposure processes, wherein a number of the exposure processes performed for forming the second patterns is less than a number of the exposure processes performed for forming the first patterns. | 12-24-2015 |
20150371894 | SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING SYSTEM AND RECORDING MEDIUM - A substrate processing method can remove a part of a processing target film formed on a surface of a substrate W under a normal pressure atmosphere while suppressing an influence upon the substrate. A source material of the processing target film, which is decomposed by irradiating an ultraviolet ray thereto under an oxygen-containing atmosphere, is coated on the substrate W, and the processing target film is formed by heating the source material coated on the substrate W. Then, the substrate W having thereon the processing target film is placed within a processing chamber under the oxygen-containing atmosphere where a gas flow velocity is equal to or smaller than 10 cm/sec, and the part of the processing target film is removed by irradiating the ultraviolet ray to the substrate W. | 12-24-2015 |
20150380267 | METHODS OF REMOVING A HARD MASK - In a method of removing a hard mask, a hard mask is formed on a substrate. A first plasma treatment is performed on the hard mask at a first temperature. A second plasma treatment is performed on the hard mask at a second temperature higher than the first temperature. | 12-31-2015 |
20160013048 | Gas Phase Oxide Removal and Passivation of Germanium-containing Semiconductors and Compound Semiconductors | 01-14-2016 |
20160017174 | HARDMASK COMPOSITION AND METHOD OF FORMING PATTERNS USING THE HARDMASK COMPOSITION - A hardmask composition includes a polymer including a moiety represented by the following Chemical Formula 1 and a solvent. | 01-21-2016 |
20160018727 | MASK FOR PHOTOLITHOGRAPHY, METHOD FOR FABRICATING THE SAME AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE MASK - A mask for photolithography and methods of manufacturing a mask and a semiconductor device are provided. The method of manufacturing a mask may comprise providing a substrate, forming a phase shift material layer on the substrate, forming a light blocking layer on the phase shift material layer, and forming a main pattern and a sub pattern on the substrate by patterning the phase shift material layer and the light blocking layer. The light blocking layer may be removed on the main pattern left on the light blocking layer remaining on the sub pattern. A semiconductor device may be manufactured using the mask to form a photoresist pattern on a semiconductor wafer. The pattern of the photoresist may be used to etch an object layer of the semiconductor wafer. | 01-21-2016 |
20160033863 | PHOTORESIST COMPOSITION TO REDUCE PHOTORESIST PATTERN COLLAPSE - A photoresist composition and a method for forming a patterned photoresist, and a method for forming an integrated circuit pattern are provided. A photoresist composition is provided. The photoresist composition includes a first polymer, a second polymer; and a solvent. The first polymer is more soluble than the second polymer in an aqueous solution, and the first polymer has a higher etching resistance than the second polymer. | 02-04-2016 |
20160035586 | INTEGRATED OXIDE RECESS AND FLOATING GATE FIN TRIMMING - Methods of etching back shallow trench isolation (STI) dielectric and trimming the exposed floating gate without breaking vacuum are described. The methods include recessing silicon oxide dielectric gapfill to expose vertical sidewalls of polysilicon floating gates. The exposed vertical sidewalls are then isotropically etched to evenly thin the polysilicon floating gates on the same substrate processing mainframe. Both recessing silicon oxide and isotropically etching polysilicon use remotely excited fluorine-containing apparatuses attached to the same mainframe to facilitate performing both operations without an intervening atmospheric exposure. An inter-poly dielectric may then be conformally deposited either on the same mainframe or outside the mainframe. | 02-04-2016 |
20160049314 | ETCHING METHOD - An etching method for etching an object to be processed in a processing chamber including a first electrode and a second electrode disposed facing the first electrode and configured to receive the object to be processed thereon is provided that includes steps of intermittently supplying first high frequency power to either the first electrode or the second electrode while supplying second high frequency power lower than the first high frequency power to the second electrode, supplying a process gas containing hydrogen bromide HBr and oxygen O | 02-18-2016 |
20160064239 | Method for Integrated Circuit Patterning - Provided is a method of patterning a substrate. The method includes patterning a resist layer formed over the substrate to result in a resist pattern and treating the resist pattern with an ion beam. The ion beam is generated with a gas, such as CH | 03-03-2016 |
20160071722 | PLASMA PROCESSING DEVICE AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a processing chamber. A turntable to receive a substrate thereon is provided in the processing chamber. A first plasma processing area is provided in a predetermined location in a circumferential direction of the turntable and configured to perform a first plasma process by generating first plasma from a first plasma gas. A second plasma processing area is provided apart from the first plasma processing area in the circumferential direction of the turntable and configured to perform a second plasma process by generating second plasma from a second plasma gas. A separation area is provided in each of two locations between the first plasma processing area and the second plasma processing area and configured to prevent the first plasma gas and the second plasma gas from mixing with each other by separating the first plasma processing area from the second plasma processing area. | 03-10-2016 |
20160071738 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MANUFACTURING APPARATUS - A method of manufacturing a semiconductor device includes processing a plurality of substrates each provided with an etch target by using a chemical liquid, the chemical liquid used repeatedly and being mixed with water for adjustment of an etch rate. | 03-10-2016 |
20160079073 | PLASMA PROCESSING METHOD - A plasma processing method includes: a first step of introducing a gas having reactivity with a film to be processed disposed in advance on a top surface of a wafer into a processing chamber to form an adhesion layer on the film; a second step of expelling a part of the gas remaining in the processing chamber while supply of the gas having reactivity is stopped; a third step of introducing a rare gas into the processing chamber to form a plasma and desorbing reaction products of the adhesion layer and the film to be processed using particles and vacuum ultraviolet light in the plasma; and a fourth step of expelling the reaction products while the plasma is not formed. | 03-17-2016 |
20160079078 | ETCHING METHOD, METHOD OF MANUFACTURING ARTICLE, AND ETCHING SOLUTION - An etching method according to an embodiment includes forming a catalyst layer made of a noble metal on a structure made of a semiconductor, and dipping the structure in an etching solution containing hydrofluoric acid, an oxidizer, and an organic additive to remove a portion of the structure that is in contact with the catalyst layer. | 03-17-2016 |
20160086816 | CHLORINE-BASED HARDMASK REMOVAL - A method of removing titanium nitride hardmask is described. The hardmask resides above a low-k dielectric layer prior to removal and the low-k dielectric layer retains a relatively low net dielectric constant after the removal process. The low-k dielectric layer may be part of a dual damascene structure having copper at the bottom of the vias. A non-porous carbon layer is deposited prior to the titanium nitride hardmask removal to protect the low-k dielectric layer and the copper. The titanium nitride hardmask is removed with a gas-phase etch using plasma effluents formed in a remote plasma from a chlorine-containing precursor. Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents react with the titanium nitride. | 03-24-2016 |
20160099160 | METHOD FOR COLLAPSE-FREE DRYING OF HIGH ASPECT RATIO STRUCTURES - A method for drying a substrate including a plurality of high aspect ratio (HAR) structures includes, after at least one of (i) wet etching, and (ii) wet cleaning, and (iii) wet rinsing the substrate using at least one of (a) wet etching solution, and (b) wet cleaning solution, and (c) wet rinsing solution, respectively, and without drying the substrate: depositing, between the plurality of HAR structures, a solution that includes a polymer component, a nanoparticle component, and a solvent; wherein as the solvent evaporates, a sacrificial bracing material precipitates out of solution and at least partially fills the plurality of HAR structures, the sacrificial bracing material including (i) polymer material from the polymer component of the solution and (ii) nanoparticle material from the nanoparticle component of the solution; and exposing the substrate to plasma generated using a plasma gas chemistry to volatize the sacrificial bracing material. | 04-07-2016 |
20160104630 | NOVEL METHOD TO ETCH COPPER BARRIER FILM - A method of opening a barrier film below copper structures in a stack is provided. A pulsed gas is provided into a plasma processing chamber, wherein the providing the pulsed gas comprises providing a pulsed H | 04-14-2016 |
20160118304 | FABRICATION OF NANOWIRE STRUCTURES - Methods are presented for facilitating fabrication of nanowire structures, such as one or more nanowire field effect transistors. The methods include, for instance: providing a substrate; providing first material layers and second material layers above the substrate, the first material layers interleaved with the second material layers; removing portions of the first material layers and second material layers, the removing forming a plurality of nanowire stacks, including first material nanowires and second material nanowires; removing the first material nanowires from at least one nanowire stack; and removing the second material nanowires from at least one other nanowire stack, where the at least one nanowire stack and at least one other nanowire stack include a p-type nanowire stack(s) and a n-type nanowire stack(s), respectively. | 04-28-2016 |
20160126097 | DIELECTRIC TONE INVERSION MATERIALS - A process for patterning a hard mask material with line-space patterns below a 30 nm pitch and a 15 nm critical dimension by employing a spin-on titanium-silicon (TiSi) polymer or oligomer as a tone inversion material is provided. The spin-on TiSi material is spin-coated over a patterned OPL that includes a first pattern generated from a DSA based process. The spin-on TiSi material fill trenches within the patterned OPL to form a tone inverted pattern by removing the patterned OPL selective to the spin-on TiSi material. The inverted pattern is a complementary pattern to the first pattern, and is transferred into the underlying hard mask material by an anisotropic etch. | 05-05-2016 |
20160133705 | METHOD FOR MANUFACTURING SILICON CARBIDE SEMICONDUCTOR DEVICE - Included are the steps of: preparing a silicon carbide substrate having an epitaxial layer formed thereon; forming an upper-layer film on the epitaxial layer; and removing at least a portion of the upper-layer film in an outer peripheral portion of the silicon carbide substrate, and patterning the upper-layer film. | 05-12-2016 |
20160141171 | PHOTORESIST PATTERN TRIMMING METHODS - Provided are methods of trimming photoresist patterns. The methods involve coating a photoresist trimming composition over a photoresist pattern, wherein the trimming composition includes a matrix polymer, a thermal acid generator and a solvent, the trimming composition being free of cross-linking agents. The coated semiconductor substrate is heated to generate an acid in the trimming composition from the thermal acid generator, thereby causing a change in polarity of the matrix polymer in a surface region of the photoresist pattern. The photoresist pattern is contacted with a developing solution to remove the surface region of the photoresist pattern. The methods find particular applicability in the formation of very fine lithographic features in the manufacture of semiconductor devices. | 05-19-2016 |
20160141202 | AIR GAP FORMATION IN INTERCONNECTION STRUCTURE BY IMPLANTATION PROCESS - Methods for forming air gaps in an interconnection structure with desired materials formed on different locations of the interconnection structure using an ion implantation process to define an etching boundary followed by an etching process for semiconductor devices are provided. In one embodiment, a method for forming air gaps in an interconnection structure on a substrate, the method includes implanting ions in a first region of an insulating material disposed on a substrate, leaving a second region without implanted ions, the second region having a first surface interfaced with the first region and a second surface interfaced with the substrate, and performing an etching process to selectively etch the second region away from the substrate, forming an air gap between the first region and the substrate. | 05-19-2016 |
20160147151 | RESIST UNDERLAYER FILM-FORMING COMPOSITION CONTANING PYRROLE NOVOLAC RESIN - An excellent resist underlayer film having a selectivity of dry etching rate close to that of a resist, selectivity of dry etching rate lower than that of a resist, or selectivity of dry etching rate lower than that of semiconductor substrate. Resist underlayer film-forming composition including a polymer containing unit structure of Formula (1): | 05-26-2016 |
20160148812 | METHOD OF IMPROVING LINE ROUGHNESS IN SUBSTRATE PROCESSING - Provided is a method for processing a semiconductor substrate to reduce line roughness, the method comprising: positioning a substrate in a film-forming system, the film-forming system comprising a chuck having a clamping mechanism configured to hold the substrate in a processing chamber and flex the substrate by displacing a center of the substrate relative to a peripheral edge of the substrate so as to create a concave surface during processing; coating the substrate with a layer of material; performing a post apply bake process; flexing the substrate to create the concave surface either during the post apply bake or following the post apply bake process, wherein the concave surface has a degree of concavity measured at the center of the substrate that exceeds a base number of microns; and unflexing the substrate and inducing tensile stress in the layer of material on the substrate. | 05-26-2016 |
20160155646 | HARD MASK REMOVAL SCHEME | 06-02-2016 |
20160155660 | METHOD FOR PATTERNING SUB-50-NANOMETERS STRUCTURES | 06-02-2016 |
20160172210 | SEMICONDUCTOR MANUFACTURING APPARATUS AND SEMICONDUCTOR MANUFACTURING METHOD | 06-16-2016 |
20160196969 | ISOTROPIC ATOMIC LAYER ETCH FOR SILICON OXIDES USING NO ACTIVATION | 07-07-2016 |
20160196984 | ISOTROPIC ATOMIC LAYER ETCH FOR SILICON AND GERMANIUM OXIDES | 07-07-2016 |
20160196985 | METHOD AND APPARATUS FOR ANISOTROPIC TUNGSTEN ETCHING | 07-07-2016 |
20160254142 | Lithographic Resist With Floating Protectant | 09-01-2016 |
20160254362 | METHOD FOR MODIFYING A STRESS LEVEL OF A SEMICONDUCTOR DEVICE HAVING TRANSISTOR CHANNEL STAGES | 09-01-2016 |
20160379870 | SIDEWALL PROTECTION SCHEME FOR CONTACT FORMATION - Method of manufacturing a semiconductor device is described that uses sidewall protection of a recessed feature to prevent loss of critical dimension during a cleaning process to remove etch residue. According to one embodiment, the method includes providing a substrate containing a film thereon having a recessed feature with a sidewall and a bottom portion, depositing a conformal film on the sidewall and on the bottom portion, removing the conformal film from the bottom portion in an anisotropic etching process, where the remaining conformal film forms a protection film on the sidewall, and performing a cleaning process that removes etch residue from the recessed feature without etching the protection film or the sidewall. | 12-29-2016 |
20180025917 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE, VACUUM PROCESSING APPARATUS AND SUBSTRATE PROCESSING APPARATUS | 01-25-2018 |
20190146333 | METHOD OF MANUFACTURING PHOTO MASKS | 05-16-2019 |
20190148145 | DIRECTIONAL PROCESSING TO REMOVE A LAYER OR A MATERIAL FORMED OVER A SUBSTRATE | 05-16-2019 |
20190148161 | METHOD OF FABRICATING SEMICONDUCTOR STRUCUTRE | 05-16-2019 |
20190148166 | Semiconductor Device and Method of Manufacture | 05-16-2019 |