Entries |
Document | Title | Date |
20080197435 | Wafer level image sensor package with die receiving cavity and method of making the same - The present invention provides a structure of package comprising a substrate with a die receiving cavity formed within an upper layer of the substrate, wherein terminal pads are formed on the upper surface of the substrate, the same plain as the micro lens. A die is disposed within the die receiving cavity by adhesion and a dielectric layer formed on the die and the substrate. A re-distribution metal layer (RDL) is formed on the dielectric layer and coupled to the die. An opening is formed within the dielectric layer and a top protection layer to expose the micro lens area of the die for Image Sensor chip. A protection layer (film) be coated on the micro lens area with water repellent and oil repellent to away the particle contamination. A transparent cover with coated IR filter is optionally formed over the micron lens area for protection. | 08-21-2008 |
20080197436 | ELECTRONIC DEVICE, METHOD FOR MANUFACTURING THE SAME, AND SILICON SUBSTRATE FOR ELECTRONIC DEVICE - An electronic device is formed by epitaxially growing a Si substrate on a Si layer of an SOI substrate in which the Si layer is deposited on a front surface of a substrate with an insulating layer interposed therebetween; forming an element on a front-surface side of the Si substrate; and forming a back-surface element aligned with respect to the element, on a back-surface side of the Si substrate after the substrate is etched. A mark is formed by etching and removing the Si layer and the insulating layer in a predetermined position of the SOI substrate. The element is formed using a concave part as a reference position. The concave part appears on the front surface of the Si substrate epitaxially grown on the mark. The back-surface element is formed using the mark as a reference position. The mark appears after the substrate is etched. | 08-21-2008 |
20080197437 | Solid-state imaging apparatus, manufacturing method therefor and electronic equipment using the same - Provided is a solid-state imaging apparatus having excellent reading accuracy. The solid-state imaging apparatus of the present invention includes a solid-state imaging element (light receiving element portion) ( | 08-21-2008 |
20080203507 | Image sensors for zoom lenses and fabricating methods thereof - An image sensor includes a semiconductor substrate on which a plurality of photo diodes are formed. A plurality of interlayer dielectrics are formed above the semiconductor substrate, and a plurality of metal lines are formed on each of the interlayer dielectrics. A plurality of micro lenses are formed above the uppermost one of the interlayer dielectrics. The light passing through the zoom lenses is incident on the respective micro lenses. The plurality metal lines formed on at least one of the plurality of interlayer dielectrics have the same width. | 08-28-2008 |
20080203508 | Image sensing device having protection pattern on the microlens, camera module, and method of forming the same - An image sensing device having a protection pattern formed on microlenses is provided. The device includes a plurality of photodiodes provided in a semiconductor substrate. An insulating layer having a substantially flat top surface is disposed on the photodiodes. A plurality of microlenses are provided on the insulating layer and disposed over the photodiodes. The microlenses are covered with a protection pattern. The protection pattern can be formed of an oxide-based photosensitive polymer layer or a nitride-based photosensitive polymer layer, as examples. The protection pattern can have a substantially flat top surface. | 08-28-2008 |
20080203509 | PHOTOELECTRIC CONVERSION DEVISE AND METHOD OF MANUFACTURING THE SAME - A photoelectric conversion device comprises a photoelectric conversion element disposed at a semiconductor substrate, and a multilayered wiring structure including a plurality of wiring layers disposed over the semiconductor substrate in such a manner to sandwich an interlayer insulation film therebetween. A diffusion suppressing film is disposed at least on the uppermost one of the wiring layers, the diffusion suppressing film serving to suppress diffusion of material forming the uppermost wiring layer; the diffusion suppressing film covers regions of the uppermost wiring layer and the interlayer insulation film corresponding to the photoelectric conversion element; and a lens is disposed with respect to a region of the diffusion suppressing film corresponding to the photoelectric conversion element. | 08-28-2008 |
20080211045 | Module for optical apparatus and method of producing module for optical apparatus - An electric wiring of a module for an optical apparatus includes: a first through electrode passing through the solid-state image sensor; a first rewiring layer being formed in such a way as to be re-wired to a necessary area in the rear surface of the solid-state image sensor, and being electrically connected to the first through electrode; a second rewiring layer being formed in such a way as to be re-wired to a necessary area in the rear surface of the image processing apparatus, and being electrically connected to the first rewiring layer; a second through electrode passing through the image processing apparatus and being electrically connected to the second rewiring layer; and a third rewiring layer being formed in such a way as to be re-wired to a necessary area in a front surface of the image processing apparatus, and being electrically connected to the second through electrode. The image processing apparatus includes an external connection terminal electrically connected to the third rewiring layer. With the foregoing structure, a small and light module for an optical apparatus and a production method of such module are realized without giving a constraint on the structure of the module. | 09-04-2008 |
20080211046 | SEMICONDUCTOR DEVICE FOR IMAGE SENSOR - Embodiments relate to a semiconductor device for an image sensor method of fabricating a semiconductor device for an image sensor having a micro lens. According to embodiments, the method may include forming a lower insulating film having cavities on a substrate, forming an upper insulating film having cavities on the lower insulating film, forming a protective insulating film having metal films on the upper insulating film, forming a number of color filters having a specified pattern on the protective insulating film, forming a planarization layer having a specified curvature on the color filters to bury the color filters in the planarization layer, and forming a number of micro lenses on the planarization layer at respective positions corresponding to the color filters. | 09-04-2008 |
20080211047 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS USING THE SAME - A solid-state imaging device includes a semiconductor substrate, a first pixel with a green color filter, a second pixel with a blue color filter and a third pixel with a red color filter. The first pixel includes a first area for generating an electric signal by photoelectric conversion, disposed in a first trench that is formed on a surface of the semiconductor substrate, and a first transistor area that outputs the electric signal obtained from the first area. The second pixel includes a second area formed in a flat shape on the surface of the semiconductor substrate, and a second transistor area that outputs the electric signal obtained from the second area. The third pixel includes a third area formed in a flat shape on the surface of the semiconductor substrate, and a third transistor area that outputs the electric signal obtained from the third area. | 09-04-2008 |
20080217714 | SEMICONDUCTOR DEVICE HAVING TILES FOR DUAL-TRENCH INTEGRATION AND METHOD THEREFOR - A method for forming a semiconductor device includes providing a semiconductor substrate having a first region and a second region. The first region has one or more first elements and the second region has one or more second elements. The first elements are different from the second elements. A tile location and a first tile surface area for a tile feature on the semiconductor device is defined. An active semiconductor layer is formed over both the first region and the second region of the semiconductor substrate. A first trench is formed in the active semiconductor layer at the tile location using a negative tone mask. The first trench has a first depth and forms at least a portion of the tile feature. A second trench is formed in the active semiconductor layer using a positive tone mask. The second trench has a second depth different than the first depth. | 09-11-2008 |
20080224243 | Image Sensor and Method of Manufacturing the Same - An image sensor is provided. The image sensor can include a semiconductor substrate including a circuit region, an interlayer electric including a metal interconnection on the semiconductor substrate, a lower electrode on the metal interconnection, and a light receiving portion on the lower electrode. The light receiving portion can be a PIN diode formed to have a convex shape. | 09-18-2008 |
20080224244 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor include an interlayer dielectric layer formed over a semiconductor substrate; a color filter array formed over the interlayer dielectric layer; a planarization layer formed over the color filter; and a microlens array having a continuous, gapless shape formed over the planarization layer and spatially corresponding to the color filter array. The microlens array is composed of a first dielectric layer and a second dielectric layer formed over the first dielectric layer. | 09-18-2008 |
20080224245 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor including an interlayer dielectric layer formed over a semiconductor substrate, a color filter layer formed over the interlayer dielectric layer, a planarization layer formed over the color filter, and a microlens array having a gapless, continuous shape and a multilayered structure formed over the planarization layer. | 09-18-2008 |
20080224246 | Image Sensor and Method for Fabricating the Same - An image sensor is disclosed including a second semiconductor substrate including a metal interconnection and a second interlayer dielectric; a second via penetrating the second interlayer dielectric so that the second via is connected to the metal interconnection; a first semiconductor substrate on the second interlayer dielectric, the first semiconductor substrate having a unit pixel; a pre-metal dielectric on the first semiconductor substrate; a first via penetrating the pre-metal dielectric and the first semiconductor substrate, the first via being electrically connected to the second via; a first interlayer dielectric on the pre-metal dielectric including the first via; a metal interconnection on the first interlayer dielectric and connected to the first via and the unit pixel; a conductive barrier layer on the metal interconnection; and a color filter and a microlens on the first interlayer dielectric in each unit pixel. | 09-18-2008 |
20080224247 | BACKSIDE DEPLETION FOR BACKSIDE ILLUMINATED IMAGE SENSORS - A backside illuminated image sensor is provided which includes a substrate having a front side and a backside, a sensor formed in the substrate at the front side, the sensor including at least a photodiode, and a depletion region formed in the substrate at the backside, a depth of the depletion region is less than 20% of a thickness of the substrate. | 09-18-2008 |
20080237761 | SYSTEM AND METHOD FOR ENHANCING LIGHT SENSITIVITY FOR BACKSIDE ILLUMINATION IMAGE SENSOR - A system and method for enhancing light sensitivity of a back-side illumination image sensor are described. An integrated circuit includes a substrate and an image sensor device comprising at least one transistor formed over a first surface of the substrate and a photosensitive region. A color filter is disposed over a second surface of the substrate opposite the first surface thereof. A micro-lens structure is disposed between the second surface of the substrate and the color filter. | 10-02-2008 |
20080237762 | Method of Fabricating Back-Illuminated Imaging Sensors Using a Bump Bonding Technique - A method for fabricating a back-illuminated semiconductor imaging device on a semiconductor-on-insulator substrate, and resulting imaging device is disclosed. The method for manufacturing the imaging device includes the steps of providing a substrate comprising an insulator layer, and an epitaxial layer substantially overlying the insulator layer; fabricating at least one imaging component at least partially overlying and extending into the epitaxial layer; forming a plurality of bond pads substantially overlying the epitaxial layer; fabricating a dielectric layer substantially overlying the epitaxial layer and the at least one imaging component; providing a handle wafer; forming a plurality of conductive trenches in the handle wafer; forming a plurality of conductive bumps on a first surface of the handle wafer substantially underlying the conductive trenches; and bonding the plurality of conductive bumps to the plurality of bond pads. | 10-02-2008 |
20080237763 | ULTRAVIOLET DETECTING DEVICE AND MANUFACTURING METHOD THEREOF, AND ULTRAVIOLET QUANTITY MEASURING APPARATUS - The present invention provides an ultraviolet detecting device which comprises a silicon semiconductor layer having a thickness ranging from greater than or equal to 3 nm to less than or equal to 36 nm, which is formed over an insulating layer, lateral PN-junction type first and second photodiodes formed in the silicon semiconductor layer, an interlayer insulating film formed over the silicon semiconductor layer, a first filter layer made of silicon nitride, which is formed over the interlayer insulating film provided over the first photodiode and causes light lying in a wavelength range of an UV-B wave or higher to pass therethrough, and a second filter layer made of silicon nitride, which is formed over the interlayer insulating film provided over the second photodiode and allows light lying in a wavelength range of an UV-A wave or higher to pass therethrough. | 10-02-2008 |
20080237764 | SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING THE SAME - A semiconductor element comprises: a semiconductor substrate; and an amorphous metal oxide film as a first film deposited on the semiconductor substrate. By providing the amorphous metal oxide film as the first film, a recess with a large aspect ratio can be filled. As a result, a void/crack-free film of excellent quality can be formed. | 10-02-2008 |
20080237765 | IMAGE SENSOR WITH THE ABILITY TO DETECT ALL COLORS AT EACH PIXEL - An image sensor with the ability to detect a different light wavelength at each pixel, due to a change of physical characteristics of material under light with different wavelength illumination. | 10-02-2008 |
20080237766 | IMAGE SENSING DEVICES INCLUDING IMAGE SENSOR CHIPS, IMAGE SENSOR PACKAGE MODULES EMPLOYING THE IMAGE SENSING DEVICES, ELECTRONIC PRODUCTS EMPLOYING THE IMAGE SENSOR PACKAGE MODULES, AND METHODS OF FABRICATING THE SAME - An image sensor package includes an image sensor chip, a handling substrate mounted on a front side of the image sensor chip and a through electrode disposed on a backside of the image sensor chip. The through electrode extends into the image sensor chip. Moreover, the image sensor chip includes a semiconductor substrate having a pixel region and a peripheral circuit region, a photoelectric transformation section disposed in the semiconductor substrate of the pixel region and a dielectric layer disposed on a front surface of the semiconductor substrate. The dielectric layer has a step region so that a top surface of the dielectric layer in the pixel region is lower than that of the dielectric layer in the peripheral circuit region. The image sensor chip further includes a conductive pad disposed on the dielectric layer in the peripheral circuit region and is electrically connected to the through electrode. | 10-02-2008 |
20080246106 | Integrated circuits having photonic interconnect layers and methods for fabricating same - Various embodiments of the present invention are directed to integrated circuits having photonic interconnect layers and methods for fabricating the integrated circuits. In one embodiment of the present invention, an integrated circuit comprises an electronic device layer and one or more photonic interconnect layers. The electronic device layer includes one or more electronic devices, and the electronic device layer is attached to a surface of an intermediate layer. One of the photonic interconnect layers is attached to an opposing surface of the intermediate layer, and each of the photonic interconnect layers has at least one photonic device in communication with at least one of the electronic devices of the electronic device layer. | 10-09-2008 |
20080246107 | SOLID STATE IMAGING DEVICE AND FABRICATION METHOD OF SOLID STATE IMAGING DEVICE - A solid state imaging device comprises: photoelectric conversion portions on or above a substrate; and color filters on or above the respective photoelectric conversion portions. Each of the photoelectric conversion portions comprises: a lower electrode on or above the substrate; a photoelectric conversion film on or above the lower electrode; and an upper electrode on or above the photoelectric conversion film. The device further comprises: a first inorganic material film that protects each of the photoelectric conversion portions, is formed by a first method and is above the upper electrode and below the color filters; a second inorganic material film that prevents characteristic deterioration of the photoelectric conversion portion caused by the first method, is formed by a second method and is between the upper electrode and the first inorganic material film; and a polymeric material film that enhances a function of the first inorganic material film and is on or above the first inorganic material film. | 10-09-2008 |
20080251869 | PHOTOSENSITIVE CHIP PACKAGE - A photosensitive chip package includes a substrate on which a photosensitive chip having a photo-active zone and a photo-inactive zone surrounding the photo-active zone is bonded. A light-transmissive film covers the photo-active zone of the photosensitive chip. Bonding wires are electrically connected with the photosensitive chip and the substrate. An encapsulant covers the photo-inactive zone of the photosensitive chip, a border periphery of the light-transmissive film and the bonding wires. The encapsulant has an opening corresponding to the photo-active zone. By means of the light-transmissive film, the photo-active zone of the photosensitive chip is protected, thereby lowering the chance of accidental damage to the photosensitive chip by the tool used during formation of the encapsulant and/or during a cleaning work. | 10-16-2008 |
20080251870 | DETECTOR FOR DETECTING ELECTROMAGNETIC WAVES - A detector for detecting electromagnetic waves, the detector having an antenna for receiving the electromagnetic waves, a semiconductor element, wherein a termination section of the semiconductor element establishes a termination resistor of the antenna, wherein the termination section is provided for heating a temperature-sensitive part of the semiconductor element, wherein the semiconductor element comprises a temperature-dependent characteristic that is dependent from the temperature of the temperature sensitive part and a measurement unit for measuring the temperature-dependent characteristic of the semiconductor element. | 10-16-2008 |
20080251871 | Semiconductor fabrication method and system - Embodiments of the present invention are generally directed to a method for manufacturing a semiconductor device. In one embodiment, the method includes providing a substrate that includes a via or interconnect. In this embodiment, the method also includes forming a sealed array, in which forming such an array includes attaching a carrier to a first surface of the substrate to form a sealed cavity between the carrier and the substrate. Further, the method of this embodiment also includes forming a redistribution layer on the sealed array over a second surface of the substrate. Devices and systems having a carrier attached to a substrate are also disclosed. | 10-16-2008 |
20080251872 | IMAGE SENSOR PACKAGE, METHOD OF MANUFACTURING THE SAME, AND IMAGE SENSOR MODULE INCLUDING THE IMAGE SENSOR PACKAGE - An image sensor package, a method of manufacturing the same, and an image sensor module including the image sensor package are provided. In the image sensor package, an image sensor chip is installed onto a depression of a transmissive substrate. An adhesive bonds the image sensor chip to the transmissive substrate and seals an Active Pixel Sensor (APS) on the image sensor chip, protecting it from fine particle contamination. An IR cutting film is disposed on the transmissive substrate to minimize the height of the image sensor package. The image sensor package is electrically connected to external connection pads in the depression. Consequently, the image sensor package has a minimum height, is not susceptible to particle contamination, and does not require expensive alignment processes during manufacturing. | 10-16-2008 |
20080251873 | SOLID-STATE IMAGING DEVICE, MANUFACTORING METHOD THEREOF AND CAMERA - A solid-state imaging device which includes a color filter having excellent color reproduction, a manufacturing method thereof and a camera are provided. | 10-16-2008 |
20080251874 | Solid-state image capturing Device, method for the same, and electronic information device - A solid-state image capturing device according to the present invention is provided, in which a plurality of conductive films is formed via respective insulation films, and an optical waveguide is formed above a light receiving section, a plurality of light receiving sections is provided in a surface portion of a semiconductor substrate, and the plurality of conductive films is formed on a region other than a region right above the light receiving section, wherein a plural-layered optical waveguide tube is formed as the optical waveguide, with the same material as at least one of the plural-layered conductive films. | 10-16-2008 |
20080258248 | Image Sensor and Method for Manufacturing the Same - An image sensor and a method for manufacturing the same are provided. A photodiode region and transistor region are vertically-integrated to improve the fill factor and resolution of the image sensor. Unit pixels can be isolated by a metal isolation layer arranged between adjacent photodiode areas. | 10-23-2008 |
20080258249 | CMOS image sensor and method for fabricating the same - A CMOS image sensor and a method for fabricating the same improve photosensitivity by imparting a color filter layer with the function of a microlens layer. The CMOS image sensor includes a semiconductor substrate; a plurality of photo-sensing elements formed in the semiconductor substrate; and a color filter layer comprised of a plurality of color filters for filtering light according to wavelength, wherein the plurality of color filters correspond to the plurality of photo-sensing elements and each color filter has a predetermined curvature for focusing light and for transmitting the focused light according to a corresponding wavelength. | 10-23-2008 |
20080258250 | Solid-state image capturing device, method of manufacturing the same, and electronic information device - A solid-state image capturing device is provided, in which a multilayered wiring section having a plurality of wiring layers laminated via respective interlayer insulation films is provided on a semiconductor substrate or a semiconductor region formed on the substrate where a plurality of light receiving sections for photoelectrically converting a subject light are arranged in matrix in a pixel section; and the interlayer insulation films in a pixel section are evenly engraved, so that the pixel section of the substrate is thinner than a peripheral circuit section; and a plurality of light receiving sections and respective microlenses facing with each other are arranged on the bottom surface of the engraved portion of the interlayer insulation film. | 10-23-2008 |
20080265348 | Method of Manufacturing an Image Sensor and Image Sensor - A method of manufacturing a back-side ( | 10-30-2008 |
20080265349 | Solid-State Image Sensor - An object of the present invention is to provide a solid-state image sensor including a filter membrane that has excellent light resistance and can be thinned. A solid-state image sensor | 10-30-2008 |
20080265350 | IMAGE CAPTURING DEVICE - An image capturing device includes an image sensor package and a lens module aligned with the image sensor package. The image sensor package includes a substrate, at least one passive component, an insulative layer, and an image sensor. The substrate has a surface facing an object side of the image capturing device, the surface defines a cavity therein. The at least one passive component is disposed within the cavity and electrically connected to the substrate. The insulative layer is received in the cavity and encases the at least one passive component. The image sensor is disposed on the insulative layer and electrically connected to the substrate. The holder has an end connecting with the barrel and an opposite end secured on the substrate. | 10-30-2008 |
20080265351 | Semiconductor device and method of fabricating the same - In fabrication of a semiconductor device mounted on a wiring board, a semiconductor circuit portion is formed over a glass substrate. Then, an interposer having connection terminals are bonded to the semiconductor circuit portion. After that, the glass substrate is peeled off from the semiconductor circuit portion, and a mold resin is poured to cover the periphery of the semiconductor circuit portion from a direction of the separation plane. Then, the mold resin is heated under predetermined conditions to be hardened. | 10-30-2008 |
20080265352 | Solid-state image capturing apparatus, method for manufacturing the same, and electronic information device - An image capturing apparatus has a plurality of solid-state image capturing devices each having light receiving sections laminated in a depth direction of a semiconductor substrate. The devices are sequentially arranged in a direction along a substrate surface. Incident light waves having wavelength bands corresponding to depths of respective light receiving sections are detected there and generate signal charges. Bands are associated with light receiving sections by the wavelength dependence of the optical absorption. Trench sections each reach from a light incident surface or an opposite substrate surface to respective light receiving sections that do not overlap each other in a plane view. Electric charge transfer sections transfer electric charges independently from the light receiving sections via side wall portions of their respective trenches to the light incident surface side or the opposite substrate surface side at the time of driving readout gate electrodes at each trench section. | 10-30-2008 |
20080265353 | Solid-state imaging device and method for fabricating the same related application data - A solid-state imaging device having a plurality of light-receiving sections which are disposed in a substrate and which generate charge in response to incident light, a planarizing layer which covers predetermined elements disposed on the substrate to perform planarization, a plurality of signal lines disposed above the planarizing layer and a waveguide which guides incident light to each of the light-receiving sections, the waveguide passing through the space between the plurality of signal lines. | 10-30-2008 |
20080265354 | Image sensor - An image sensor, in which, a planarized layer is formed on a semiconductor substrate including a pixel array region, an optical black region, and a logic region to cover a photo sensing unit array in the pixel array region, a patterned metal layer is formed on the planarized layer corresponding to the pixel array region and the logic region, but not the optical black region. An optical black layer is formed in the optical black region after a passivation layer is formed and before a color filter array is formed at a temperature less than about 400° C., and preferably contains metal material. | 10-30-2008 |
20080272449 | Solid-state image pickup device, solid-state image pickup device manufacturing method and camera - A solid-state image pickup device | 11-06-2008 |
20080272450 | PORTABLE OPTICAL DETECTION CHIP AND MANUFACTURING METHOD THEREOF - A portable optical detection chip comprises a substrate, a plurality of avalanche-type photosensitive device modules and a plurality of plane mirrors. The plurality of avalanche-type photosensitive device modules are formed on the substrate, and each of them comprises a plurality of avalanche-type photosensitive devices and a plurality of lenses. Each of the lenses is stacked on one of the avalanche-type photosensitive devices. The plurality of plane mirrors are disposed between the avalanche-type photosensitive device modules. That is, the avalanche-type photosensitive device modules are separated from each other by the plane mirrors. | 11-06-2008 |
20080272451 | Image Sensor and Method of Manufacturing The Same - An image sensor and method of manufacturing the same are provided. The image sensor can include a semiconductor substrate having unit pixels; an interlayer dielectric layer formed on the semiconductor substrate and including metal interconnections; a first protective layer comprising an oxide layer formed on the interlayer dielectric layer; a second protective layer comprising an oxide-nitride layer formed on the first protective layer; and a microlens formed on the second protective layer. | 11-06-2008 |
20080272452 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor that includes a hard mask layer formed in the upper surface region of the planarization layer and under a microlens to protect an underlying planarization layer from chemicals used during performing a cleaning process after formation of the microlens. The microlens is composed of inorganic materials to prevent cracking by physical impacts. | 11-06-2008 |
20080272453 | OPTICAL DEVICE COOLING APPARATUS AND METHOD - An optical device cooling apparatus includes an image sensor array and a MEMS fan. The MEMS fan is formed integrally with the image sensor array, and cools the image sensor array. | 11-06-2008 |
20080277749 | Light-Sensitive Component with Increased Blue Sensitivity, Method for the Production Thereof, and Operating Method - A light-sensitive component which has a semiconductor junction between a thin relatively highly doped epitaxial layer and a relatively lightly doped semiconductor substrate. Outside a light incidence window, an insulating layer is arranged between epitaxial layer and semiconductor substrate. In this case, the thickness of the epitaxial layer is less than 50 nm, with the result that a large proportion of the light quanta incident in the light incidence window can be absorbed in the lightly doped semiconductor substrate. | 11-13-2008 |
20080277750 | Layout Method for Mask, Semiconductor Device and Method for Manufacturing the Same - A mask layout method, semiconductor device and method for fabricating the same using a mask created according to the subject mask layout method are provided. The semiconductor device can include a microlens main pattern on a substrate and a microlens dummy pattern at a side of the microlens main pattern. The microlens dummy pattern can be formed in plurality using a mask created by the subject mask layout method. According to an embodiment of the subject mask layout method, a microlens dummy pattern can be created by forming a base dummy pattern and removing edge areas from the base dummy pattern. The microlens dummy pattern can be created to have a substantially circular shape. In one embodiment, the substantially circular shape can be an octagon. | 11-13-2008 |
20080277751 | Method of fabricating CMOS image sensor - A method of fabricating a CMOS image sensor is provided, in which a trapezoidal microlens pattern profile is formed to facilitate reflowing the microlens pattern and by which a curvature of the microlens may be enhanced to raise its light-condensing efficiency. The method includes forming a plurality of photodiodes on a semiconductor substrate; forming an insulating interlayer on the semiconductor substrate including the photodiodes; forming a protective layer on the insulating interlayer; forming a plurality of color filters corresponding to the photodiodes; forming a top coating layer on the color filters; forming a microlens pattern on the top coating layer; and forming a plurality of microlenses by reflowing the microlens pattern. | 11-13-2008 |
20080283948 | SEMICONDUCTOR DEVICE HAVING IMAGE SENSOR - A pixel area for generating an image signal corresponding to incident light is formed on a semiconductor substrate. A light-shielding layer is formed on the semiconductor substrate around the pixel area. The light-shielding layer has a slit near the pixel area and shields the incident light. A passivation film is formed in the pixel area, on the light-shielding layer, and in the slit. A coating layer is formed in the slit of the light-shielding layer and on the passivation film in the pixel area. Microlenses are formed on the coating layer in the pixel area. | 11-20-2008 |
20080283949 | Image Sensor and Method for Manufacturing the Same - An image sensor and a method for manufacturing the same are provided. The image sensor comprises a pixel region defined on a substrate, an interlayer dielectric on the substrate and comprising a trench above the pixel region, a color filter within the trench, and a microlens on the color filter. | 11-20-2008 |
20080283950 | Image Sensor and Method of Manufacturing the Same - An image sensor and method for manufacturing the same are provided. The image sensor can include a semiconductor substrate, a metal interconnection layer, a light-receiving unit, a lens-type upper electrode, and a color filter. The semiconductor substrate can include a circuit region. The metal interconnection layer can include a metal interconnection and an interlayer dielectric. The light-receiving unit can be a photodiode disposed on the metal interconnection layer. The lens-type upper electrode can be disposed on the light-receiving unit and formed in a convex lens shape. The color filter can be disposed on the lens-type upper electrode. | 11-20-2008 |
20080290435 | WAFER LEVEL LENS ARRAYS FOR IMAGE SENSOR PACKAGES AND THE LIKE, IMAGE SENSOR PACKAGES, AND RELATED METHODS - Image sensor packages, lenses therefore, and methods for fabrication are disclosed. A substrate having through-hole vias may be provided, and an array of lenses may be formed in the vias. The lenses may be formed by molding or by tenting material over the vias. An array of lenses may provide a color filter array (CFA). Filters of the CFA may be formed in the vias, and lenses may be formed in or over the vias on either side of the filters. A substrate may include an array of microlenses, and each microlens of the array may correspond to a pixel of an associated image sensor. In other embodiments, each lens of the array may correspond to an imager array of an image sensor. A wafer having an array of lenses may be aligned with and attached to an imager wafer comprising a plurality of image sensor dice, then singulated to form a plurality of image sensor packages. | 11-27-2008 |
20080290436 | Photon guiding structure and method of forming the same - A photon guiding structure for reducing optical crosstalk in an image sensor and method of forming the same. The method includes forming a trench within an interlayer dielectric region formed over a photo-conversion device. The trench is formed such that it is vertically aligned with and has a horizontal cross-sectional shape similar to that of the photo-conversion device. A material is formed within the trench and a dielectric is formed over the material. The lined trench causes photons to strike the proper photo-conversion device and, as such, reduces the chance that photons will impinge upon neighboring photo-conversion devices. | 11-27-2008 |
20080290437 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor that includes a contact plug formed in the substrate; a lower electrode formed on the contact plug; a photo diode formed on the lower electrode, the photo diode having a carbon nanotube provided therein; and an upper electrode formed on the photo diode. The photo diode can function as a color photo diode | 11-27-2008 |
20080296713 | Image Sensor with Color Filters and Method of Manufacturing the Same - An image sensor with color filters capable of minimizing a distance through which incident light reaches photodiodes and flattening the color filters by minimizing step heights among color filters, and a method of manufacturing the same are provided. In the image sensor with the color filters, a metal is doped into an interlayer insulating SiO | 12-04-2008 |
20080296714 | Wafer level package of image sensor and method for manufacturing the same - Provided is a wafer level package of an image sensor capable of simply and easily packaging an image sensor in a packaging process, and a method for manufacturing the same. The wafer level package of an image sensor includes a lower substrate including an image sensor, a conductive pattern coupled to the image sensor, and a plurality of vias coupled to the conductive pattern; a micro lens array film having a plurality of micro lenses corresponding to the image sensor, the micro lenses being formed on the lower substrate; and a sealing line surrounding the image sensor while being spaced apart from the image sensor and being in contact with an upper substrate. The wafer level package may be useful to have an electrical connection structure using vias without any need to a bonding wire, an electrode pad and an electrode lead in the conventional wafer level package since a packaging process is carried out by bonding a wafer for an upper substrate with a plurality of the vias being provided in a wafer for a lower substrate | 12-04-2008 |
20080296715 | Semiconductor device and optical device module having the same - In a solid-state imaging device | 12-04-2008 |
20080303106 | IMAGE SENSOR PACKAGE AND PACKAGING METHOD FOR THE SAME - An image sensor package includes a substrate, a sensor chip, a frame, a lens element and at least a pair of guide pins. The sensor chip is mounted on the substrate, and has two opposite sides and a sensing region, which has a sensing region central axis. The frame is mounted on the substrate, and has an aperture and an inner space with the sensor chip disposed therein. The lens element is disposed inside the aperture and has a lens central axis. The guide pins locate oppositely inside the inner space of the frame with an interval between the tips of the guide pins substantially identical to the distance between the opposite sides of the sensor chip, wherein the central line of the interval between the tips of the guide pins defines a positioning line, which substantially coincides with the lens central axis; wherein the tip of each guide pin is aligned with one of the opposite sides of the sensor chip such that the positioning line is substantially coincided with the sensing region central axis. | 12-11-2008 |
20080303107 | OPTICAL DEVICE AND METHOD FOR FABRICATING THE SAME, CAMERA MODULE USING OPTICAL DEVICE, AND ELECTRONIC EQUIPMENT MOUNTING CAMERA MODULE - An optical device includes a light receiving element chip having: an active region formed on a principal plane of a substrate and made by arranging a plurality of light receiving pixels; a circuit region disposed around an outer circumference of the active region; a penetrating conductor provided to penetrate the substrate in the thickness direction of the substrate; and an external connection terminal provided on a back surface of the substrate facing the principal plane thereof and connected to the penetrating conductor. The optical device further includes a microlens, a planarization film, and a transparent protective film formed on the planarization film. | 12-11-2008 |
20080303108 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD FOR THE SAME - Photoelectric converters are arranged two-dimensionally in a semiconductor substrate. A planarizing layer, a light shielding film, a further planarizing layer and condenser lenses are formed sequentially on the semiconductor substrate and the photoelectric converters. The light shielding film has apertures at positions corresponding to the photoelectric conversion devices. Multilayer interference filters that transmit either a red, green or blue wavelength component of light are disposed in the apertures. | 12-11-2008 |
20080308888 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THEREOF - An image sensor includes a semiconductor substrate having a pixel region and a peripheral circuit region. An interlayer dielectric layer has metal wirings and a pad formed over the semiconductor substrate. A lower electrode is selectively formed over the metal wirings. A photo diode is formed over the interlayer dielectric layer of the pixel region. An upper electrode formed over the photo diode. Therefore, a vertical integration of the transistor and the photodiode may approach a fill factor to 100%, and provide higher sensitivity, implement more complicated circuitry without reducing sensitivity in each unit pixel, improve the reliability of the image sensor by preventing crosstalk, etc., between the pixels, and improve light sensitivity by increasing the surface area of the photo diode in the unit pixel. | 12-18-2008 |
20080315339 | Solid-state imaging device - A solid-state imaging device includes a light-receiving portion, an optical filter layer, and quantum dots. The light receiving portion, where a photoelectric conversion is carried out, is formed in a semiconductor substrate. The optical filter layer is directly formed on or formed through another layer on the surface of the semiconductor substrate in which the light-receiving portion is formed. Quantum dots having substantially equal diameters are formed in the optical filter layer. The quantum dots have higher refractive indexes than the refractive index of the optical filter layer in which the quantum dots are embedded. | 12-25-2008 |
20080315340 | SOLID-STATE IMAGING DEVICE AND METHOD OF FABRICATING THE SAME - A solid-state imaging device includes a layer including an on-chip lens above a sensor section, and the layer including the on-chip lens is composed of an inorganic film which transmits ultraviolet light. The layer including the on-chip lens may further include a planarizing film located below the on-chip lens. A method of fabricating a solid-state imaging device includes the steps of forming a planarizing film composed of a first inorganic film, forming a second inorganic film on the planarizing film, forming a lens-shaped resist layer on the second inorganic film, and etching back the resist layer to form an on-chip lens composed of the second inorganic film. The first inorganic film constituting the planarizing film and the second inorganic film constituting the on-chip lens preferably transmit ultraviolet light. | 12-25-2008 |
20080315341 | Image Sensor and Method for Manufacturing the Same - An image sensor and a method for manufacturing the same are disclosed. The image sensor can include a passivation layer on a substrate having a pad area and a pixel area, a color filter layer on the passivation layer over the pixel area, a first low temperature oxide layer on the substrate including the color filter layer, and a low temperature oxide layer microlens on the first low temperature oxide layer. The low temperature oxide layer microlens can include a seed microlens and a second low temperature oxide layer on the seed microlens. The seed microlens can be formed from the first low temperature oxide layer. | 12-25-2008 |
20090001490 | Optoelectronic Component that Emits Electromagnetic Radiation and Illumination Module - An optoelectronic component emitting electromagnetic radiation, comprising a housing body which has a cavity, the cavity being fashioned trenchlike and in the cavity a plurality of semiconductor chips being arranged in a linear arrangement. Two neighboring semiconductor chips have a distance from one another which is less than or equal to one-and-a-half lateral edge lengths of the semiconductor chips and greater than or equal to 0 μm. In addition, an illumination module comprising such a component is disclosed. | 01-01-2009 |
20090001491 | Method for producing a microchip that is able to detect infrared light with a semiconductor at room temperature - The inventions relate to a method for producing a microchip that is able to detect infrared light with a semiconductor, the basic infrared light is absorber by the semiconductor surrounded, attached or embedded in a polymer which is brought in a thin layer on the surface of the semiconductor and which is grown by polymer around the semiconductor in an acid fluid. | 01-01-2009 |
20090001492 | Image Sensor and Method for Manufacturing the Same - An image sensor and a method for manufacturing the same are provided. The image sensor can comprise a substrate, a metal pad, and a sulfur layer. The substrate can include a pixel region and a pad region. The metal pad can be formed of a material containing sulfur and can be diposed in the pad region of the substrate. The sulfur layer can be formed from the sulfur of the metal pad and provided on a top surface of the metal pad. | 01-01-2009 |
20090001493 | ELECTRONIC IMAGING DEVICE - An electronic imaging device includes a base layer containing electrical functional circuitry, the base layer having a first side for interconnection of the circuitry and a second side which serves as a photo-detection side. The second side has exposed photosensitive electrical elements arranged in the base layer. Spacers of a predetermined height are provided adjacent to said second side. The spacers can advantageously be used for gaining control over the tolerance of a desired distance between a lens of a lens system and said photo-detection side. Thus, individual focusing of the lens system of each imager device after completion of production is no longer needed. Moreover, an air gap that improves the performance of the micro-lenses may be formed. | 01-01-2009 |
20090001494 | Backside illuminated image sensor - A backside illuminated image sensor includes a photodiode, formed below the top surface of a semiconductor substrate, for receiving light illuminated from the backside of the semiconductor substrate to generate photoelectric charges, a reflecting gate, formed on the photodiode over the front upper surface of the semiconductor substrate, for reflecting light illuminated from the backside of the substrate and receiving a bias to control a depletion region of the photodiode, and a transfer gate for transferring photoelectric charges from the photodiode to a sensing node of a pixel. | 01-01-2009 |
20090008729 | IMAGE SENSOR PACKAGE UTILIZING A REMOVABLE PROTECTION FILM AND METHOD OF MAKING THE SAME - The present invention discloses a structure of image sensor package utilizing a removable protection film. The structure comprises a substrate with a die receiving cavity and inter-connecting through holes. Terminal pads are formed under the inter-connecting through holes and metal pads are formed on an upper surface of the substrate. A die is disposed within the die receiving cavity by an adhesion material. Bonding pads are formed on the upper edge of the die. Bonding wires are coupled to the metal pads and the bonding pads. A protection layer is formed on the micro lens area to protect the micro lens from particle contamination. A removable protection film is formed over the protection layer to protect the micro lens from water, oil, dust or temporary impact during the packaging and assembling process. | 01-08-2009 |
20090008730 | Integrated optical filter - The disclosure relates to an integrated circuit comprising at least one photosensitive cell. The cell includes a photosensitive element, an input face associated with the said photosensitive element, an optical filter situated in at least one optical path leading to the photosensitive element and an interconnection part situated between the photosensitive element and the input face. The optical filter is disposed between the photosensitive element and the surface of the interconnection part closest to the input face. In particular, the optical filter can be disposed within the interconnection part. The disclosure also proposes that the filter be formed using a glass comprising cerium sulphide or at least one metal oxide. | 01-08-2009 |
20090008731 | Image Sensor and Method for Manufacturing the Same - An image sensor and method of manufacturing the same are provided. The image sensor can include a photodiode on a substrate, an interlayer insulation layer on the photodiode, and a color filter layer on the interlayer insulation layer. The color filter layer can include a nonsensitive color resin. | 01-08-2009 |
20090014822 | MICROELECTRONIC IMAGERS AND METHODS FOR MANUFACTURING SUCH MICROELECTRONIC IMAGERS - Microelectronic imagers and methods of manufacturing such microelectronic imagers are disclosed. In one embodiment, a method for manufacturing a microelectronic imager can include irradiating selected portions of an imager housing unit. The housing unit includes a body having lead-in surfaces and a support surface that define a recess sized to receive a microelectronic die. The method also includes depositing a conductive material onto the irradiated portions of the housing unit and forming electrically conductive traces. The method further includes coupling a plurality of terminals at a front side of a microelectronic die to corresponding electrically conductive traces in the recess in a flip-chip configuration. The microelectronic die includes an image sensor aligned with at least a portion of an optical element carried by the housing unit and at least partially aligned with the recess. The method can then include depositing an encapsulant into the recess and over at least a portion of the microelectronic die. | 01-15-2009 |
20090014823 | SOLID STATE IMAGING DEVICE IN WHICH A PLURALITY OF IMAGING PIXELS ARE ARRANGED TWO-DIMENSIONALLY, AND A MANUFACTURING METHOD FOR THE SOLID STATE IMAGING DEVICE - A solid state imaging device includes a plurality of imaging pixels that are arranged two-dimensionally along a main face of a semiconductor substrate. Each imaging pixel in the solid state imaging device includes a photodiode that performs photoelectric conversion and a color filter that is disposed higher in the Z axis direction than the photodiode. Also, light blocking portions have been formed between pairs of adjacent imaging pixels, on the main face of the semiconductor substrate to a height in a thickness direction (Z axis direction) of the semiconductor substrate that is substantially equal to or higher than top edges of the optical filters. Each light blocking portion is constituted from a combination of a light blocking film and a light blocking wall. | 01-15-2009 |
20090014824 | SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING THE SAME, AND CAMERA HAVING THE DEVICE - Provided is a solid-state imaging device that realizes sensitivity improvement while maintaining flare prevention effect even when miniaturization of cell is advanced. The solid-state imaging device according to the present invention includes: light receiving units formed on a semiconductor substrate; an antireflection film arranged above the semiconductor substrate, except above the light receiving units; and microlenses arranged above the light receiving units, in which the antireflection film is formed at a position equal to or higher than a position of the microlenses. | 01-15-2009 |
20090020838 | APPARATUS AND METHOD FOR REDUCING OPTICAL CROSS-TALK IN IMAGE SENSORS - An image sensor device includes a semiconductor substrate having a front surface and a back surface; an array of pixels formed on the front surface of the semiconductor substrate, each pixel being adapted for sensing light radiation; an array of color filters formed over the plurality of pixels, each color filter being adapted for allowing a wavelength of light radiation to reach at least one of the plurality of pixels; and an array of micro-lens formed over the array of color filters, each micro-lens being adapted for directing light radiation to at least one of the color filters in the array. The array of color filters includes structure adapted for blocking light radiation that is traveling towards a region between adjacent micro-lens. | 01-22-2009 |
20090020839 | SEMICONDUCTOR LIGHT RECEIVING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light receiving device includes a light receiving section made of a semiconductor provided on a substrate, an electrode provided on the substrate and configured to apply an electric field to the light receiving section, a resin layer provided above the substrate, the resin layer having an inverted conical opening, the inverted conical opening being located above the light receiving section and having an opening diameter which is smaller than the light receiving section in the vicinity of the light receiving section, is continuously enlarged with the distance from the substrate, and is larger than the light receiving section at a surface of the resin layer, and a light reflecting film made of metal and provided on a bevel of the inverted conical opening, the light reflecting film being electrically isolated from the electrode by a gap formed between the light reflecting film and the electrode. At least a portion of the resin layer located in the gap has a light blocking property. | 01-22-2009 |
20090020840 | SOLID-STATE IMAGING DEVICE, SOLID-STATE IMAGING APPARATUS AND MANUFACTURING METHOD THEREOF - A solid-state imaging apparatus includes a plurality of unit pixels with associated microlenses arranged in a two-dimensional array. Each microlens includes a distributed index lens with a modulated effective refractive index distribution obtained by including a combination of a plurality of patterns having a concentric structure, the plurality of patterns being divided into line widths equal to or shorter than a wavelength of an incident light. At least one of the plurality of patterns includes a lower light-transmitting film having the concentric structure and a first line width and a first film thickness, and an upper light-transmitting film having the concentric structure configured on the lower light-transmitting film having a second line width and a second film thickness. The distributed index lens has a structure in which a refractive index material is dense at a center and becomes sparse gradually toward an outer side in the concentric structure. | 01-22-2009 |
20090026562 | Package structure for optoelectronic device - A package structure for an optoelectronic device. The package structure comprises a device chip interposed between a lower transparent substrate and an upper transparent substrate. The device chip comprises a semiconductor substrate comprising a device region surrounded by a pad region, in which the pad region comprises a plurality of notches along the edges of the semiconductor substrate. A dielectric layer is between the semiconductor substrate and the upper transparent substrate, comprising a plurality of pads formed therein and substantially aligned with the plurality of notches, respectively. A plurality of metal lines is disposed under a bottom surface of the lower transparent substrate. A plurality of solder balls disposed under the plurality of metal lines, respectively. | 01-29-2009 |
20090026563 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes a first wiring layer, a second wiring layer, a substrate contact, and a first contact. The arrangement of the substrate contact with respect to a light-receiving section forming a peripheral pixel is shifted, or not shifted, from the arrangement of the substrate contact with respect to a light-receiving section forming a central pixel, by a shift amount r from the peripheral portion toward the central portion. The arrangement of the first contact with respect to the light-receiving section of the peripheral pixel is shifted from the arrangement of the first contact with respect to the light-receiving section of the central pixel, by a shift amount s | 01-29-2009 |
20090026564 | SEMICONDUCTOR COMPONENT, LIGHTING UNIT FOR MATRIX SCREENS, AND METHOD FOR MANUFACTURING A SEMICONDUCTOR COMPONENT - A semiconductor component, lighting unit for matrix screens, and method for manufacturing a semiconductor component is provided. The semiconductor component includes an integrated circuit, which has at least one light detector provided with a silicon-containing coating, particularly a coating of silicon nitride or silicon dioxide. A layer thickness of the silicon-containing coating, particularly the coating of silicon nitride or silicon dioxide, is selected in such a way that a predefinable, narrow-band, wavelength-selective transmission of light waves, particularly of light waves in a wavelength range from 300 nm to 850 nm, can be achieved. | 01-29-2009 |
20090026565 | Optical Module - The present invention includes: photoelectric conversion element | 01-29-2009 |
20090032893 | Image sensor package and fabrication method thereof - An image sensor package and method for fabricating the same is provided. The image sensor package includes a first substrate comprising a via hole therein, a driving circuit and a first conductive pad thereon. A second substrate comprising a photosensitive device and a second conductive pad thereon is bonded to the first substrate, so that the driving circuit, formed on the first substrate, can electrically connect to and further control the photosensitive device, formed on the second substrate. A solder ball is formed on a backside of the first substrate and electrically connects to the via hole for transmitting a signal from the driving circuit. Because the photosensitive device and the driving circuit are fabricated individually on the different substrates, fabrication and design thereof is more flexible. Moreover, the image sensor package is relatively less thick, thus, the dimensions thereof are reduced. | 02-05-2009 |
20090032894 | Flip-Chip Photodiode - A photodiode is provided according to various embodiments. In some embodiments, the photodiode includes a substrate and an active region. The active region is configured to receive light through the substrate. In such a configuration, the substrate not only participates in the photodiode operation acts as a light filter depending on the substrate material. In some embodiments, the active region may include solder balls that may be used to couple the photodiode to a printed circuit board. In some embodiments, the active region is coupled face-to-face with the printed circuit board. | 02-05-2009 |
20090032895 | Image Sensor and Method for Manufacturing the Same - An image sensor and a method for manufacturing the same are provided. The image sensor comprises at least one unit pixel, an interlayer dielectric, a color filter, a planarization layer, and a microlens. The microlens has a smooth surface after performing a plasma treatment process. | 02-05-2009 |
20090039452 | EMBEDDED BONDING PAD FOR IMAGE SENSORS - A semiconductor device includes a semiconductor substrate having a front surface and a back surface, elements formed on the substrate, interconnect metal layers formed over the front surface of the substrate, including a topmost interconnect metal layer, an inter-metal dielectric for insulating each of the plurality of interconnect metal layers, and a bonding pad disposed within the inter-metal dielectric, the bonding pad in contact with one of the interconnect metal layers other than the topmost interconnect metal layer. | 02-12-2009 |
20090039453 | SEMICONDUCTOR LIGHT RECEIVING DEVICE - The present invention provides a semiconductor light receiving device that prevents local heat generation, has high-speed, high-sensitivity characteristics even at the time of an intensive light input, and exhibits high resistance to light inputs. The semiconductor light receiving device includes light absorption layers ( | 02-12-2009 |
20090039454 | SOLID-STATE IMAGE PICKUP DEVICE AND FABRICATION METHOD THEREOF - Provided is a solid-state image pickup device capable of suppressing deterioration of characteristic caused due to an antireflection film itself absorbing a light. In the solid-state image pickup device of the present invention, a plurality of color filters | 02-12-2009 |
20090045476 | IMAGE SENSOR PACKAGE AND METHOD FOR FORMING THE SAME - An image sensor package is provided including a substrate; a sensor chip; a plurality of bond wires for connecting the sensor chip to the substrate at predetermined locations; a sensor housing on the substrate for substantially encompassing the sensor chip, the sensor housing having a through-hole cavity defining an optical glass (IR filter) seat, the sensor housing defining an upper surface and an edge surface thereof; an optical glass (IR filter) on the optical glass (IR filter) seat; an encapsulation material for substantially encapsulating the upper surface and edge surface of the sensor housing, a corresponding surface of the substrate adjacent the edge surface of the sensor housing, and the side edge of the optical glass (IR filter); wherein the sensor housing is provided with a gas-exit allowing possible high temperature gas to exit; the encapsulation material forms an upper surface which is substantially aligned with a top surface of the optical glass (IR filter); the encapsulation material forms an upper surface which is lower than a top surface of the optical glass (IR filter); the sensor housing defines a profile shape, the profile shape has at least a step-wise configuration for facilitating and accommodating flowing of the encapsulation material; the sensor housing has a bottom surface adhered to the substrate by an adhesive; and a slot is provided on the bottom surface of the sensor housing for accommodating the adhesive. | 02-19-2009 |
20090045477 | Solid-State Imager Having Anti-Reflection Film, Display, and Its Manufacturing Method - Solid-state image sensors are disclosed that include an optical unit which separates incident light into a plurality of color elements, an optical receiving unit which converts each of the color elements separated by the optical unit to an electrical signal and an anti-reflection film having a high-refractive-index layer with a refractive index of 1.7 or higher and a low-refractive-index layer with a refractive index of less than 1.7. The anti-reflection film is between the optical unit for each of color elements and the optical receiving unit, on a semiconductor substrate. Each of the high-refractive-index layer and the low-refractive-index layer corresponds to at least one color element of the plurality of color elements and includes two or more layers. With such sensors it is possible to suppress the variation in sensitivity for each color. | 02-19-2009 |
20090050993 | PHOTOELECTRIC CONVERSION DEVICE AND MULTI-CHIP IMAGE SENSOR - A pixel space is narrowed without increasing PN junction capacitance. A photoelectric conversion device includes a plurality of pixels arranged therein, each including a first impurity region of a first conductivity type forming a photoelectric conversion region, a second impurity region of a second conductivity type forming a signal acquisition region arranged in the first impurity region, a third impurity region of the first conductivity type and a fourth impurity region of the first conductivity type are arranged in a periphery of each pixel for isolating the each pixel, the fourth impurity region is disposed between adjacent pixels, and an impurity concentration of the fourth impurity region is smaller than an impurity concentration of the third impurity region. | 02-26-2009 |
20090050994 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE WITH ELECTRODE FOR EXTERNAL CONNECTION AND SEMICONDUCTOR DEVICE OBTAINED BY MEANS OF SAID METHOD - A circuit element is disposed on an organic substrate and is connected to a wiring pattern provided on the organic substrate. Internal connection electrodes are formed on a support of a conductive material through electrofomiing such that the internal connection electrodes are integrally connected to the support. First ends of the internal connection electrodes integrally connected by the support are connected to the wiring pattern. After the circuit element is resin-sealed, the support is removed so as to separate the internal connection electrodes from one another. Second ends of the internal connection electrodes are used as external connection electrodes on the front face, and external connection electrodes on the back face are connected to the wiring pattern. | 02-26-2009 |
20090057796 | PHOTODIODE BEING MONOLITHICALLY INTEGRATED ONTO A WAVEGUIDE - A waveguide-integrated photodiode for high bandwidths with a semi-insulating monomode supply waveguide monolithically integrated on a substrate, together with an overlying photodiode mesa structure having an electroconducting n-contact layer, an absorption layer, a p+-contact layer and a metallic p-contact, the refraction index of the n-contact layer being greater than the refraction index of the semi-insulating waveguide layer. Lengthening the n-contact layer by a predetermined length L in the direction of the supply waveguide in relation to the overlying layers correspondingly increases at least one factor of the product of quantum efficiency and bandwidth. | 03-05-2009 |
20090057797 | Image Sensor and a Method for Manufacturing the Same - An image sensor and manufacturing method thereof are provided. An insulating layer having a wiring can be provided on a semiconductor substrate. A barrier wiring can be provided in the insulating layer between the wiring of a unit pixel and an adjacent wiring of an adjacent pixel. A device isolating pattern can be provided on the barrier wiring, and a lower electrode can be provided on the insulating layer and the wiring. A photodiode can be provided on the lower electrode, and an upper electrode can be provided on the photodiode. | 03-05-2009 |
20090057798 | Method of producing semiconductor device, solid-state imaging device, method of producing electric apparatus, and electric apparatus - There is provided a method of producing a semiconductor device. The method includes the steps of: forming a first hard mask having an opening above a substrate; forming a sacrificial film above a side surface of the opening of the first hard mask; forming a second hard mask in the opening having the sacrificial film above the side surface; removing the sacrificial film after the second hard mask is formed; ion implanting a first conductivity-type impurity through the first hard mask; and ion implanting a second conductivity-type impurity through the first and second hard masks. | 03-05-2009 |
20090079019 | Solid-state image capturing device, solid-state image capturing apparatus, and electronic information device - A solid-state image capturing device is provided. In the solid-state image capturing device, at least any of openings of electrode wiring layers, color filters and microlenses are provided on a light incident side above light receiving elements as a light receiving region in which the plurality of light receiving elements are disposed on a semiconductor substrate or a semiconductor region provided on a substrate, wherein a shift amount of at least any of the openings of the electrode wiring layers, the color filters and the microlenses in relation to the light receiving elements or in relation to a standard position where a light flux is desired to pass through is calculated by Snell's law based on an incident angle θ | 03-26-2009 |
20090079020 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device includes a semiconductor substrate having a first surface in which a light-receiving portion and electrodes are provided. The semiconductor substrate has a penetrating wiring layer connecting the first surface and the second surface. A light-transmissive protective member is disposed on the semiconductor substrate so as to cover the first surface. A gap is provided between the semiconductor substrate and the light-transmissive protective member. A protective film is formed at a surface of the light-transmissive protective member. The protective film has an opening provided at a region corresponding to the light-receiving portion. | 03-26-2009 |
20090085134 | Wafer-level image sensor module, method of manufacturing the same, and camera module - Provided is a wafer-level image sensor module including a wafer; an image sensor mounted on the wafer; a transparent member installed above the top surface of the wafer so as to seal the image sensor; a plurality of vias formed in the wafer so as to be positioned outside the transparent member; a plurality of upper pads formed on the upper ends of the respective vias; an encapsulation portion formed on the top surface of the wafer so as to be positioned outside the transparent member; and a plurality of external connection members that are electrically connected to the lower ends of the respective vias. | 04-02-2009 |
20090085135 | Image Sensor and Manufacturing Method Thereof - Provided are embodiments of an image sensor. The image sensor can comprise a first substrate including a transistor circuit, a lower interconnection layer, an upper interconnection layer, and a second substrate including a vertical stacked photodiode. The lower interconnection layer is disposed on the first substrate and comprises a lower interconnection connected to the transistor circuit. The upper interconnection layer is disposed on the lower interconnection layer and comprises an upper interconnection connected with the lower interconnection. The vertical stacked photodiode can be disposed on the upper interconnection layer and connected with the upper interconnection through, for example, a single plug connecting a blue, green, and red photodiode of the vertical stack or a corresponding plug for each of the blue, green, and red photodiode of the vertical stack. | 04-02-2009 |
20090085136 | Image sensor and method for manufacturing the same - An image sensor and method of manufacturing the same are provided. The image sensor can comprise a photodiode region an interlayer dielectric, and a microlens. The interlayer dielectric can have a trench over the photodiode region, and the microlens can be disposed in the trench such that the microlens fills the trench. | 04-02-2009 |
20090085137 | SOLID-STATE IMAGING DEVICE - In a solid-state imaging device of the present invention, light-sensitive elements | 04-02-2009 |
20090090988 | SOLID STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND IMAGING APPARATUS - A solid state imaging device includes: a plurality of sensor sections formed in a semiconductor substrate in order to convert incident light into an electric signal; a peripheral circuit section formed in the semiconductor substrate so as to be positioned beside the sensor sections; and a layer having negative fixed electric charges that is formed on a light incidence side of the sensor sections in order to form a hole accumulation layer on light receiving surfaces of the sensor sections. | 04-09-2009 |
20090090989 | Image Sensor and Method of Manufacturing the Same - An image sensor and a manufacturing method thereof are provided. The image sensor can comprise: a semiconductor substrate, a first dielectric, a second dielectric pattern, a planarization layer, and a color filter. The semiconductor substrate comprises a photodiode. The first dielectric is disposed on the semiconductor substrate. The second dielectric pattern is disposed on the first dielectric and comprises a trench in a region corresponding to the photodiode. The planarization layer is disposed in the trench. The color filter is disposed on the planarization layer disposed on the photodiode. | 04-09-2009 |
20090096047 | IMAGING MODULE PACKAGE - An exemplary imaging module package includes a substrate, an imaging sensor chip set on the substrate, a housing positioned on the substrate, and a lens module. The housing includes a first chamber enclosing the imaging sensor chip therein, a second chamber coaxially extending from the first chamber for receiving the lens module therein, and a shoulder between the first and second chambers. The shoulder abuts against a top surface of the imaging sensor chip. | 04-16-2009 |
20090096048 | OPTICAL DEVICE AND MANUFACTURING METHOD THEREOF AND SEMICONDUCTOR DEVICE - An optical device includes a base and an optical element. The base has a through hole in a center and includes leads and a resin. Each lead has an L-shaped cross-section and is formed by an inner lead extending from the center toward a peripheral edge and an outer lead connected to the inner lead and extending downward. The optical element is provided under the base so as to correspond to the through hole. Electrode pads of the optical element are connected to the leads of the base through bumps, respectively. The resin is formed so as to cover respective inner ends of the leads and respective front surfaces of the inner leads and to fill a gap between adjacent leads, and respective outer ends of the leads and respective front surfaces of the outer leads are exposed. | 04-16-2009 |
20090096049 | SOLID STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND IMAGING APPARATUS - A solid state imaging device having a light sensing section that performs photoelectric conversion of incident light includes: an insulating layer formed on a light receiving surface of the light sensing section; a layer having negative electric charges formed on the insulating layer; and a hole accumulation layer formed on the light receiving surface of the light sensing section. | 04-16-2009 |
20090096050 | Image Sensor and Method for Manufacturing the Same - Disclosed are an image sensor and a method for manufacturing the same. The image sensor includes a semiconductor substrate including a unit pixel, first to third color filters provided on the semiconductor substrate, a first micro-lens provided on each of the first and third color filters, and a second micro-lens provided on the second color filter, in which an outer periphery of the first micro-lens has a square shape, and an upper portion of the first micro-lens has a semi-spherical or convex shape. | 04-16-2009 |
20090102000 | CMOS IMAGE SENSOR DEVICE AND ITS FORMATION METHOD - A method for forming a CMOS image sensor (CIS) in accordance with embodiments includes sequentially forming a first photoresist and a blocking layer over a semiconductor substrate where a logic section including a photodiode may be formed. A micro lens array pattern may be formed by coating a second photoresist over top of the formed blocking layer, patterning the second photoresist, and then etching the blocking layer by using the patterned second photoresist as a mask. The first photoresist may be patterned by performing isotropic etching using the micro lens array pattern as a mask. A micro lens array may be formed by filling a material having a refractivity higher than that of the first PR in the patterned portion of the first photoresist. The sensitivity of the CIS can be optimized by maximizing the fill factor while maintaining the spherical surface of the lens by fabricating a micro lens array using anisotropic etching. | 04-23-2009 |
20090102001 | Image Sensor and a Method for Manufacturing Thereof - An image sensor according to an embodiment includes a semiconductor substrate including a photodiode; a protective layer pattern having a lower trench that is disposed on the semiconductor substrate to expose the photodiode; an insulating layer pattern having the upper trench that is disposed on the lower trench of the protective layer pattern to expose the photodiode; and a wave guide that is disposed in the lower trench and the upper trench. | 04-23-2009 |
20090108384 | Optoelectronic Device with Germanium Photodetector - An optoelectronic device comprises a photodetector feature, an interfacial layer disposed above at least a portion of the photodetector feature, and a vertical contact disposed on at least a portion of the interfacial layer. The photodetector feature comprises germanium and is operative to convert a light signal into an electrical signal. The interfacial layer comprises nickel. Finally, the vertical contact is operative to transmit the electrical signal from the photodetector feature. | 04-30-2009 |
20090108385 | METHOD AND APPARATUS FOR IMPROVING CROSSTALK AND SENSITIVITY IN AN IMAGER - A pixel sensor cell includes a substrate of a first conductivity type, and a photoconversion region. The photoconversion region includes a pinning layer of the first conductivity type for receiving incident light of multiple colors, and a diode implant layer of a second conductivity type, disposed below the pinning layer, for accumulating photo-generated charge. Also included is a deep well of the first conductivity type, disposed below the diode implant layer, for rejecting at least one color of the incident light. The deep well includes a doped region, vertically disposed at a predetermined depth below the diode implant layer. The diode implant layer is effective in accumulating photo-generated charge of a blue color, and the deep well is effective in rejecting photo-generated charges of green and red colors from the diode implant layer. By placing the deep well at another predetermined depth below the diode implant layer, the deep well is effective in rejecting photo-generated charge of a red color from the diode implant layer. | 04-30-2009 |
20090108386 | Image Sensor and Method for Manufacturing the Same - Provided is an image sensor and method for manufacturing the same. The image sensor includes a semiconductor substrate including a photodiode for each unit pixel, an interlayer insulating layer including metal lines on the semiconductor substrate, and an optical refractive part in a region of the interlayer insulating layer corresponding to the photodiode for focusing light on the photodiode. The optical refractive part can be formed by implanting impurities into the interlayer insulating layer. | 04-30-2009 |
20090108387 | Semiconductor Device And Method For Strain Controlled Optical Absorption - A semiconductor device which has controlled optical absorption includes a substrate, and a semiconductor layer supported by the substrate. The semiconductor has variable optical absorption at a predetermined optical frequency in relationship to a bandgap of the semiconductor layer. Also included is a strain application structure coupled to the semiconductor layer to create a strain in the semiconductor layer to change the semiconductor bandgap. | 04-30-2009 |
20090108388 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device has a semiconductor substrate including a light receiving element, a silicon oxide film formed on the semiconductor substrate, a plurality of wiring interlayer films formed on the silicon oxide film, and each including a wiring layer formed as the result of the fact that copper is buried, and a silicon nitride film formed on the wiring interlayer film of the uppermost layer wherein Si—H concentration is smaller than N—H concentration. | 04-30-2009 |
20090115011 | Solid-state imaging device and production method thereof - A solid-state imaging device includes a plurality of photodiode regions arranged in an array, a non-transparent border region existing around each photodiode region, and a microlens array including a plurality of microlenses arranged in an array corresponding to the plurality of photodiode regions; wherein each microlens functions to converge incident light advancing straight toward the non-transparent border region around the corresponding photodiode region into that photodiode region, and the microlens array is formed using a transparent diamond-like carbon (DLC) film, the DLC film including a region where its refractive index is modulated corresponding to each microlens, and a light-converging effect being caused when light flux passes through the region where the refractive index was modulated. | 05-07-2009 |
20090115012 | DUAL IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - Embodiments relate to a dual image sensor which includes a first device including a first wafer having a first inclined step, a first reflective face on an inclined plane on the first inclined step, at least one first microlens over a lower end surface adjacent the first inclined step, and a first via-hole filled with metal on an upper end face adjacent the first inclined step. A second device in the dual image sensor includes a second wafer having a second inclined step, a second reflective face on an inclined plane on the second inclined step, and at least one second microlens over a first portion of an upper end face adjacent the second inclined step. A dual image sensor is formed by connecting the metal in the first via-hole and the metal in the second via-hole together. The dual image sensor is capable of imaging light incident from one or both sides as well as light incident in front or rear of the image sensor. | 05-07-2009 |
20090115013 | Image Sensor and Method for Manufacturing the Same - Disclosed is a method for manufacturing an image sensor capable of inhibiting bridge formation between microlenses and minimizing gaps between microlenses. A photodiode and circuitry can be formed on a substrate according to unit pixel. A color filter layer can be formed on the substrate with color filters corresponding to each photodiode. A planarization layer can be formed on the color filter layer, and a groove can be formed in the planarization layer at a boundary between pixels. In one embodiment, the groove can be formed by performing an ashing process with respect to a general photoresist pattern. In another embodiment, the groove can be formed by performing an ashing process with respect to the photoresist pattern for forming the microlens. A microlens can be formed on the planarization layer such that a region of the microlens fills the groove. | 05-07-2009 |
20090121300 | MICROELECTRONIC IMAGER PACKAGES AND ASSOCIATED METHODS OF PACKAGING - The microelectronic imager packages include a semiconductor die having a plurality of photo sensors, a cover spaced apart from the semiconductor die and facing the photo sensors, and a coupling structure between the semiconductor die and the cover. The coupling structure has a spacer separating the semiconductor die and the cover and an adhesive proximate to the spacer. The adhesive bonds the spacer, the semiconductor die, and the cover together. | 05-14-2009 |
20090121301 | IMAGE CAPTURE MODULE - An image capture module includes an image sensor and a photochromic glass plate. The image sensor includes a photosensitive area. The photochromic glass plate is positioned in front of the photosensitive area, adjusting light transmittance therethrough according to current ambient light conditions, thereby adjusting exposure value of the image sensor. In addition to the image sensor and the photochromic glass plate, the image capture module may further include a lens unit and a packaging substrate. The substrate defines a cavity therein, in which the image sensor is disposed. The photochromic glass plate seals the cavity. The lens unit is disposed on the photochromic glass plate. During image capture, light enters and is transmitted through the lens unit and the photochromic glass plate, forming an image on the photosensitive area of the image sensor. The image sensor converts the visual image into digital data. | 05-14-2009 |
20090121302 | Chip Package - A chip package includes a bump connecting said semiconductor chip and said circuitry component, wherein the semiconductor chip has a photosensitive area used to sense light. The chip package may include a ring-shaped protrusion connecting a transparent substrate and the semiconductor chip. | 05-14-2009 |
20090127643 | PHOTODIODE OF AN IMAGE SENSOR AND FABRICATING METHOD THEREOF - A method for fabricating a photodiode of an image sensor includes providing a substrate having a first conductive type and photo sensing regions, respectively forming photodiodes in the photo sensing region, and performing an ion implantation to form an implanted reflective layer having a second conductive type under the plurality of photodiodes for reflecting light and creating depletion regions in the substrate. | 05-21-2009 |
20090127644 | Semiconductor device comprising an image sensor, apparatus comprising such a semiconductor device and method of manufacturing such a semiconductor device - The invention relates to a semiconductor device comprising a semiconductor body in which an image sensor is formed and having a semiconductor body surface with an optically active part of the image sensor and a non-optically active part of the image sensor in which electrical connection areas of the image sensor are located, a spacer structure being present on the semiconductor body surface in the non-optically active part of the image sensor and an optical passive component being positioned on top of the spacer structure and above the image sensor and allowing radiation to impinge on the optically active part of the image sensor. | 05-21-2009 |
20090127645 | In-line light sensor - The sensor includes an optical waveguide defined in a light-transmitting medium. The waveguide includes a sensing portion and an non-sensing portion. The light-transmitting medium included in the sensing portion has defects that provide the light-transmitting medium with a deep band gap level between a valence band of the light-transmitting medium and a conduction band of the light-transmitting medium. The deep band gap level is configured such that the waveguide guiding light signals through the light-transmitting medium in the sensing portion causes free carriers to be generated in the light-transmitting medium. A detector is configured to detect the free carriers in the sensing region of the waveguide. | 05-21-2009 |
20090127646 | IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - An image sensor and a manufacturing method thereof are provided. The image sensor can include a semiconductor substrate having a photodiode, an interlayer dielectric layer on the semiconductor substrate, and an upper insulating layer on the interlayer dielectric layer. A trench can be provided in the upper insulating layer and the interlayer dielectric layer over the photodiode, and the trench can have a curved sidewall. A lens color filter can be disposed in the trench. | 05-21-2009 |
20090134483 | ELECTRONIC ASSEMBLY FOR IMAGE SENSOR DEVICE - An electronic assembly for an image sensor device is disclosed. The electronic assembly comprises a package module and a lens set mounted thereon. The package module comprises a device substrate comprising at least one grounding plug therein, in which the grounding plug is insulated from the device substrate and an array of optoelectronic devices therein. A transparent substrate comprises a dam portion attached to the device substrate to form a cavity between the device and transparent substrates. A micro-lens array is disposed within the cavity. A conductive layer is electrically connected to the grounding plug and covers the sidewalls of the lens set and the package module and the upper surface of the lens set. A method for fabricating the electronic assembly is also disclosed. | 05-28-2009 |
20090134484 | Image sensor with correcting lens and fabrication thereof - An image sensor with at least one correcting lens and a method for fabricating the same are described. The image sensor includes a substrate with an array of microlenses thereon and at least one correcting lens disposed over the substrate covering the microlens array. In the fabricating method, a substrate having formed with a microlens array thereon is provided, and then at least one correcting lens is disposed over the substrate covering the microlens array. The at least one correcting lens can, in use of the image sensor, shift the incident direction of light to a microlens in edge parts of the array of microlenses toward the normal line direction of the image sensor. | 05-28-2009 |
20090140360 | IMAGE SENSOR AND FABRICATING METHOD THEREOF - An image sensor and fabricating method thereof may include a semiconductor substrate, a plurality of photodiodes formed on and/or over the semiconductor substrate, a first insulating layer formed on and/or over the semiconductor substrate including the plurality of photodiodes, at least one metal line formed on and/or over the first insulating layer, a second insulating layer having a plurality of wells formed on and/or over the plurality of photodiodes, a plurality of color filters formed by embedding color filter layers in a plurality of the wells, and a plurality of microlenses formed on and/or over the color filters. | 06-04-2009 |
20090140361 | Image Sensor and Method of Manufacturing the Same - An image sensor and manufacturing method thereof are provided. The image sensor can includes a semiconductor substrate including a light receiving element, a metal interconnection layer having a trench, a guide pattern on a sidewall of the trench, and a color filter in the trench. Since the color filter can be formed in the trench, a length of a light path can be reduced, thereby improving the performance of the image sensor. | 06-04-2009 |
20090140362 | PHOTO DETECTOR - A photo detector comprising a grating (PC). The grating (PC) is arranged on top of a surface of an active semiconductor layer. The grating (PC) is patterned in uninterrupted first strips (ST | 06-04-2009 |
20090146234 | MICROELECTRONIC IMAGING UNITS HAVING AN INFRARED-ABSORBING LAYER AND ASSOCIATED SYSTEMS AND METHODS - Infrared (IR) absorbing layers and microelectronic imaging units that employ such layers are disclosed herein. In one embodiment, a method of manufacturing a microelectronic imaging unit includes attaching an IR-absorbing lamina having a filler material to a backside die surface of an imager workpiece. An individual imaging die is singulated from the workpiece such that a section of the infrared-absorbing lamina remains attached to the individual imaging die. The individual imaging die is coupled to an interposer substrate with a portion of the IR-absorbing lamina positioned therebetween. In another embodiment, the IR-absorbing lamina is a die attach film and the filler material is carbon black. | 06-11-2009 |
20090146235 | Solid-state image capturing device, camera module and electronic information device - A solid-state image capturing device includes a plurality of electrode pads for inputting and outputting a signal or voltage from and to the outside, a plurality of photoelectric conversion elements, a planarization film for planarizing the difference in the level on the surface above the plurality of photoelectric conversion elements, a microlens for focusing incident light on each of the plurality of photoelectric conversion elements, and a protection film provided above the microlens and the planarization film, the planarization film and the protection film above the plurality of electrode pads being removed as an opening, where the protection film has a protection film removing area that at least includes an area removed across all or a corner portion of the opening and the image capturing area. | 06-11-2009 |
20090146236 | PHOTOSENSITIVE RESIN COMPOSITION FOR PAD PROTECTIVE LAYER, AND METHOD FOR MAKING IMAGE SENSOR USING THE SAME - The present invention provides a photosensitive resin composition for a pad protective layer that includes (A) an alkali soluble resin, (B) a reactive unsaturated compound, (C) a photoinitiator, and (D) a solvent. The (A) alkali soluble resin includes a copolymer including about 5 to about 50 wt % of a unit having the Chemical Formula 1, about 1 to about 25 wt % of a unit having the Chemical Formula 2, and about 45 to about 90 wt % of a unit having the Chemical Formula 3, and a method of making an image sensor using the photosensitive resin composition. | 06-11-2009 |
20090146237 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THEREOF - An image sensor and a method for manufacturing thereof include a semiconductor substrate having a plurality of unit pixels formed therein, a dielectric film formed over the semiconductor substrate, a seed lens array including a plurality of seed lenses formed spaced apart by a gap of a predetermined width over the dielectric film, a color micro lens array formed over the seed lens array, the color micro lens array including a color micro lens formed over and contacting a respective one of the seed lenses. In accordance with embodiments, each color micro lens has a thickness that is one-half the predetermined width to thereby fill the gap between the seed lenses. | 06-11-2009 |
20090152658 | METHODS OF PACKAGING IMAGER DEVICES AND OPTICS MODULES, AND RESULTING ASSEMBLIES - A method of packaging imager devices and optics modules is disclosed which includes positioning an imager device and an optics module in each of a plurality of openings in a carrier body, introducing an encapsulant material into each of the openings in the carrier body and cutting the carrier body to singulate the plurality of imager devices and optics modules into individual units, each of which comprise an imager device and an optics module. A device is also disclosed which includes an imager device comprising a plurality of photosensitive elements and an optics module coupled to the imager device, the optics module comprising at least one lens that, when the optics module is coupled to the imager device, is positioned a fixed, non-adjustable distance from the plurality of photosensitive elements. | 06-18-2009 |
20090152659 | REFLOWABLE CAMERA MODULE WITH IMPROVED RELIABILITY OF SOLDER CONNECTIONS - A reflowable camera module has a set of solder joints formed on a bottom surface of the camera module that provide electrical signal and power connections between the camera module and a printed circuit substrate. The solder joints are susceptible to failure caused by shear forces, particularly in corner regions. Additional localized mechanical supports are provided to protect those solder joints carrying power and electrical signals for the camera module. The localized mechanical supports are formed outside of a region containing the solder joints carrying power and electrical signals. The localized mechanical supports may include dummy solder joints formed in corner regions and/or dummy leads used to support the camera module. Solder joint reliability is enhanced without requiring the use of an underfill encapsulant. | 06-18-2009 |
20090152660 | Photomask, Image Sensor, and Method of Manufacturing the Image Sensor - Provided are a photomask, an image sensor, and a method of manufacturing the image sensor. The image sensor can include photodiode structures, color filters, a planarization layer, and microlenses. The photodiode structures can be disposed on a semiconductor substrate according to unit pixel. The color filters can be disposed on the semiconductor substrate in a matrix arrangement above the photodiode structures. The planarization layer can cover the entire semiconductor substrate and includes cavities in regions of the planarization layer corresponding to boundaries between the color filters. The cavities may be arranged at boundaries between unit pixels. The microlenses can be disposed on the planarization layer such that portions of the microlenses are arranged in the cavities of the planarization layer. | 06-18-2009 |
20090152661 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing the image sensor includes: forming a photoresist layer on a surface of an image sensor; exposing and developing the photoresist layer using a mask used for fabricating a plurality of micro-lenses, which has a number of first light shielding patterns aligned apart from one another and a number of second light shielding patterns, each being formed at a part, on which four adjacent edges of the first light shielding patterns are centered, so that a photoresist pattern is formed; and reflowing the photoresist pattern to fabricate a plurality of micro-lenses and a concave lens at each part, on which four adjacent edges of the micro-lenses are centered. | 06-18-2009 |
20090160000 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SENSOR - An image sensor and a method for manufacturing the sensor are provided for reducing loss of light reflected from photodiodes, and thus, improving light efficiency. The method of manufacturing an image sensor can include providing a semiconductor substrate having a photodiode; and then forming a reflective film frame on the photodiode, the reflective film frame having sidewalls that are inclined with respect to the uppermost surface of the photodiode; and then forming an opening over the surface of the reflective film frame and corresponding to the photodiode by forming a reflective film on the sidewalls of the reflective film frame. | 06-25-2009 |
20090160001 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SENSOR - An image sensor and a method for manufacturing the same are disclosed. The image sensor manufacturing method may include forming a hard mask pattern over a semiconductor substrate to cover a photodiode region; forming convex photodiodes by wet-etching the photodiode region in the semiconductor substrate using the hard mask pattern; removing the hard mask pattern; forming an interlayer insulating film over the photodiode; forming color filter layers aligned with the photodiodes over the interlayer insulating film; and forming microlenses over the color filter layers. The resulting image sensor can transduce a greater quantity of light as compared to the related art, owing to an increased unit surface area, resulting in enhanced optical efficiency characteristics. | 06-25-2009 |
20090160002 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor may include an image sensor may include a photodiode formed over a semiconductor substrate. An interlayer dielectric, which may include a plurality of metal wires in a transistor region, may be formed over the semiconductor substrate, including a waveguide dielectric for guiding incident light in a photodiode region. A refractive layer may be formed at a bottom of the waveguide dielectric in the interlayer dielectric. A color filter may be formed over an upper surface of the interlayer dielectric. An overcoat may be formed over the color filter. A micro lens may be formed over the interlayer dielectric. Accordingly, high reflectivity at a bottom of the wave guide can be effectively restrained while guaranteeing reflectivity of the wave guide with respect light which is not vertically incident. | 06-25-2009 |
20090160003 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - Embodiments relate to an image sensor and a method for manufacturing the same. According to embodiments, an image sensor may include a semiconductor substrate and a transistor. An interlayer insulating layer, including a metal line, may be formed on and/or over the semiconductor substrate. A lower electrode may be formed on and/or over the metal line and may be connected with the metal line. A spacer may be formed on a sidewall of the lower electrode. A photo diode may be formed on and/or over an interlayer insulating layer including the lower electrode and the spacer. | 06-25-2009 |
20090160004 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE DEVICE - Embodiments relate to a semiconductor device and a method for manufacturing a semiconductor device. According to embodiments, a method may include forming a metal layer on and/or over a lower structure formed on and/or over a semiconductor substrate, forming neighboring metal lines by patterning the metal layer by a photolithography process, forming an insulating layer on and/or over a surface of the lower structure and forming a void between the metal lines, and performing heat treatment to the metal lines and the insulating layer having the void. According to embodiments, a void may be used as a buffer against expansion of the metal lines in sintering due to a difference in a thermal expansion coefficient. This may prevent a blister phenomenon that may separate an insulating film from metal lines. | 06-25-2009 |
20090166781 | EMI SHIELDING FOR IMAGER DEVICES - A module that provides EMI shielding for imager devices is disclosed which includes a die comprising an imager device and a plurality of contact pads, a stack positioned above the imager device, the stack comprising at least one lens, a conductive layer positioned above the stack, the conductive layer comprising at least one light opening, and a plurality of wire bonds, each of which conductively couples the conductive layer to one of the contact pads on the die. A method of providing EMI shielding for an imager module is also disclosed which includes conductively coupling a conductive layer of the module to a plurality of contact pads on an imager die and forming an encapsulant material that encapsulates at least the plurality of wire bonds, the conductive layer and the contact pads. | 07-02-2009 |
20090166782 | WAFER PROCESSING - Methods, devices, and systems for wafer processing are described herein. One method of wafer processing includes modifying a peripheral edge of a wafer to create a number of edge surfaces substantially perpendicular to a number of dicing paths and dicing the wafer along the number of dicing paths. In one or more embodiments, the method includes modifying the peripheral edge of the wafer with a first tool and dicing the wafer with a second tool different from the first tool. | 07-02-2009 |
20090166783 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND CAMERA AND ELECTRONIC APPARATUS USING THE SAME - A method of manufacturing a solid-state imaging device is provided. The method includes: forming an insulating layer extending over an effective pixel region where a plurality of pixels each having a photoelectric conversion element is arranged and a peripheral area adjacent to the effective pixel region; forming an opening in the insulating layer located immediately above the photoelectric conversion element on the effective pixel region; forming a dummy opening in the insulating layer on the peripheral region; and forming a buried layer on the insulating layer to fill the opening and the dummy opening formed in the insulating layer. | 07-02-2009 |
20090166784 | SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - Gold bumps are located over electrode pads of a solid imaging device and an adhesive is formed over the gold bumps. A transparent plate is supported by the gold bumps and is made to adhere over the solid imaging device by the adhesive. The gold bumps and an electrode and wiring pattern formed over a circuit board are connected by gold wires. At this time the gold wires are approximately parallel to the circuit board near portions where the gold wires and the gold bumps are connected. As a result, it is easy to locate the transparent plate over the portions where the gold wires and the gold bumps are connected. By locating the adhesive over the portions where the gold wires and the gold bumps are connected, the solid imaging device can be made small and light. As a result, a smaller lighter semiconductor device is fabricated. | 07-02-2009 |
20090174018 | CONSTRUCTION METHODS FOR BACKSIDE ILLUMINATED IMAGE SENSORS - A method of constructing a backside illuminated image sensor is described. The method includes the steps of forming a semiconductor wafer, forming at least electrical contacts in the semiconductor wafer, forming, in a handle wafer separate from the semiconductor wafer, a plurality of via holes, attaching the semiconductor wafer to the handle wafer such that the via holes in the handle wafer are aligned with the respective electrical contacts on the semiconductor wafer, removing the substrate layer from the semiconductor wafer, removing at least a portion of the handle wafer to expose the plurality of via holes, filling each of the exposed via holes with a conductive material and applying a solder material to each of the exposed via holes such that the conductive material in each of the via holes is electrically connected to the solder material. | 07-09-2009 |
20090174019 | IMAGE SENSING DEVICE AND MANUFACTURE METHOD THEREOF - An image sensing device for receiving an incident light having an incident angle and photo signals formed thereby is provided. The image sensing device includes a micro prism and a micro lens for adjusting the incident angle and converging the incident light, respectively, a photo sensor for converting the photo signals into electronic signals, and an IC stacking layer for processing the electronic signals. | 07-09-2009 |
20090174020 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solid state imaging device includes a substrate having a plurality of pixels and a plurality of on-chip lenses arranged above the substrate, each on-chip lens having a lens surface formed by subjecting a transparent photosensitive film to exposure using a mask having a gradation pattern and development so that the lens surface serves to correct shading in accordance with the gradation pattern. | 07-09-2009 |
20090184383 | PHOTODETECTOR - A semiconductor photodetector is disclosed which can have a high responsivity, high saturation power, and high bandwidth. The photodetector comprises a waveguide structure comprising: an active waveguide comprising an absorber for converting photons conveying an optical signal into charge carriers conveying a corresponding electrical signal; a carrier collection layer for transporting the charge carriers conveying the electrical signal; and a secondary waveguide immediately adjacent to the carrier collection layer, for receiving the photons to be detected, and which is evanescently coupled to the active waveguide. The secondary passive waveguide layer in the photodetector epitaxial structure enables the use of fast carrier transport material to generate high intrinsic bandwidth and travelling wave techniques associated with a scheme of evanescent coupling to increase the responsivity, saturated output power and bandwidth. This enables a detector with an ultra-thin absorption layer implying a high intrinsic bandwidth for the device. This can be combined with a travelling wave technique to overcome the limitation on the bandwidth due to the depletion capacitance, resulting in a high bandwidth, high responsivity, high power photodetector. | 07-23-2009 |
20090184384 | ARRAY OF MUTUALLY ISOLATED, GEIGER-MODE, AVALANCHE PHOTODIODES AND MANUFACTURING METHOD THEREOF - An embodiment of array of Geiger-mode avalanche photodiodes, wherein each photodiode is formed by a body of semiconductor material, having a first conductivity type and housing an anode region, of a second conductivity type, facing a top surface of the body, a cathode-contact region, having the first conductivity type and a higher doping level than the body, facing a bottom surface of the body, an insulation region extending through the body and insulating an active area from the rest of the body, the active area housing the anode region and the cathode-contact region. The insulation region is formed by a first mirror region of polycrystalline silicon, a second mirror region of metal material, and a channel-stopper region of dielectric material, surrounding the first and second mirror regions. | 07-23-2009 |
20090184385 | OPTICAL SEMICONDUCTOR PACKAGE WITH COMPRESSIBLE ADJUSTMENT MEANS - An optical semiconductor package includes a support with a passage to receive a ring holding a lens situated facing an optical sensor. The support has, in the passage, at least one local release recess and the ring is equipped peripherally with a locally projecting, elastically deformable element. The local release recess and the elastically deformable element are such that, when the ring occupies an angular mounting position, the locally projecting elastically deformable element is engaged in the local recess of the support and, when the ring is pivoted from the aforementioned angular mounting position, the locally projecting elastically deformable element is moved out of the recess of the support and is compressed against the wall of the passage in order to secure the ring relative to the support. | 07-23-2009 |
20090189232 | Methods and apparatuses providing color filter patterns arranged to reduce the effect of crosstalk in image signals - Methods and apparatuses providing color filter patterns arranged to reduce cross talk in image signals. The apparatuses include an array of pixels, each pixel having an associated color filter, arranged such that cross-talk is distributed among pixel signals of each color of the color filters. | 07-30-2009 |
20090189233 | CMOS IMAGE SENSOR AND METHOD FOR MANUFACTURING SAME - An optical image sensor is fabricated by forming a pixel array and a peripheral region surrounding the pixel array on a semiconductor substrate, the peripheral region containing peripheral circuitry. An inter-level-dielectric layer is formed over the substrate and a plurality of interconnect wiring layers are formed over the inter-level-dielectric layer. Each interconnect wiring layer includes interconnecting metal features and a layer of inter-level-dielectric material covering the interconnecting metal features. The plurality of interconnect wiring layers are provided in a manner that there are N levels of wiring layers in the peripheral region and 1 to (N−1) levels of wiring layers over the pixel array. An etch-stop layer is formed over the top-most level interconnecting metal features in the peripheral region. | 07-30-2009 |
20090189234 | SOLID-STATE IMAGING DEVICE, PRODUCTION METHOD OF THE SAME, AND IMAGING APPARATUS - In a solid-state imaging device, the pixel circuit formed on the first surface side of the semiconductor substrate is shared by a plurality of light reception regions. The second surface side of the semiconductor substrate is made the light incident side of the light reception regions. The second surface side regions of the light reception regions formed in the second surface side part of the semiconductor substrate are arranged at approximately even intervals and the first surface side regions of the light reception regions formed in the first surface side part of the semiconductor substrate are arranged at uneven intervals, respectively, and the second surface side regions and the first surface side regions are joined respectively in the semiconductor substrate so that the light reception regions extend from the second surface side to the first surface side of the semiconductor substrate. | 07-30-2009 |
20090189235 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD FOR THE SAME, AND IMAGING APPARATUS - A solid-state imaging device having a light-receiving section that photoelectrically converts incident light includes an insulating film formed on a light-receiving surface of the light-receiving section and a film and having negative fixed charges formed on the insulating film. A hole accumulation layer is formed on a light-receiving surface side of the light-receiving section. A peripheral circuit section in which peripheral circuits are formed is provided on a side of the light-receiving section. The insulating film is formed between a surface of the peripheral circuit section and the film having negative fixed charges such that a distance from the surface of the peripheral circuit section to the film having negative fixed charges is larger than a distance from a surface of the light-receiving section to the film having negative fixed charges. | 07-30-2009 |
20090189236 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solid-state imaging device includes: a light-receiving pixel part configured to be formed on a semiconductor substrate; a black-level reference pixel part configured to be formed on the semiconductor substrate; and a multilayer interconnect part configured to be provided over the semiconductor substrate. The multilayer interconnect part includes an insulating layer formed over the semiconductor substrate and metal interconnect layers formed as a plurality of layers in the insulating layer. The multilayer interconnect part has a first light-blocking film formed above an area between first metal interconnects of a first metal interconnect layer as one of the metal interconnect layers above the black-level reference pixel part, and a second light-blocking film that is connected to the first light-blocking film and is formed of a second metal interconnect layer over the first metal interconnect layer. | 07-30-2009 |
20090189237 | SOLID-STATE IMAGING ELEMENT - The present invention provides a solid-state imaging element including: a silicon layer having a photodiode formed therein and a positive charge accumulation region formed on the surface thereof; and an optical waveguide formed above the photodiode to guide incident light into the photodiode, wherein an insulating layer is formed in the optical waveguide, and the insulating layer has a dielectric constant of 5 or greater and negative fixed charge. | 07-30-2009 |
20090200622 | SELF-ALIGNED FILTER FOR AN IMAGE SENSOR - An image sensor includes at least one photosensitive element disposed in a semiconductor substrate. Metal conductors may be disposed on the semiconductor substrate. A filter may be disposed between at least two individual metal conductors and a micro-lens may be disposed on the filter. There may be insulator material disposed between the metal conductors and the semiconductor substrate and/or between individual metal conductors. The insulator material may be removed so that the filter may be disposed on the semiconductor substrate. | 08-13-2009 |
20090200623 | IMAGE SENSOR WITH MICRO-LENSES OF VARYING FOCAL LENGTHS - An image sensor having a plurality of micro-lenses disposed on a semiconductor substrate. A first micro-lens has a different focal length, height, shape, curvature, thickness, etc., than a second micro-lens. The image sensor may be back side illuminated or front side illuminated. | 08-13-2009 |
20090200624 | Circuit and photo sensor overlap for backside illumination image sensor - A backside illuminated (“BSI”) imaging sensor pixel includes a photodiode region and pixel circuitry. The photodiode region is disposed within a semiconductor die for accumulating an image charge in response to light incident upon a backside of the BSI imaging sensor pixel. The pixel circuitry includes transistor pixel circuitry disposed within the semiconductor die between a frontside of the semiconductor die and the photodiode region. At least a portion of the pixel circuitry overlaps the photodiode region. | 08-13-2009 |
20090200625 | BACKSIDE ILLUMINATED IMAGE SENSOR HAVING DEEP LIGHT REFLECTIVE TRENCHES - An array of pixels is formed using a substrate having a frontside and a backside that is for receiving incident light. Each pixel typically includes metallization layers included in the frontside of the substrate, a photosensitive region formed in the backside of the substrate, and a trench formed around the photosensitive region in the backside of the substrate. The trench causes the incident light to be directed away from the trench and towards the photosensitive region. | 08-13-2009 |
20090200626 | BACKSIDE ILLUMINATED IMAGING SENSOR WITH VERTICAL PIXEL SENSOR - A backside illuminated imaging sensor includes a vertical stacked sensor that reduces cross talk by using different silicon layers to form photodiodes at separate levels within a stack (or separate stacks) to detect different colors. Blue light-, green light-, and red light-detection silicon layers are formed, with the blue light detection layer positioned closest to the backside of the sensor and the red light detection layer positioned farthest from the backside of the sensor. An anti-reflective coating (ARC) layer can be inserted in between the red and green light detection layers to reduce the optical cross talk captured by the red light detection layer. Amorphous polysilicon can be used to form the red light detection layer to boost the efficiency of detecting red light. | 08-13-2009 |
20090200627 | Image sensor with high conversion efficiency - An image sensor includes a photoelectric converter, a reflector, and a charge carrier guiding region. The reflector is disposed under the photoelectric converter, and the charge carrier guiding region is disposed between the photoelectric converter and the reflector. The reflector reflects incident light passed by the photoelectric converter back through the photoelectric converter for increasing photoelectric conversion efficiency and reduced crosstalk. The charge carrier guiding region dissipates undesired charge carriers for further increasing photoelectric conversion efficiency. | 08-13-2009 |
20090200628 | REDUCED IMAGER CROSSTALK AND PIXEL NOISE USING EXTENDED BURIED CONTACTS - Methods and structures to reduce the occurrence of crosstalk and pixel noise in solid state imager arrays. In an exemplary embodiment, a section of a layer patterned to form polysilicon buried-contacts in the pixel structure is also patterned to be disposed over the active, photosensor portion of the pixel. The section of the buried-contact layer covering the photosensor portion of the pixel serves to filter the light striking the buried-contact layer before the light strikes the photosensor. The polysilicon light filter reduces the amount of stray light entering from the adjacent pixels without adding significant processing complexity. | 08-13-2009 |
20090206430 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A pattern ( | 08-20-2009 |
20090206431 | Imager wafer level module and method of fabrication and use - Imager wafer level modules, methods of assembly for imager wafer level modules, and systems containing imager wafer level modules. An imager die and an optic lens stack are combined to form a module assembly. The module assembly is combined with a molded plastic, laminated plastic, or metallic interposer to form an imager wafer level module capable of assembly using industry standard equipment sets for all processing, and capable of being used with various imaging systems. | 08-20-2009 |
20090206432 | IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - An image sensor and a method of manufacturing the same are provided. The image sensor includes a substrate having a sensor array area and a peripheral circuit area a first insulating film structure formed on the peripheral circuit area and including a plurality of first multi-layer wiring lines and a second insulating film structure formed on the sensor array area and including a plurality of second multi-layer wiring lines. The uppermost-layer wiring line of the plurality of first multi-layer wiring lines is higher than that of the uppermost-layer wiring line of the plurality of second multi-layer wiring lines. The first insulating film structure includes an isotropic etch-stop layer, and the second insulating film structure does not include the isotropic etch-stop layer. | 08-20-2009 |
20090206433 | Image sensor and method for manufacturing the same - An image sensor and a method for manufacturing the same that includes a dielectric layer having a trench formed therein, a first micro-lens having a first structure formed in the trench, and a second micro-lens having a second structure formed over and contacting the first micro-lens such that the second structure is different than the first structure. | 08-20-2009 |
20090206434 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD OF MANUFACTURING THE SAME - A photoelectric conversion device comprises a semiconductor substrate and a multilayer wiring structure, wherein the multilayer wiring structure includes a first wiring layer which serves as a top wiring layer in an effective region and contains aluminum as a principal component, a first insulation film arranged in the effective region and an light-shielded region so as to cover the first wiring layer, and a second wiring layer which serves as a top wiring layer arranged on the first insulation film in the light-shielded region and contains aluminum as a principal component, and wherein the first insulation film has, in the effective region, a first portion which is positioned above the photoelectric conversion unit, and the first portion functions as at least a part of an interlayer lens. | 08-20-2009 |
20090206435 | Solid state imaging device, manufacturing method of the same, and substrate for solid state imaging device - A method of manufacturing a solid state imaging device having photoelectric conversion devices, the method including: 1) forming a plurality of color filters differing in color from each other, 2) forming a transparent resin layer on the color filters, 3) forming an etching control layer on the transparent resin layer, the etching control layer being enabled to be etched at a different etching rate from the etching rate of the transparent resin layer, 4) forming a lens master on the etching control layer by using a heatflowable resin material, 5) transferring a pattern of the lens master to the etching control layer by dry etching to form an intermediate micro lens, and 6) transferring a pattern of the intermediate micro lens to the transparent resin layer by dry etching to form the transfer lenses. | 08-20-2009 |
20090212379 | Semiconductor apparatus, manufacturing method for the semiconductor apparatus, and electronic information device - A semiconductor apparatus according to the present invention includes one or a plurality of pairs of a standard pattern and an offset pattern formed therein with respect to the standard pattern as manufacturing information and other information at an information writing position, which is visible from the outside, of each semiconductor chip on a wafer. | 08-27-2009 |
20090212380 | METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE - A method for manufacturing a solid-state imaging device is provided. | 08-27-2009 |
20090212381 | WAFER LEVEL PACKAGES FOR REAR-FACE ILLUMINATED SOLID STATE IMAGE SENSORS - A solid state image sensor includes a microelectronic element having a front face and a rear face remote from the front face, the rear face having a recess extending towards the front surface. A plurality of light sensing elements may be disposed adjacent to the front face so as to receive light through the part of the rear face within the recess. A solid state image sensor can include a microelectronic element having a front face and a rear face remote from the front face, a plurality of light sensing elements disposed adjacent to the front face, the light sensing elements being arranged to receive light through the rear face. Electrically conductive package contacts may directly overlie the light sensing elements and the front face and be connected to chip contacts at the front face through openings in an insulating packaging layer overlying the front face. | 08-27-2009 |
20090224348 | SOLID-STATE IMAGING DEVICE AND ITS MANUFACTURING METHOD - A solid-state imaging device includes a semiconductor substrate having a photoelectric conversion region, a first microlens provided above the semiconductor substrate, covering the photoelectric conversion region, and having a convex upper surface, for gathering external light into the photoelectric conversion region, and a second microlens provided above the first microlens and having a convex upper surface, for gathering external light into the first microlens. A flat surface is provided at a top portion of one of the first and second microlenses and immediately above the photoelectric conversion region. | 09-10-2009 |
20090224349 | IMAGE SENSOR INCLUDING SPATIALLY DIFFERENT ACTIVE AND DARK PIXEL INTERCONNECT PATTERNS - An interconnect layout, an image sensor including the interconnect layout and a method for fabricating the image sensor each use a first electrically active physical interconnect layout pattern within an active pixel region and a second electrically active physical interconnect layout pattern spatially different than the first electrically active physical interconnect layout pattern within a dark pixel region. The second electrically active physical interconnect layout pattern includes at least one electrically active interconnect layer interposed between a light shield layer and a photosensor region aligned therebeneath, thus generally providing a higher wiring density. The higher wiring density within the second layout pattern provides that that the image sensor may be fabricated with enhanced manufacturing efficiency and a reduction of metallization levels. | 09-10-2009 |
20090230488 | Low dark current image sensor - Imaging sensors (CMOS image sensor, CCD) with low dark current. The disclosed embodiments employ a stacked structure directly on the sensing area. The stack structure an SiO | 09-17-2009 |
20090230489 | Low dark current image sensors by substrate engineering - Image sensors and the manufacture of image sensors having low dark current. A SiGe or Ge layer is selectively grown on the silicon substrate of the sensing area using an epitaxial chemical vapor deposition (CVD) method. After the SiGe or Ge growth, a silicon layer may be grown by the same epitaxial CVD method in an in-situ manner. This facilitates the formation of the hole accumulation diode and reduces the defect density of the substrate, resulting in device having a lower dark current. | 09-17-2009 |
20090230490 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solid-state imaging device includes: photoelectric transducers arranged in a matrix pattern on a substrate; and a plurality of color filter layers of different colors formed above the photoelectric transducers so as to correspond to the photoelectric transducers. One of the color filter layers of the color, which accounts for a largest area, is formed by two layers which are a bottom layer and a top layer of the color filter layers. | 09-17-2009 |
20090230491 | PHOTOELECTRIC CONVERSION DEVICE, IMAGING SYSTEM, AND PHOTOELECTRIC CONVERSION DEVICE MANUFACTURING METHOD - A photoelectric conversion device comprises: a plurality of photoelectric conversion units each generating charges corresponding to light; an element isolation portion which electrically isolate the plurality of photoelectric conversion units; and an antireflection portion which are arranged to prevent reflection of light, which has entered the element isolation portion from above the element isolation portion, only on a bottom face of the element isolation portion or only on the bottom face and a lower part of a side face of the element isolation portion. | 09-17-2009 |
20090230492 | Solid-state image pickup device and method of manufacturing the same - A solid-state image pickup device which includes a substrate carrying a plurality of photoelectric conversion elements which are two-dimensionally arranged therein the substrate having a plurality of rectangular light-receiving faces each corresponding to the photoelectric conversion element, a flattening layer having a plurality of approximately rectangular concave faces each located to correspond to the light-receiving faces, and a color filter having color layers of plural kinds of colors and buried in the concave faces of the flattening layer, the color filter exhibiting a larger refractive index than that of the flattening layer, wherein the color layers are respectively enabled to function as a convex lens. | 09-17-2009 |
20090243011 | Manufacturing Optical MEMS with Thin-Film Anti-Reflective Layers - In accordance with the teachings of one embodiment of the present disclosure, a method for manufacturing a semiconductor device includes forming a support structure outwardly from a substrate. The support structure has a first thickness and a first outer sidewall surface that is not parallel with the substrate. The first outer sidewall surface has a first minimum refractive index. A first anti-reflective layer is formed outwardly from the support structure and outwardly from the substrate. A second anti-reflective layer is formed outwardly from the first anti-reflective layer. The first and second anti-reflective layers each includes respective compounds of at least two elements selected from the group consisting of: silicon; nitrogen; and oxygen. | 10-01-2009 |
20090243012 | ELECTROMAGNETIC INTERFERENCE SHIELD STRUCTURES FOR SEMICONDUCTOR COMPONENTS - A microelectronic device assembly with an integrated conductive shield is disclosed herein. The microelectronic device assembly includes a semiconductor substrate, an integrated circuit carried by the semiconductor substrate, a dielectric encapsulant encasing at least a portion of the semiconductor substrate. The microelectronic device assembly also includes a conductive shield in direct contact with at least a portion of the dielectric encapsulant and an interconnect extending through the semiconductor substrate and in direct contact with the conductive shield. | 10-01-2009 |
20090243013 | SEMICONDUCTOR PHOTORECEPTOR DEVICE - A semiconductor light detecting device includes an n-contact layer selectively disposed on an Fe—InP substrate. An optical waveguide layer is disposed on the n-contact layer and includes an n-cladding layer, a light absorption layer, and a p-cladding layer, laminated on one another over the n-contact layer, in that order. An Fe—InP current blocking layer is disposed on the n-cladding layer such that sides of the optical waveguide layer are buried in the Fe—InP current blocking layer. A p-electrode includes a contact electrode electrically connected to the p-cladding layer of the optical waveguide layer, a lead-out electrode portion extending on a side wall of the current blocking layer from the contact electrode and extending on the Fe—InP substrate, and an electrode pad disposed on a surface of the Fe—InP substrates with an SiN film between the electrode pad and the surface of the Fe—InP substrate and connected to the lead-out electrode portion. | 10-01-2009 |
20090243014 | Image Sensor - Disclosed is an image sensor. The image sensor includes a substrate having photodiodes therein; a dielectric layer on the substrate; a passivation layer on the dielectric layer exposing the dielectric layer in a region corresponding to a first color filter; and a color filter layer on the exposed dielectric layer and the passivation layer. | 10-01-2009 |
20090250777 | IMAGE SENSOR AND IMAGE SENSOR MANUFACTURING METHOD - In an upper waveguide structure ( | 10-08-2009 |
20090250778 | PHOTOELECTRIC CONVERSION DEVICE, IMAGING SYSTEM, PHOTOELECTRIC CONVERSION DEVICE DESIGNING METHOD, AND PHOTOELECTRIC CONVERSION DEVICE MANUFACTURING METHOD - A photoelectric conversion device comprises a plurality of photoelectric conversion units, a first antireflection portion including a first insulation film which has a first refractive index and a second insulation film which has a second refractive index, and a second antireflection portion including an element isolation portion which includes an insulator having a third refractive index and a third insulation film which has the second refractive index, wherein the first antireflection portion reduces reflection of light entering the photoelectric conversion unit in the photoelectric conversion unit, and the second antireflection portion reduces reflection of light entering the element isolation portion in the element isolation portion. | 10-08-2009 |
20090250779 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREOF - A solid-state imaging device in the present invention includes plural photoelectric conversion elements, plural wiring layers, and plural optical waveguide regions each corresponding to and arranged over one of the plural photoelectric conversion elements. A top end of each of the plural optical waveguide regions is higher than a top end of at least one of the plural wiring layers. A bottom end of each of the plural optical waveguide regions is lower than a bottom end of at least one of the plural wiring layers. The plural optical waveguide regions include plural types of optical waveguide regions each having different light absorbing characteristics. | 10-08-2009 |
20090256222 | Packaging method of image sensing device - A packaging method for an image sensing device is disclosed. The packaging method includes the steps of a) providing an annular dam on a substrate; b) mounting an image sensing module, having a light-receiving region exposed, inside the annular dam on the substrate; c) connecting the image sensing module and the substrate via a plurality of bonding wires; d) forming a barrier around the light-receiving region on the image sensing module; e) filling an adhesive between the barrier and the annular dam with the plurality of bonding wires being encapsulated; f) forming a transparent lid above the light-receiving region; and g) cutting off the annular dam. | 10-15-2009 |
20090256223 | PHOTODIODE ARRAY - A photodiode array | 10-15-2009 |
20090256224 | INTEGRATED CIRCUIT COMPRISING MIRRORS BURIED AT DIFFERENT DEPTHS - A semiconductor structure including a first active area under which is buried a first reflective layer and a least one second active area under which is buried a second reflective layer, wherein the upper surface of the second reflective layer is closer to the upper surface of the structure than the upper surface of the first reflective layer. | 10-15-2009 |
20090256225 | SOLID-STATE IMAGE CAPTURING DEVICE, MANUFACTURING METHOD OF THE SOLID-STATE IMAGE CAPTURING DEVICE, AND ELECTRONIC INFORMATION DEVICE - A solid-state image capturing device according to the present invention includes: a photoelectrical conversion section formed in a semiconductor substrate or in a substrate area provided on a substrate; a first transparent film provided on the photoelectrical conversion section; and a lens provided at a position above the first transparent film corresponding to the photoelectrical conversion section, where the lens is formed by using a second transparent film layered by changing a refractive index successively or incrementally, and at least one of top and bottom surfaces of the second transparent film is formed in a convex shape. | 10-15-2009 |
20090256226 | SOLID-STATE IMAGING DEVICE, PRODUCTION METHOD THEREOF, AND ELECTRONIC DEVICE - Disclosed is a solid-state imaging device which includes a pixel section, a peripheral circuit section, a first isolation region formed with a STI structure on a semiconductor substrate in the peripheral circuit section, and a second isolation region formed with the STI structure on the semiconductor substrate in the pixel section. The portion of the second isolation region buried into the semiconductor substrate is shallower than the portion buried into the semiconductor substrate of the first isolation region, and the height of the upper face of the second isolation region is equal to that of the first isolation region. A method of producing the solid-state imaging device and an electronic device provided with the solid-state imaging devices are also disclosed. | 10-15-2009 |
20090256227 | METHOD OF FABRICATING BACK-ILLUMINATED IMAGING SENSORS USING A BUMP BONDING TECHNIQUE - A method for fabricating a back-illuminated semiconductor imaging device on a semiconductor-on-insulator substrate, and resulting imaging device is disclosed. The method for manufacturing the imaging device includes the steps of providing a substrate comprising an insulator layer, and an epitaxial layer substantially overlying the insulator layer; fabricating at least one imaging component at least partially overlying and extending into the epitaxial layer; forming a plurality of bond pads substantially overlying the epitaxial layer; fabricating a dielectric layer substantially overlying the epitaxial layer and the at least one imaging component; providing a handle wafer; forming a plurality of conductive trenches in the handle wafer; forming a plurality of conductive bumps on a first surface of the handle wafer substantially underlying the conductive trenches; and bonding the plurality of conductive bumps to the plurality of bond pads. | 10-15-2009 |
20090256228 | MICRO-LENSES FOR CMOS IMAGERS AND METHOD FOR MANUFACTURING MICRO-LENSES - A micro-lens and a method for forming the micro-lens is provided. A micro-lens includes a substrate and lens material located within the substrate, the substrate having a recessed area serving as a mold for the lens material. The recessed can be shaped such that the lens material corrects for optical aberrations. The micro-lens can be part of a micro-lens array. The recessed area can serve as a mold for lens material for the micro-lens array and can be shaped such that the micro-lens array includes arcuate, non-spherical, or non-symmetrical micro-lenses. | 10-15-2009 |
20090261439 | MICROLENS ARRAY AND IMAGE SENSING DEVICE USING THE SAME - A microlens array is provided, including a base layer with a plurality of first microlenses formed over a first region thereof, wherein the first microlenses are formed with a first height. A plurality of second microlenses are formed over a second region of the base layer, wherein the second region surrounds the first region and the second microlenses are formed with a second height lower than the first height. A plurality of third microlenses are formed over a third region of the base layer, wherein the third region surrounds the second and three regions, and the microlenses are formed with a third height lower than the first and second heights. | 10-22-2009 |
20090261440 | MICROLENS UNIT AND IMAGE SENSOR - In a microlens unit (MSU), at least part of the edges of microlenses (MS) (convex lenses MS[BG]) supported on elevations (BG) overlap with trenches (DH) in a direction (VV) perpendicular to the surface of a flattening film ( | 10-22-2009 |
20090267168 | ELECTRET CAPACITOR TYPE COMPOSITE SENSOR - To provide a small, thin and light-weighted composite sensor which can also detect light together with sound, vibration, pressure or acceleration by a single sensor. | 10-29-2009 |
20090267169 | SEMICONDUCTOR PHOTODETECTOR - A semiconductor photodetector includes a semiconductor substrate of a first conductivity type, a light absorption layer of the first conductivity type on the semiconductor substrate and absorbing light, a diffraction grating layer on the light absorption layer and including a diffraction grating diffracting light, a first light transmissive layer of a second conductivity type on the diffraction grating layer and transmitting light, and a second light transmissive layer of the first conductivity type on the diffraction grating layer and surrounding the first light transmissive layer, the second light transmissive layer transmitting light. The diffraction grating surrounds a region of the diffraction grating layer that is directly below the first light transmissive layer. | 10-29-2009 |
20090273046 | Process for Producing Solid-State Image Sensing Device, Solid-State Image Sensing Device and Camera - In the formation of a multilayer interference filter that is included in a solid-state imaging device, at the outset, a titanium dioxide layer ( | 11-05-2009 |
20090273047 | SOLID STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREOF - To a transparent substrate ( | 11-05-2009 |
20090273048 | IMAGE-SENSING CHIP PACKAGE MODULE ADAPTED TO DUAL-SIDE SOLDERING - An image-sensing chip package module adapted to dual-side soldering includes three substrates, an image-sensing chip and a filter lens. The three substrates are stacked together by pressing (using adhesive as adhesion medium), and the image-sensing chip is electrically connected to the top side of the top substrate and the bottom side of the bottom substrate via conductive bodies that are formed on inner surfaces of through holes passing through the three substrates. Hence, the image-sensing chip package module can use the conductive bodies formed on the bottom side of the bottom substrate (positive face electrical conduction) or the conductive bodies formed on the top side of the top substrate (negative face electrical conduction) to electrically connect with a main PCB. Furthermore, the filter lens is received and hidden in an opening of the top substrate in order to prevent the filter lens from being slid, collided and destroyed. | 11-05-2009 |
20090273049 | WDM Signal Detector - A detector includes a light detecting layer and a grating structure. The light detecting layer, which can be a photodiode, has an optical mode that resonates in the light detecting layer, and the grating structure is positioned to interact with the optical mode. The grating structure further couples incident light having a resonant frequency into the optical mode, and causes destructive interference to prevent light having the resonant frequency from escaping the detecting layer. The light detecting layer can be made transparent to light having other frequencies, so that a stack of such detectors, each having a different resonant frequency, can be integrated into a WDM detector that is compact and efficient. | 11-05-2009 |
20090278219 | MICROELECTRONIC DEVICES HAVING AN EMI SHIELD AND ASSOCIATED SYSTEMS AND METHODS - Microelectronic devices having an EMI shield, systems including such microelectronic devices, and methods for manufacturing such microelectronic devices. One embodiment of a microelectronic device comprises an imaging system comprising a microelectronic die, an optics assembly, and an electromagnetic interference (EMI) shield. The microelectronic die includes an image sensor, processing components electrically coupled to the image sensor, a first interconnect electrically isolated from the processing components, and a second interconnect electrically coupled to the processing components. The optics assembly is aligned with the image sensor, and the electromagnetic interference (EMI) shield is between the optics assembly and the processing components. The EMI shield is electrically coupled to the first interconnect. | 11-12-2009 |
20090278220 | Image sensor and fabricting method thereof - An image sensor includes the steps of forming a sublayer including a photodiode, a transistor and a metal line on a substrate, forming a pattern layer on the sublayer to be overlapped with the photodiode and to having a curved surface, and forming a combined color filter and microlens on the pattern layer to have a curved surface. | 11-12-2009 |
20090283847 | SEMICONDUCTOR PACKAGE INCLUDING THROUGH-HOLE ELECTRODE AND LIGHT-TRANSMITTING SUBSTRATE - An imaging element is formed on the first main surface of a semiconductor substrate. An external terminal is formed on the second main surface of the semiconductor substrate. A through-hole electrode is formed in a through hole formed in the semiconductor substrate. A first electrode pad is formed on the through-hole electrode in the first main surface. An interlayer insulating film is formed on the first electrode pad and on the first main surface. A second electrode pad is formed on the interlayer insulating film. A passivation film is formed on the second electrode pad and the interlayer insulating film, and has an opening which exposes a portion of the second electrode pad. A contact plug is formed between the first and second electrode pads in a region which does not overlap the opening when viewed in a direction perpendicular to the surface of the semiconductor substrate. | 11-19-2009 |
20090289316 | OPTICAL SEMICONDUCTOR DEVICE - An optical semiconductor device comprises a distributed Bragg reflector layer of a first conductivity type, an optical absorption layer, and a semiconductor layer of a second conductivity type, sequentially formed on a semiconductor substrate; wherein said Bragg reflection layer of the first conductivity type has first semiconductor layers having a band gap wavelength larger than the wavelength of incident light, and second semiconductor layers having a band gap wavelength smaller than the wavelength of incident light; and an optical layer thickness of each of said first semiconductor layers is larger than the optical layer thickness of each of said second semiconductor layers. | 11-26-2009 |
20090294885 | Silicon Nanoparticle Embedded Insulating Film Photodetector - A photodetector is provided with a method for fabricating a semiconductor nanoparticle embedded Si insulating film for photo-detection applications. The method provides a bottom electrode and introduces a semiconductor precursor and hydrogen. A thin-film is deposited overlying the substrate, using a high density (HD) plasma-enhanced chemical vapor deposition (PECVD) process. As a result, a semiconductor nanoparticle embedded Si insulating film is formed, where the Si insulating film includes either N or C elements. For example, the Si insulating film may be a non-stoichiometric SiO | 12-03-2009 |
20090294886 | METHOD OF MAKING WAFER STRUCTURE FOR BACKSIDE ILLUMINATED COLOR IMAGE SENSOR - An integrated circuit device is provided. The integrated circuit device can include a substrate; a first radiation-sensing element disposed over a first portion of the substrate; and a second radiation-sensing element disposed over a second portion of the substrate. The first portion comprises a first radiation absorption characteristic, and the second portion comprises a second radiation absorption characteristic different from the first radiation absorption characteristic. | 12-03-2009 |
20090302406 | DELAMINATION AND CRACK RESISTANT IMAGE SENSOR STRUCTURES AND METHODS - A plurality of image sensor structures and a plurality of methods for fabricating the plurality of image sensor structures provide for inhibited cracking and delamination of a lens capping layer with respect to a planarizing layer within the plurality of image sensor structures. Particular image sensor structures and related methods include at least one dummy lens layer of different dimensions than active lens layer located over a circuitry portion of a substrate within the particular image sensor structures. Additional particular image sensor structures include at least one of an aperture within the planarizing layer and a sloped endwall of the planarizing layer located over a circuitry portion within the particular image sensor structures. | 12-10-2009 |
20090302407 | OPTICAL FILTERING MATRIX STRUCTURE AND ASSOCIATED IMAGE SENSOR - The invention relates to an optical filtering structure consisting of a set of at least two elementary optical filters (R, V, B), an elementary optical filter being centered on an optimum transmission frequency, characterized in that it comprises a stack of n metal layers (m | 12-10-2009 |
20090302408 | SOLID-STATE IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - A solid-state image sensor includes: a photoelectric conversion region formed in an upper part of a semiconductor substrate, for generating charges by photoelectric conversion; a transfer region formed in the upper part of the semiconductor substrate and located on a side of the photoelectric conversion region, for transferring the charges; and a transfer electrode formed over the semiconductor substrate and located above the transfer region. The solid-state image sensor further includes: a first insulating film which covers the photoelectric conversion region and the transfer electrode; an antireflection film which covers the first insulating film; and a first light-shielding film which is formed on the antireflection film and covers at least the transfer electrode. The antireflection film and the first light-shielding film have an opening above the transfer electrode. | 12-10-2009 |
20090309176 | METHODS FOR PROTECTING IMAGING ELEMENTS OF PHOTOIMAGERS DURING BACK SIDE PROCESSING, PHOTOIMAGERS AND SYSTEMS - Methods for processing photoimagers include forming one or more protective layers over the image sensing elements of a photoimager. Protective layers may facilitate thinning of the substrates of photoimagers, as well as prevent contamination of the image sensing elements and associated optical features during back side processing of the photoimagers. Blind vias, which extend from the back side of a photoimager to bond pads carried by an active surface of the photoimager, may be formed through the back side. The vias may be filled with conductive material and, optionally, redistribution circuitry may be fabricated over the back side of the photoimager. Photoimagers including features at result from such processes are also disclosed. | 12-17-2009 |
20090309177 | Wafer level camera module and method of manufacturing the same - The present invention relates to a wafer level camera module and a method of manufacturing the same and provides a wafer level camera module including a wafer provided with an image sensor on a top surface; a transparent member bonded to the wafer through anodic bonding to seal the image sensor; a spacer bonded to the transparent member through the anodic bonding by including a window to expose the image sensor; and a wafer lens bonded to the spacer through the anodic bonding to cover the window of the spacer and further a method of manufacturing the same. | 12-17-2009 |
20090315130 | Solid-state imaging apparatus and method for manufacturing the same - A solid-state imaging apparatus and method for manufacturing the imaging apparatus. A solid-state imaging apparatus with reduced thickness and/or mounting area by forming an aperture in a board and placing a solid-state semiconductor imaging chip, an image processing semiconductor chip, and/or a combination imaging/processing chip within the aperture. | 12-24-2009 |
20090315131 | SENSOR STRUCTURE FOR OPTICAL PERFORMANCE ENHANCEMENT - The present disclosure provides an image sensor semiconductor device. The image sensor semiconductor device includes an image sensor disposed in a semiconductor substrate, an inter-level dielectric (ILD) layer disposed on the semiconductor substrate, inter-metal-dielectric (IMD) layers and multi-layer interconnects (MLI) formed on the ILD layer, and a color filter formed in at least one of the IMD layers and overlying the image sensor. | 12-24-2009 |
20090315132 | SOLID-STATE IMAGE PICKUP DEVICE AND METHOD FOR MANUFACTURING SAME - In a solid-state image pick up device, a first conduction type semiconductor layer which has a first surface side. A second surface side which is located the opposite side of the first surface side and an image sensor area. A photo-conversion area which is configured in the first surface side and charges electron by photoelectric conversion. A first diffusion area of second conduction type for isolation, wherein the first diffusion area surrounds the photo-conversion area and extends from the first surface side to the middle part of the semiconductor layer and a second diffusion area of second conduction type for isolation, wherein the second diffusion area extends from the second surface side to the bottom of the first diffusion layer. | 12-24-2009 |
20090321861 | MICROELECTRONIC IMAGERS WITH STACKED LENS ASSEMBLIES AND PROCESSES FOR WAFER-LEVEL PACKAGING OF MICROELECTRONIC IMAGERS - Microelectronic imagers including stacked lens assemblies and process for wafer-level packaging of microelectronic imagers. One embodiment of a method for manufacturing stacked lens assemblies for integrated imagers comprises attaching a first lens substrate to a base spacer, fixing an intermediate spacer to the first lens substrate, and mounting a second lens substrate to the intermediate spacer. In a specific embodiment, the first lens substrate can be a component of a first lens unit and the second lens substrate can be a component of a second lens unit. Additionally, the first and second lens substrates can have one or more lens elements, aperture layers and/or filters on the substrates as described above or in other combinations. | 12-31-2009 |
20090321862 | IMAGE SENSOR AND FABRICATING METHOD THEREOF - A method for fabricating an image sensor, which includes the following steps, is provided. A semiconductor substrate including a sensor array, a pad and a passivation layer is provided, and the passivation layer covers the sensor array and the pad. An opening, which comprises tapered sidewalls not perpendicular to a bared surface of the pad, is formed in the semiconductor substrate to expose the pad. An under layer is formed on the semiconductor substrate, and covers the pad and the passivation layer. A color filter array is formed on the under layer and over the corresponding sensor array. A planar layer is formed on the color filter array. A portion of the under layer is removed to expose the pad. A plurality of U-lenses is formed on the planar layer. | 12-31-2009 |
20090321863 | Method and apparatus providing an imager module with a permanent carrier - Method and apparatus providing a wafer level fabrication of imager modules in which a permanent carrier protects imager devices on an imager wafer and is used to support a lens wafer. | 12-31-2009 |
20090321864 | CMOS IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SENSOR - A CMOS image sensor manufacturing method may include forming an interlayer insulating film over a semiconductor substrate in which a plurality of photodiodes are formed, forming a plurality of color filter layers corresponding to the photodiodes over the interlayer insulating film, forming a flattening layer over an entire surface of the semiconductor substrate including the respective color filter layers, forming gap insulating films over the flattening layer and over boundaries of the color filter layers, and forming micro-lenses over the flattening layer between the gap insulating films, to correspond to the respective photodiodes. | 12-31-2009 |
20090321865 | SOLID-STATE IMAGING DEVICE AND CAMERA - A solid-state imaging device having a color filter with high color reproducibility even in the case of using lighting of low color temperatures. The solid-state imaging device has a plurality of pixels arranged two-dimensionally, and comprises a color separation filter which allows transmission of light of a predetermined wavelength in incident light for each of the plurality of pixels, wherein the color separation filter includes: a visible-light and near-infrared filter having transmission bands in regions of a visible lo wavelength band and a near-infrared wavelength band; and a near-infrared normalization filter laminated with the visible-light and near-infrared filter, wherein the near-infrared normalization filter is substantially transparent in the visible wavelength band and a first near-infrared wavelength band, and is substantially not transparent in a second near-infrared wavelength band between the visible wavelength band and the first near-infrared wavelength band. | 12-31-2009 |
20090321866 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor of a semiconductor and a method for fabricating the same includes a photodiode; an interlayer dielectric layer formed over the photodiode; a wave guide including an ion implantation layer formed in the interlayer dielectric; a color filter formed over the interlayer dielectric layer; and a micro lens formed over the color filter. | 12-31-2009 |
20100006963 | WAFER LEVEL PROCESSING FOR BACKSIDE ILLUMINATED SENSORS - A backside illuminated image sensor comprises a sensor layer having a plurality of photosensitive elements of a pixel array, an oxide layer adjacent a backside surface of the sensor layer, and at least one dielectric layer adjacent a frontside surface of the sensor layer. A color filter array is formed on a backside surface of the oxide layer, and a transparent cover is attached to the backside surface of the oxide layer overlying the color filter array. Redistribution metal conductors are in electrical contact with respective bond pad conductors through respective openings in the dielectric layer. A redistribution passivation layer is formed over the redistribution metal conductors, and contact metallizations are in electrical contact with respective ones of the respective redistribution metal conductors through respective openings in the redistribution passivation layer. The image sensor may be implemented in a digital camera or other type of digital imaging device. | 01-14-2010 |
20100006964 | BACKSIDE ILLUMINATED IMAGE SENSOR HAVING BIASED CONDUCTIVE LAYER FOR INCREASED QUANTUM EFFICIENCY - A backside illuminated image sensor includes a sensor layer comprising a plurality of photosensitive elements of the pixel array, a circuit layer comprising circuitry associated with the pixel array, a conductive layer formed on a backside surface of the sensor layer, and one or more conductive contacts configured to couple the conductive layer to a bias source in the circuit layer. The biased conductive layer produces an electric field across the photosensitive elements of the pixel array that facilitates charge carrier collection and reduces crosstalk between adjacent photosensitive elements, thereby providing improved quantum efficiency in the image sensor. The image sensor may be implemented in a digital camera or other type of digital imaging device. | 01-14-2010 |
20100006965 | ELECTRONIC DEVICE PACKAGE WITH ELECTROMAGNETIC COMPATIBILITY (EMC) COATING THEREON - Electronic device packages with electromagnetic compatibility (EMC) coating thereon are presented. An electronic device package includes a chip scale package having a CMOS image sensor (CIS) array chip and a set of lenses configured with an aperture. An encapsulation is molded overlying the chip scale package. A shield is atop the encapsulation. A frame fixes the set of lenses to the encapsulation. An electromagnetic compatibility (EMC) coating is formed on the encapsulation to prevent electromagnetic interference. | 01-14-2010 |
20100006966 | Method for making lens modules and lens module made thereby - A method for making lens modules includes the steps of: a) providing a wafer including an array of sensor chips; b) mounting a plurality of lens assemblies on the sensor chips, respectively, thereby defining a plurality of intersecting spacing grooves among the lens assemblies; c) forming substrate layer by filling in the spacing grooves with a resin material; and d) cutting the wafer and the substrate layer along intersecting cutting lines each extending along one of the spacing grooves and each intervening the lens assemblies, the substrate layer being divided into a plurality of barrels respectively surrounding the lens assemblies. A lens module made by the method is also disclosed. | 01-14-2010 |
20100006967 | SEMICONDUCTOR PHOTODETECTOR - A semiconductor photodetector comprises: a semiconductor substrate; a first multilayer reflective layer on a first surface of the semiconductor substrate and including semiconductor layers; a first optically-resonant layer on the first multilayer reflective layer; a second multilayer reflective layer on the first optically-resonant layer and including semiconductor layers; a light absorbing layer on the second multilayer reflective layer; a reflective film on the light absorbing layer; and an antireflective film on a second surface of the semiconductor substrate. The first optically-resonant layer has a larger thickness than the semiconductor layers of the first and second multilayer reflective layers. The combined optical thickness of the layers between the second multilayer reflective layer and the reflective film is not equal to the optical thickness of the first optically-resonant layer. | 01-14-2010 |
20100006968 | Image sensors and methods of manufacturing the same - Provided are image sensors and a methods of manufacturing image sensors. The image sensors may include a substrate, a pixel array region, and a peripheral circuit region. The substrate includes a first region and a second region. The pixel array region may be formed on the first region. The peripheral circuit region may be formed on the second region. The first region may be located higher than the second region. According to the image sensor and the method of manufacturing the same, the vertical height of the pixel array region is decreased as compared to the prior art, and thus the aspect ratio at the pixel array region is minimized. As a result, condensing efficiency the image sensor may be improved. | 01-14-2010 |
20100013039 | Backside-illuminated imaging sensor including backside passivation - The disclosure describes embodiments of a process comprising forming a pixel on a frontside of a substrate, the substrate having a frontside, a backside, and a thickness substantially equal to a distance between the frontside and the backside. The thickness of the substrate is reduced by removing material from the backside of the substrate to allow for backside illumination of the pixel, and the backside of the substrate is treated with a hydrogen plasma to passivate the backside. The disclosure also describes embodiments of an apparatus comprising a semiconductor wafer having a frontside, a backside, and a thickness substantially equal to a distance between the frontside and the backside, and a pixel formed on the frontside, wherein the thickness of the wafer is selected and adjusted to allow for illumination of the pixel through the backside of the wafer, and wherein the backside is treated with a hydrogen plasma to passivate the backside. | 01-21-2010 |
20100013040 | PHOTODIODE - A photodiode includes: an upper spacer layer including a semiconductor transparent to incident light; a metal periodic structure provided on the upper spacer layer and arranged to induce surface plasmon, the metal periodic structure including first and second electrodes including portions arranged alternately on the upper spacer layer; a light absorption layer formed under the upper spacer layer and including a semiconductor having a refractive index higher than that of the upper spacer layer; and a lower spacer layer formed under the light absorption layer and having a refractive index smaller than that of the light absorption layer. Each of the first and second electrodes forms a Schottky barrier junction with the upper spacer layer. | 01-21-2010 |
20100019334 | Materials, Fabrication Equipment, and Methods for Stable, Sensitive Photodetectors and Image Sensors Made Therefrom - Optically sensitive devices include a device comprising a first contact and a second contact, each having a work function, and an optically sensitive material between the first contact and the second contact. The optically sensitive material comprises a p-type semiconductor, and the optically sensitive material has a work function. Circuitry applies a bias voltage between the first contact and the second contact. The optically sensitive material has an electron lifetime that is greater than the electron transit time from the first contact to the second contact when the bias is applied between the first contact and the second contact. The first contact provides injection of electrons and blocking the extraction of holes. The interface between the first contact and the optically sensitive material provides a surface recombination velocity less than 1 cm/s. | 01-28-2010 |
20100019335 | Materials, Fabrication Equipment, and Methods for Stable, Sensitive Photodetectors and Image Sensors Made Therefrom - Optically sensitive devices include a device comprising a first contact and a second contact, each having a work function, and an optically sensitive material between the first contact and the second contact. The optically sensitive material comprises an n-type semiconductor, and the optically sensitive material has a work function. Circuitry applies a bias voltage between the first contact and the second contact. The optically sensitive material has an electron lifetime that is greater than the electron transit time from the first contact to the second contact when the bias is applied between the first contact and the second contact. The first contact provides injection of electrons and blocking the extraction of holes. The interface between the first contact and the optically sensitive material provides a surface recombination velocity less than 1 cm/s. | 01-28-2010 |
20100019336 | MEMS DEVICES HAVING OVERLYING SUPPORT STRUCTURES AND METHODS OF FABRICATING THE SAME - Embodiments of MEMS devices comprise a conductive movable layer spaced apart from a conductive fixed layer by a gap, and supported by rigid support structures, or rivets, overlying depressions in the conductive movable layer, or by posts underlying depressions in the conductive movable layer. In certain embodiments, portions of the rivet structures extend through the movable layer and contact underlying layers. In other embodiments, the material used to form the rigid support structures may also be used to passivate otherwise exposed electrical leads in electrical connection with the MEMS devices, protecting the electrical leads from damage or other interference. | 01-28-2010 |
20100019337 | PHOTOELECTRIC CONVERSION ELEMENT AND MANUFACTURING METHOD OF PHOTOELECTRIC CONVERSION ELEMENT - An object is to provide a photoelectric conversion element having a side surface with different taper angles by conducting etching of a photoelectric conversion layer step-by-step. A pin photodiode has a high response speed compared with a pn photodiode but has a disadvantage of large dark current. One cause of the dark current is considered to be conduction through an etching residue which is generated in etching and deposited on a side surface of the photoelectric conversion layer. Leakage current of the photoelectric conversion element is reduced by forming a structure in which a side surface has two different tapered shapes, which conventionally has a uniform surface, so that the photoelectric conversion layer has a side surface of a p-layer and a side surface of an n-layer, which are not in the same plane. | 01-28-2010 |
20100025787 | System and method for providing a high frequency response silicon photodetector - A Silicon photodetector contains an insulating substrate having a top surface and a bottom surface. A Silicon layer is located on the top surface of the insulating substrate, where the Silicon layer contains a center region, the center region being larger in thickness than the rest of the Silicon layer. A top Silicon dioxide layer is located on a top surface of the center region. A left wing of the center region and a right wing of the center region are doped. The Silicon photodetector also has an active region located within the center region, where the active region contains a tailored crystal defect-impurity combination and Oxygen atoms. | 02-04-2010 |
20100025788 | Solid-state image capturing device, method for manufacturing the same and electronic information device - In a solid-state image capturing device having the locations of photodiodes in each pixel unit to be different according to a sequence, the light receiving sensitivity and the luminance shading characteristic are improved. A circumferential portion of a microlens | 02-04-2010 |
20100025789 | IMAGING DEVICE, METHOD FOR MANUFACTURING THE IMAGING DEVICE AND CELLULAR PHONE - An imaging device includes a lens ( | 02-04-2010 |
20100025790 | IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - Disclosed are an image sensor and a method of manufacturing the same. The image sensor includes a semiconductor substrate having first and second surfaces opposite to each other, an isolation layer defining an active region while extending from the first surface toward the second surface, a photodiode in the active region and extending from the first surface toward the second surface, a reflection part adjacent to the first surface and disposed corresponding to the photodiode, and a lens part adjacent to the second surface. | 02-04-2010 |
20100025791 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING SAME - An interconnect layer is formed on a lower face of a silicon wafer, a support substrate is adhered over a lower face of the interconnect layer, and a thickness reduction of the silicon wafer is performed from an upper face side. Next, a photodiode is formed in an upper face of the silicon wafer, and a microlens is formed at a position corresponding to the photodiode. An adhesive layer is formed on the silicon wafer in a region not covering the microlens, a low refractive index layer having a lower refractive index than the microlens is formed in a region covering the microlens, and a glass substrate is adhered to the silicon wafer by the adhesive layer. The support substrate is removed from the interconnect layer, and a solder ball is bonded to a lower face of the interconnect layer. Thereafter, a CMOS image sensor is manufactured by dicing the silicon wafer. | 02-04-2010 |
20100025792 | IMAGE PICKUP APPARATUS, MANUFACTURING METHOD THEREOF, AND MOBILE TERMINAL - Degradation of a picked-up image quality occurs because of entry or a move of dust in the internal space of an image pickup apparatus. An image pickup apparatus for decreasing degradation of the image quality by capturing dust is provided. An image pickup apparatus | 02-04-2010 |
20100032781 | Camera module and method of manufacturing the same - Provided is a camera module including an image sensor module including a substrate; an image sensor that is mounted on a top surface of the substrate; a ground pad that is disposed on a bottom surface of the substrate; and a sealing member that seals the image sensor mounted on the substrate; a lens member that is stacked on the image sensor module; and a conductive member that is formed on side surfaces of the image sensor module and the lens member so as to be electrically connected to the ground pad. | 02-11-2010 |
20100032782 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - Disclosed are an image sensor and a method of manufacturing the same. The image sensor includes a substrate including a pixel area and a logic circuit area; an interlayer dielectric layer on the substrate and having a trench in the pixel area; and an insulating layer microlens formed in the trench of the interlayer dielectric layer. According to the method, a substrate including a pixel area and a logic circuit area is prepared; an interlayer dielectric layer is formed on the substrate; a first microlens pattern is formed on the interlayer dielectric layer on the pixel area; and a second microlens pattern is formed by etching the interlayer dielectric layer on the pixel area using the first microlens pattern as an etch mask. During the etching, a second photoresist pattern, exposing the first microlens pattern, can be used to protect the interlayer dielectric layer on the logic circuit area. | 02-11-2010 |
20100032783 | METHOD OF FABRICATING BACK-ILLUMINATED IMAGING SENSORS - A method for fabricating a back-illuminated semiconductor imaging device on a semiconductor-on-insulator substrate, and resulting imaging device is disclosed. The method for manufacturing the imaging device includes the steps of providing a substrate comprising an insulator layer, and an epitaxial layer substantially overlying the insulator layer; forming at least one bond pad region extending into the epitaxial layer to a surface of the insulator layer; fabricating at least one bond pad at least partially overlying the at least one bond pad region; fabricating at least one imaging component at least partially overlying and extending into the epitaxial layer, fabricating a passivation layer substantially overlying the epitaxial layer, the at least one bond pad, and the at least one imaging component; bonding a handle wafer to the passivation layer; and etching through at least a portion of the insulator layer and at least a portion of the bond pad region to expose at least a portion of the at least one bond pad. | 02-11-2010 |
20100038736 | SUSPENDED GERMANIUM PHOTODETECTOR FOR SILICON WAVEGUIDE - A vertical stack of a first silicon germanium alloy layer, a second epitaxial silicon layer, a second silicon germanium layer, and a germanium layer are formed epitaxially on a top surface of a first epitaxial silicon layer. The second epitaxial silicon layer, the second silicon germanium layer, and the germanium layer are patterned and encapsulated by a dielectric cap portion, a dielectric spacer, and the first silicon germanium layer. The silicon germanium layer is removed between the first and second silicon layers to form a silicon germanium mesa structure that structurally support an overhanging structure comprising a stack of a silicon portion, a silicon germanium alloy portion, a germanium photodetector, and a dielectric cap portion. The germanium photodetector is suspended by the silicon germanium mesa structure and does not abut a silicon waveguide. Germanium diffusion into the silicon waveguide and defect density in the germanium detector are minimized. | 02-18-2010 |
20100044813 | OPTICALLY CONTROLLED READ ONLY MEMORY - An optically controlled read only memory is disclosed. The optically controlled read only memory includes a substrate, a plurality of memory cells having optical sensors disposed on the substrate, and at least one shielding structure disposed on the optical sensor, in which the shielding structure selectively shields a portion of the optical sensor according to a predetermined layout. Preferably, the optically controlled read only memory of the present invention is capable of providing two types or more program codes and outputting different program codes carrying different function under different lighting condition. | 02-25-2010 |
20100044814 | Camera Module and Manufacturing Method Thereof - A camera module includes an image sensor chip module and a lens module. The image sensor chip module includes a base, an image sensor chip disposed on the base and electrically connected with the base, and a frame disposed on the base and surrounding the image sensor chip therein. The lens module includes a barrel mounted on the frame of the image sensor chip module and at least two lens units disposed in the barrel respectively. One of the lens units is disposed on the frame and over the image sensor chip and has a transparent cover capable of filtering infrared rays out and a lens attached to a side of the transparent cover such that the transparent cover separates the lens away from the image sensor chip. | 02-25-2010 |
20100044815 | CMOS IMAGE SENSOR PACKAGE AND CAMERA MODULE USING SAME - An image sensor package includes a cover glass, a color filter layer, an image sensor chip, and a reflecting layer. The cover glass includes a first surface and a second surface at opposite sides thereof. The color filter layer is formed on the first surface of the cover glass. The image sensor chip includes a silicon layer formed on the second surface of the cover glass, a number of pixel regions formed on a third surface of the silicon layer facing away from the cover glass, and a number of bumps formed on the third surface of the silicon layer, the bumps is capable of for electrically connecting the image sensor chip to a circuit board. The reflecting layer covers the pixel regions of the image sensor chip. | 02-25-2010 |
20100044816 | SEMICONDUCTOR DEVICE AND ELECTRONIC APPARATUS USING THE SAME - A semiconductor device includes: a semiconductor substrate having an imaging region in which a plurality of photoreceptors are arranged, and a peripheral circuit region arranged around the imaging region; a plurality of microlenses formed on the imaging region; a low-refractive-index film formed on the semiconductor substrate to cover the plurality of microlenses and part of the peripheral circuit region; and a transparent substrate formed on part of the low-refractive-index film above the imaging region. A through hole is formed in part of the low-refractive-index film above an amplifier circuit arranged in the peripheral circuit region. | 02-25-2010 |
20100044817 | PHOTOSENSITIVE RESIN COMPOSITION, COLOR FILTER AND METHOD OF PRODUCING THE SAME, AND SOLID-STATE IMAGING DEVICE - A photosensitive resin composition is provided which provides a high resolution even when a pattern is formed using a low exposure intensity (in particular, less than 200 mJ/cm | 02-25-2010 |
20100044818 | SEMICONDUCTOR LIGHT-RECEIVING DEVICE - Disclosed is light-receiving device ( | 02-25-2010 |
20100044819 | Method for Manufacturing CMOS Image Sensor Having Microlens Therein with High Photosensitivity - The method for manufacturing a CMOS image sensor is employed to prevent bridge phenomenon between adjacent microlenses by employing openings between the microlenses. The method includes the steps of: preparing a semiconductor substrate including isolation regions and photodiodes therein obtained by a predetermined process; forming an interlayer dielectric (ILD), metal interconnections and a passivation layer formed on the semiconductor substrate in sequence; forming a color filter array having a plurality of color filters on the passivation layer; forming an over-coating layer (OCL) on the color filter array by using a positive photoresist or a negative photoresist; forming openings in the OCL by patterning the OCL by using a predetermined mask; and forming dome-typed microlenses on a patterned OCL. | 02-25-2010 |
20100044820 | CMOS IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - A CMOS image sensor is disclosed. The image sensor includes a plurality of polysilicon patterns provided on a silicon epitaxial layer which correspond to the location of a plurality of photodiodes provided in a dummy pixel area, a silicide layer of metal with a high melting point provided on the plurality of the polysilicon patterns, a device protecting layer and a planarization layer provided on the silicon epitaxial layer and silicide layer, and a plurality of microlenses on the planarization layer which correspond to the location of the silicide layer. | 02-25-2010 |
20100052084 | IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - Disclosed are an image sensor employing an annealing process and a manufacturing method thereof. According to the method, in one embodiment, a transistor structure is formed over a semiconductor substrate, a metal interconnection layer is formed over the transistor structure, a protective layer is formed over the metal interconnection layer, a nitride layer is formed over the protective layer, and the semiconductor substrate formed with the nitride layer is subject to a high pressure annealing process. | 03-04-2010 |
20100052085 | IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - An image sensor has a large bridge margin from a repulsive force between adjacent micro lenses having different surface properties. The image sensor has a larger bridge margin with a configuration of a stepped portion between two areas, where the first and the second group of micro lenses are formed, over a planarization layer below these two areas. Thus, a zero gap is realized, where no gap between micro lenses exists, and the fill factor of micro lens is maximized. By the realization of the zero gap, interference effects decrease, noise decreases, and fill factor increases, and thus the sensitivity of an image sensor increases, especially the green sensitivity. | 03-04-2010 |
20100052086 | ELECTRONIC DEVICE PACKAGES AND METHODS OF FABRICATING ELECTRONIC DEVICE PACKAGES - Electronic device packages comprise transparent substrates covering an active surface of an optically interactive electronic device. In some embodiments, the optically interactive electronic device is bonded to conductive traces formed directly on the transparent substrate. In other embodiments, a secondary substrate comprising a plurality of conductive traces is disposed between the transparent substrate and the optically interactive electronic device. | 03-04-2010 |
20100059838 | IMAGE SENSOR MODULE AND METHOD OF MANUFACTURING THE SAME - An image sensor module includes a transparent substrate having recesses defined in a lower face thereof. A light concentration member includes transparent light concentration parts each of which are disposed in a corresponding one of the recesses. Color filters are disposed over each of the light concentration parts and photo diode units having photo diodes are disposed over each of the color filters. An insulation member covers the photo diode units and input/output terminals disposed over the insulation member are each electrically connected to a corresponding photo diode unit. | 03-11-2010 |
20100059839 | LIGHT RECEIVING ELEMENT - A light receiving element comprises: a photodiode including an optical waveguide, an end surface of the optical waveguide being a light receiving surface of the photodiode; a signal electrode and a bias electrode on a common surface of the photodiode, the signal electrode being connected to an anode of the photodiode, the bias electrode being connected to a cathode of the photodiode; an insulating film on the bias electrode; and a metal electrode on the insulating film. | 03-11-2010 |
20100059840 | CMOS IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - A Complementary Metal Oxide Semiconductor (CMOS) image sensor and a method for manufacturing the same are disclosed. The CMOS image sensor includes a photodiode formed in a semiconductor substrate, an inter dielectric layer formed over the semiconductor substrate in which the photodiode is formed, at least one metal line layer formed in the inter dielectric layer, an anti-reflection layer formed over the metal line layer in the inter dielectric layer, a color filter layer formed over the inter dielectric layer, and a micro-lens formed over the color filter layer. | 03-11-2010 |
20100059841 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - Disclosed are an image sensor and a method for manufacturing the same. The image sensor includes an image sensing device on a substrate, an interlayer dielectric layer over the image sensing device, and an aspheric microlens over the interlayer dielectric layer. | 03-11-2010 |
20100059842 | IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - A manufacturing method of an image sensor includes forming a photodiode region by implanting impurity ions in a semiconductor substrate, forming an interlayer dielectric over the semiconductor substrate having the photodiode region, forming a recess in the interlayer dielectric to expose the photodiode region, vapor-depositing a plurality of refractive layers over an inner surface of the recess, each refractive layer having a different refractive index, forming a color filter layer over the interlayer dielectric having the plurality of refractive layers, and forming a micro lens over the color filter layer. | 03-11-2010 |
20100059843 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MAKING THE SAME, AND MANUFACTURING SUBSTRATE FOR SOLID-STATE IMAGING DEVICE - A method for making a solid-state imaging device includes forming a pinning layer, which is a P-type semiconductor layer or an N-type semiconductor layer, on a first substrate by deposition; forming a semiconductor layer on the pinning layer; forming a photoelectric conversion unit in the semiconductor layer, the photoelectric conversion unit being configured to convert incident light into an electrical signal; forming, on the semiconductor layer, a transistor of a pixel unit and a transistor of a peripheral circuit unit disposed in the periphery of the pixel unit, and then forming a wiring section on the semiconductor layer; bonding a second substrate on the wiring section; and removing the first substrate after the second substrate is bonded. | 03-11-2010 |
20100059844 | SOLID-STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE DESIGNING METHOD - A solid-state imaging device includes light receiving sections which are arranged in an image area on a semiconductor substrate at the same pitch and which light exiting from an imaging optical system enters, condensing lenses respectively arranged above the light receiving sections, and light shielding sections each of which is provided at one end of each of the light receiving sections. The condensing lenses are arranged in a peripheral portion in a first direction in the image area at a first pitch, and arranged in a peripheral portion in a second direction opposite the first direction at a second pitch which is smaller than the first pitch. | 03-11-2010 |
20100059845 | IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - An image sensor includes a plurality of unit pixels arranged in a matrix shape, each of which is disposed in a region defined by a gate line extending in a first direction and a data line extending in a second direction that is different from the first direction. Each of the unit pixels includes a switching diode and a sensing diode. The switching diode has a plus terminal electrically connected to the gate line, and a minus terminal electrically connected to a signal node. The sensing diode has a plus terminal electrically connected to the data line, and a minus terminal electrically connected to the signal node. Therefore, a two-dimensional image may be sensed at once without moving of the sensing module so that scan time (image sensing time) may be reduced. | 03-11-2010 |
20100072568 | Image Sensor and Method of Manufacturing the Same - An image sensor and a method of manufacturing the same are disclosed. The image sensor includes a plurality of photodiodes on a substrate, an dielectric layer on the plurality of the photodiodes, a metal line layer in the dielectric layer corresponding to a border region between neighboring photodiodes, the metal line layer having a curved backside, a color filter layer on the dielectric layer, and a microlens on the color filter layer. | 03-25-2010 |
20100078744 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A solid-state imaging device includes light-sensing sections serving as pixels, and waveguides each including a core layer and a cladding layer, the waveguides each being disposed at a position corresponding to one of the light-sensing sections. A cross-sectional structure of the waveguide taken in the horizontal direction of an imaging plane is different from a cross-sectional structure of the waveguide taken in the vertical direction of the imaging plane. | 04-01-2010 |
20100078745 | SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - A solid-state imaging device includes a light-receiving portion, which serves as a pixel, and a waveguide, which is disposed at a location in accordance with the light-receiving portion and which includes a clad layer and a core layer embedded having a refractive index distribution in the wave-guiding direction. | 04-01-2010 |
20100078746 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device, an image sensor, and methods of manufacturing the same. A semiconductor device may include metal interconnections formed over a lower substrate, a hard mask formed over metal interconnections, and/or an insulating layer formed over a surface of a lower substrate. A semiconductor device may include an insulating layer including an air gap formed between metal interconnections. An image sensor may include a pixel array area having photodiodes and transistors, and/or a logic area having a plurality of transistors, which may be formed over a semiconductor substrate. An image sensor may include a metal interconnection and/or an insulating layer structure connected to transistors, and may cover a pixel array area and/or a logic area. An image sensor may include a color filter layer formed over a pixel array area, and an insulating layer structure of a pixel array area having an air gap between metal interconnections. | 04-01-2010 |
20100084726 | Wafer level packaging image sensor module having lens actuator and method of manfacturing the same - Disclosed herein is a wafer level packaging image sensor module, including a wafer including an image sensor, a circuit portion and a lower electrode on one side thereof, a lens actuator disposed on the lower electrode and made of electroactive polymer, an upper electrode disposed on the lens actuator, and a lens unit disposed on the upper electrode to allow light to be transmitted to the image sensor therethrough. The wafer level packaging image sensor module includes the lens actuator made of electroactive polymer, and thus it enables realization of the autofocusing of the wafer level packaging image sensor module. | 04-08-2010 |
20100084727 | PRINTED WIRING BOARD, A METHOD OF MANUFACTURING PRINTED WIRING BOARD, A SENSOR MODULE, AND A SENSING DEVICE - A printed wiring board on which a package to be arranged, including: a first layer that is relatively rigid; and a second layer that is relatively flexible and on which the package is to be soldered, wherein an area other than a package arrangement area of the second layer is joined to the first layer by an adhesion layer. | 04-08-2010 |
20100084728 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solid-state imaging device according to the present invention includes light-receiving units formed on a surface in a substrate, a photo-shield film formed above the substrate and having openings above the light-receiving units, a light-transmissive insulating film formed above the photo-shield film and in the openings in the photo-shield film, downwardly convex in-layer lenses made of a material having a refractive index different from that of the light-transmissive insulating film and formed above the light-transmissive insulating film, an OCCF formed above the in-layer lenses and having a first filter and a second filter which are positioned above different ones of the light-receiving units and transmit lights of different wavelengths, and OCLs formed above the in-layer lenses. The width of the openings in the photo-shield film and the curvature of the in-layer lenses provided under the first filter and those under the second filter are different from each other, respectively. | 04-08-2010 |
20100090303 | SOI SUBSTRATE AND METHOD FOR PRODUCING THE SAME, SOLID-STATE IMAGE PICKUP DEVICE AND METHOD FOR PRODUCING THE SAME, AND IMAGE PICKUP APPARATUS - A SOI substrate includes a silicon substrate, a silicon oxide layer arranged on the silicon substrate, a silicon layer arranged on the silicon oxide layer, a gettering layer arranged in the silicon substrate, and a damaged layer formed of an impurity-doped region arranged in the silicon oxide layer. | 04-15-2010 |
20100090304 | BONDING PROCESS FOR CMOS IMAGE SENSOR - The present disclosure provides a method of making an integrated circuit (IC). The method includes forming an electric device on a front side of a substrate; forming a top metal pad on the front side of the substrate, the top metal pad being coupled to the electric device; forming a passivation layer on the front side of the substrate, the top metal pad being embedded in the passivation layer; forming an opening in the passivation layer, exposing the top metal pad; forming a deep trench in the substrate; filling a conductive material in the deep trench and the opening, resulting in a though-wafer via (TWV) feature in the deep trench and a pad-TWV feature in the opening, where the top metal pad being connected to the TWV feature through the pad-TWV feature; and applying a polishing process to remove excessive conductive material, forming a substantially planar surface. | 04-15-2010 |
20100102409 | Image sensor element and image sensor - An image sensor element is provided according to an embodiment which comprises image sensor element portions sensitive to at least partially different wavelength ranges. | 04-29-2010 |
20100109112 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor and a method for manufacturing the same are disclosed. The image sensor can include a semiconductor substrate that includes photodiodes arranged for each unit pixel; an interlayer dielectric layer and metal wirings disposed on the semiconductor substrate; and a photorefractive unit that is formed on the periphery of an optical path incident on the photodiodes. The photorefractive unit has a lower refractive index than the interlayer dielectric layer. The slantly incident light can be incident on the photodiodes, while maintaining the slanted optical path as it is. The light sensitivity of the photodiodes can be improved, thereby improving image quality. | 05-06-2010 |
20100109113 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - Disclosed are an image sensor and a method for manufacturing the same. The image sensor includes a semiconductor substrate formed on a first surface thereof with a readout circuitry and a photodiode area; a metal interconnection layer formed on the first surface; a connection via metal extending from the first surface to a second surface of the semiconductor substrate, the connection via metal having a projection part projecting from the second surface; an insulating layer formed on the first surface of the semiconductor substrate to expose the projection part while surrounding a portion of a lateral side of the projection part; and a metal pad formed on the insulating layer such that the metal pad covers the projection part, thereby shortening an optical path to reduce light loss and improve image sensitivity. | 05-06-2010 |
20100117175 | Semiconductor module - A semiconductor module including a semiconductor chip having a light receiving device formed at a front thereof and a light permeable cover having a front, a back, and a side. The light permeable cover is disposed opposite to the front of the semiconductor chip such that the front of the semiconductor chip is covered by the back of the light permeable cover. The light permeable cover is provided at the outer circumferential region of the front thereof and at the side thereof with a light shielding layer. It is possible to prevent the incidence of unnecessary light from the side of the light permeable cover of a CSP and to easily adjust the distance between a lens and the front of the semiconductor chip within tolerance. | 05-13-2010 |
20100117176 | Camera module and manufacturing method thereof - A manufacturing method of a camera module includes steps of: forming a wafer assembly of a semiconductor wafer and a light transmissible optical wafer which are fixed to each other, wherein the semiconductor wafer has an array of plural sensor units each having a light receiving unit of a photoelectric conversion element, and wherein the light transmissible optical wafer has an array of plural lens units, the lens units being opposite to the respective sensor units while each pair of the lens unit and the sensor unit faces each other across a space, so that the semiconductor wafer and the light transmissible optical wafer are adhered at circumferences of the respective pair of the lens unit and the sensor unit with a spacer unit, cutting the wafer assembly at the spacer unit to individually divide the wafer assembly into a plurality of camera modules each comprising a sensor chip and a lens chip bonded to each other by a spacer, forming a light shieldable mask film to determine a lens aperture of each of the plural lens units on the light transmissible optical wafer; forming a groove in the light transmissible optical wafer of the wafer assembly such that the groove reaches the spacer unit and filling the groove with a light shieldable resin to form a light shieldable resin layer; and cutting the light shieldable resin layer at a width less than the groove to individually divide the camera modules in each of which the light shieldable resin layer is provided at a side of the lens chip. | 05-13-2010 |
20100117177 | Image Sensor and Method of Manufacturing the Same - An image sensor and a method of manufacturing the same are disclosed. A passivation layer on an interlayer dielectric layer has different thicknesses for neighboring pixels. Consequently, a phase of light incident on a pixel is out of phase with light incident on an adjacent pixel before it reaches a photodiode. As a result, diffraction of the incident light results in destructive interference between the pixels. Thus, cross talk between adjacent pixels can be prevented. | 05-13-2010 |
20100117178 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor is disclosed that includes a first substrate including an electric junction region, a transistor, and a metal line connected to the electric junction region or the transistor; and a photodiode formed on the first substrate. The first substrate is formed at an upper portion thereof with a reflective layer to reflect light back to the photodiode. | 05-13-2010 |
20100117179 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - Provided are an image sensor and a method for manufacturing the same. The image sensor comprises a substrate, a bonding silicon, an interlayer dielectric, a first contact plug, a second contact plug, a second metal interconnection, and a color filter layer and a microlens. The substrate comprises a first metal interconnection. The bonding silicon is formed on the substrate, and comprises a plurality of impurity regions. The interlayer dielectric is formed on the bonding silicon. The first contact plug penetrates the bonding silicon and is electrically connected to the first metal interconnection. The second contact plug penetrates the interlayer dielectric and is connected to a surface of the bonding silicon. The second metal interconnection is formed on the interlayer dielectric, and is connected to the second contact plug. The color filter layer and a microlens are formed over the second metal interconnection. | 05-13-2010 |
20100117180 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor and a method of manufacturing the same. An image sensor may include a first interlayer dielectric layer having a first metal wiring and/or a bonding silicon including impurity regions on and/or over a first interlayer dielectric layer. An image sensor may include a second interlayer dielectric layer formed on and/or over a bonding silicon, and/or a first contact plug connected to a first metal wiring. An image sensor may include a third interlayer dielectric layer on and/or over a second interlayer dielectric layer, a second contact plug connected to a first impurity region and/or a second metal wiring on and/or over a second interlayer dielectric layer. An image sensor may include and a color filter layer and/or a microlens. A dielectric layer may be between a first contact plug and a first impurity region. A dielectric layer may be on and/or over a second interlayer dielectric layer. | 05-13-2010 |
20100117181 | SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME - Provided are a semiconductor package and a method of manufacturing the same. The semiconductor package includes a semiconductor chip, a transparent substrate, an adhesive pattern, and at least one dew-proofer. The semiconductor includes a pixel area. The transparent substrate is disposed on the semiconductor chip. The adhesive pattern is disposed between the semiconductor chip and the transparent substrate and provides a space on the pixel area. At least one dew-proofer is disposed between the semiconductor chip and the transparent substrate and spaced from the adhesive pattern. | 05-13-2010 |
20100117182 | IMAGE PICKUP DEVICE - An image pickup device includes a plurality of photoelectric transducers. The plurality of photoelectric transducers includes color filters provided thereon. A diffusion-reflection layer is provided in front of the plurality of photoelectric transducers, includes a microstructure which is finer than each light receiving element of the image pickup device and is provided on surfaces of the color filters so as to diffuse and reflect a part of incident light. A part of incident light on the diffusion-reflection layer is reflected and dispersed therefrom, and a remainder of the incident light is transmitted through the diffusion-reflection layer so as to be incident on the plurality of photoelectric transducers. | 05-13-2010 |
20100123209 | Apparatus and Method of Manufacture for Movable Lens on Transparent Substrate - A lens stack having a movable lens attached to a MEMS structure and method of fabricating the same. The method comprises attaching at least one MEMS structure to a transparent substrate. The method further comprises forming a movable lens in contact with the at least one MEMS structure. | 05-20-2010 |
20100127341 | Imaging Device Manufacturing Method, Imaging Device and Portable Terminal - Provided are a method for manufacturing a low cost imaging device, the low cost imaging device manufactured by such method and a portable terminal using the imaging device. A silicon wafer | 05-27-2010 |
20100133633 | BEAM STEERING ELEMENT WITH BUILT-IN DETECTOR AND SYSTEM FOR USE THEREOF - An all-optical cross-connect switching system provides optical switching that may reduce processing requirements by three orders of magnitude over conventional techniques by associating at least one optical detector with an optical beam steering element. In one embodiment, a first beam steering element, having a reflective surface in optical association with a first optical fiber array, and a second beam steering element, having a reflective surface in optical association with a second optical fiber array, are optically arranged to direct an optical beam from a first optical fiber in the first optical fiber array to a second optical fiber in the second optical fiber array. The optical detector provides information about a first position of the optical beam on the second beam steering element. Based on this information, the angle of the first beam steering element may be adjusted to cause the optical beam to change to a second position on the second beam steering element. | 06-03-2010 |
20100133634 | PRODUCTION OF A SELF-ALIGNED CUSIN BARRIER - A semiconductor product includes a portion made of copper, a portion made of a dielectric and a self-aligned barrier between the copper portion and the dielectric portion. The self-aligned barrier includes a first copper silicide layer comprising predominantly first copper silicide molecules, and a second copper silicide layer comprising predominantly second copper silicide molecules. The proportion of the number of silicon atoms is higher in the second silicide molecules than in the first silicide molecules. The second copper silicide layer is positioned between the copper portion and the first copper silicide layer. A nitride layer may overlie at least part of the first copper silicide layer. | 06-03-2010 |
20100148289 | BACK ILLUMINATED SENSOR WITH LOW CROSSTALK - A back-illuminated image sensor includes a sensor layer having a frontside and a backside opposite the frontside. An insulating layer is situated adjacent the backside and a circuit layer is adjacent the frontside. A plurality of photodetectors of a first type conductivity convert light incident on the backside into photo-generated charges. The photodetectors are disposed in the sensor layer adjacent the frontside. A region of a second type conductivity is formed in at least a portion of the sensor layer adjacent the frontside and is connected to a voltage terminal for biasing the second type conductivity region at a predetermined voltage. A well of the second type conductivity is formed in the sensor layer adjacent the backside. Trench isolations in the sensor layer start at the frontside and extend beyond the depletion region of the photodiodes. | 06-17-2010 |
20100148290 | CMOS IMAGE SENSORS AND RELATED DEVICES AND FABRICATION METHODS - An image sensor device includes a substrate including a light sensing, region therein and a reflective structure on a first surface of the substrate over the light sensing region. An interconnection structure having a lower reflectivity than the reflective structure is provided on the first surface of the substrate adjacent to the reflective structure. A microlens is provided on a second surface of the substrate opposite the first surface. The microlens is configured to direct incident light to the light sensing region, and the reflective structure is configured to reflect portions of the incident light that pass through the light sensing region back toward the light sensing region. Related devices and fabrication methods are also discussed. | 06-17-2010 |
20100148291 | ULTRAVIOLET LIGHT FILTER LAYER IN IMAGE SENSORS - An image sensor includes one or more ultraviolet (UV) light filter layers disposed between an insulating layer and a color filter array (CFA) layer. The one or more UV light filter layers reflect or absorb UV light while transmitting visible light. | 06-17-2010 |
20100148292 | SEMICONDUCTOR DEVICE - A semiconductor device includes: a semiconductor substrate | 06-17-2010 |
20100155867 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device ( | 06-24-2010 |
20100155868 | IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - Disclosed are an image sensor and a manufacturing method thereof. The image sensor includes a circuit layer on a first surface of a semiconductor substrate, a metal interconnection layer on the circuit layer, trenches formed in a second surface of the semiconductor substrate along a boundary of a pixel, and a light blocking layer in the trenches. The backside illumination type image sensor according to the embodiment has a light blocking structure at a rear surface of the semiconductor substrate, thereby improving sensing efficiency while inhibiting interference between adjacent pixels. | 06-24-2010 |
20100155869 | METHOD OF MANUFACTURING SOLID-STATE IMAGE PICKUP DEVICE AND SOLID-STATE IMAGE PICKUP DEVICE - A method includes preparing a cover member; preparing an image pickup element including a substrate including a pixel region including a plurality of photo detectors on a principal surface, a first concavo-convex portion including a plurality of first convex portions configured to concentrate light on the plurality of photo detectors, the first convex portions each having a lens shape, and a second concavo-convex portion surrounding the first concavo-convex portion, the second concavo-convex portion including a plurality of second convex portions; and fixing the cover member to a region of the image pickup element using a fixing member, the region being between the first concavo-convex portion and the second concavo-convex portion. | 06-24-2010 |
20100155870 | LIGHT GUIDE ARRAY FOR AN IMAGE SENSOR - An image sensor pixel that includes a photoelectric conversion unit supported by a substrate and an insulator adjacent to the substrate. The pixel includes a light guide that is located within an opening of the insulator and extends above the insulator such that a portion of the light guide has an air interface. The air interface improves the internal reflection of the light guide. Additionally, the light guide and an adjacent color filter are constructed with a process that optimizes the upper aperture of the light guide. These characteristics of the light guide eliminate the need for a microlens. | 06-24-2010 |
20100164029 | GRADED ORDER-SORTING FILTER FOR HYPERSPECTRAL IMAGERS AND METHODS OF MAKING THE SAME - A graded order-sorting filter for hyperspectral imagers and methods of making the same are provided. The graded order-sorting filter includes a substrate wafer having a first side and a second side and is formed of a material that is substantially transparent to light photons. The graded order-sorting filter also includes an absorption filter deposited outwardly from the first side of the substrate wafer. The absorption filter is tapered along a taper direction and formed of a graded composition semiconductor material with a bandgap graded to decrease outwardly from the substrate wafer and/or graded along the taper direction. The graded composition semiconductor material is substantially transparent to the light photons for photon energies substantially less than the bandgap. The above filter can also be aligned to a two-dimensional array of pixels to form a hyperspectral imager. | 07-01-2010 |
20100164030 | CHIP CARRIER BEARING LARGE SILICON FOR HIGH PERFORMANCE COMPUTING AND RELATED METHOD - Embodiments of the present invention provide a system and method for manufacturing integrated circuit (IC) chip packages. In one embodiment, the integrated circuit (IC) chip package can include an IC chip and a substrate coupled to the IC chip. The substrate can include a glass fiber re-enforced epoxy core, a plurality copper circuitry containing particle re-enforced epoxy layers symmetrically-oriented to each surface of the glass fiber re-enforced epoxy core, and an outermost amorphous glass layer on each surface of the plurality of layers. The IC chip can be coupled to copper circuitry bonded to one of the outermost amorphous glass layers. | 07-01-2010 |
20100164031 | IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - An image sensor and a manufacturing method thereof are provided. The image sensor according to an embodiment includes: a semiconductor substrate where a light receiving device is formed for each pixel; a dielectric layer formed on the semiconductor substrate; and a metal layer formed in the dielectric layer and including metal wires and light shielding patterns formed on an interface between pixels. In the image sensor according to the embodiment, since the light shielding pattern is formed by using a dummy pattern of the metal wire, the light shielding pattern may be formed close to the semiconductor substrate to minimize generation of optical leakage current, thereby improving reliability of the device. | 07-01-2010 |
20100164032 | SEMICONDUCTOR OPTICAL SENSOR ELEMENT AND METHOD OF PRODUCING THE SAME - A method of producing a semiconductor optical sensor element includes the steps of: forming an oxide film on a silicon carbide substrate; forming a gate electrode layer on the oxide film; patterning the gate electrode layer to form a gate electrode; and processing thermally the gate electrode layer or the gate electrode under an oxidation environment. Further, the gate electrode layer or the gate electrode is thermally processed under the oxidation environment at a temperature between 750° C. and 900° C. | 07-01-2010 |
20100164033 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor and a method of manufacturing an image sensor. An image sensor may include a semiconductor substrate which may include a readout circuitry. An image sensor may include an interlayer dielectric over a semiconductor substrate, and/or a first metal pattern over an interlayer dielectric. An interconnection may penetrate an interlayer dielectric and/or may be connected to a readout circuitry. A first metal pattern may be formed over an interlayer dielectric, and/or may be connected to an interconnection. A second metal pattern may be formed over a first metal pattern. A photodiode pattern may be formed over a second metal pattern. | 07-01-2010 |
20100164034 | IMAGE SENSOR AND FABRICATION METHOD THEREOF - An image sensor and a method of fabricating an image sensor. A method of fabricating an image sensor may include forming a plurality of photodiodes on and/or over a semiconductor substrate, a filter array including color filters arranged corresponding to upper parts of photodiodes, a plurality of hydrophilic lenses arranged over a filter array spaced apart from one another, and/or a plurality of hydrophobic lenses arranged over a filter array between hydrophilic lenses. A curvature of a lens may be substantially equal in a horizontal, vertical and/or diagonal direction. | 07-01-2010 |
20100164035 | BACK SIDE ILLUMINATON IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - A back side illumination image sensor according to an embodiment includes: a device isolation region and a pixel region that are on a front side of a first substrate; a light sensor and a readout circuit that are on the pixel region; an interlayer dielectric layer and a metal line that are on the front side of the first substrate; a second substrate that is bonded to the front side of the first substrate on which the metal line is formed; a pixel isolating dielectric layer that is on the device isolation region at a back side of the first substrate; and a microlens that is on the light sensor at the back side of the first substrate | 07-01-2010 |
20100164036 | BACK SIDE ILLUMINATION IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - Disclosed are a back side illumination image sensor and a method for manufacturing the same. The back side illumination image sensor includes an isolation region and a pixel area on a front side of a first substrate; a photo detector and a readout circuitry on the pixel area; an interlayer dielectric layer and a metal line on the front side of the first substrate; a second substrate bonded to the front side of the first substrate formed with the metal line; a pixel division ion implantation layer on the isolation region at a back side of the first substrate; and a micro-lens on the photo detector at the back side of the first substrate. | 07-01-2010 |
20100164037 | METHOD FOR MANUFACTURING IMAGE SENSOR - A method of manufacturing an image sensor. A method of manufacturing an image sensor may include forming a circuit area including a circuitry on and/or over a semiconductor substrate having a pixel area and/or a peripheral area, provided with a photodiode. A method may include forming a metal interconnection layer, which may include a metal interconnection on and/or over a interlayer dielectric layer, on and/or over a circuit area, forming a trench over a metal interconnection layer of a pixel area, performing a cleaning process on and/or over a the metal interconnection layer including a trench, and/or forming a micro-lens on and/or over a bottom surface of a trench of a metal interconnection layer. | 07-01-2010 |
20100164038 | IMAGE SENSOR - Embodiments relate to an image sensor and a method of manufacturing the image sensor. An image sensor according to the embodiment includes: silicon patterns that are formed on a flexible substrate; a device isolation pattern that is formed between the silicon patterns; a circuit layer that is formed on the silicon patterns and has a first isolation pattern directly connected with the device isolation pattern; and a wiring layer that is formed on the circuit layer and includes a second isolation pattern corresponding to the first isolation pattern, and a wiring electrically connected with the circuit layer. The embodiments provide a flexible image sensor that can be applied to a variety of products and a method of manufacturing the flexible image sensor. | 07-01-2010 |
20100164039 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor includes a photodiode arranged over a semiconductor substrate, a core layer for an optical waveguide, to allow incident light to move toward the photodiode, the core layer being arranged over the photodiode, a clad layer for the optical waveguide, having a lower refractive index than the core layer to reflect the incident light to the photodiode, the clad layer being arranged over the side core layer, and a dielectric layer arranged over a side of the clad layer. An optical waveguide having a uniform refractive index and a flat light-reflection surface can be formed using semiconductor materials such as InP, InGaAsP, SiO2, SiON and PMMA. Furthermore, the optical waveguide can control a refractive index and thus reduce light loss, and a buffer layer can be simply formed by using a polymer. | 07-01-2010 |
20100164040 | Microlens Structure for Image Sensors - A microlens structure and a method of fabrication thereof are provided. The method comprises forming a layer of microlens material over a substrate, which has photo-sensitive elements formed therein. The microlens material, which comprises a photo-resist material, is exposed in accordance with a desired pattern a plurality of times. The energy used with each exposure process is less than the energy required if a single exposure is used. Furthermore, the masks used for each exposure may differ. In an embodiment, the masks are varied so as to create a notch in the upper corner of the microlens. The microlens structure may have a height less than about 0.5 um and/or a gap between microlenses less than about 0.2 um. In an embodiment, one or more dielectric layers having a combined thickness greater than about 3.5 um are interposed between the photo-sensitive elements and the microlenses. | 07-01-2010 |
20100171191 | IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - An image sensor includes at least one photoelectric conversion device formed in a silicon substrate, at least one lens formed on one side of the photoelectric conversion device and configured to collect light, a dielectric layer formed on the other side of the photoelectric conversion device and a reflective pattern formed on the dielectric layer. The reflective pattern serves as an electrical circuit interconnection and is configured to reflect the light passing through the dielectric layer such that the light is absorbed to the silicon substrate again. | 07-08-2010 |
20100176473 | IMAGING PHOTODETECTION DEVICE - An imaging photodetection device includes a plurality of photodetectors ( | 07-15-2010 |
20100176474 | BACK-LIT IMAGE SENSOR AND METHOD OF MANUFACTURE - A backside-illuminated image sensor includes photoelectric converters disposed in a front-side of a substrate and arranged to define pixels, back-side interlayer dielectric patterns disposed on the back-side of the substrate over the photoelectric converters, color filters arranged over the back-side interlayer dielectric patterns, and micro-lenses arranged over the color filters, wherein adjacent back-side interlayer dielectric patterns are separated by an intervening gap region having a refractive index less than that of the back-side interlayer dielectric patterns. | 07-15-2010 |
20100176475 | OPTICAL DEVICE AND METHOD FOR FABRICATING THE SAME - An optical device according to an aspect of the present invention includes: a semiconductor substrate layer including a plurality of elements; at least one optical component which is formed at the first principal surface side of the semiconductor substrate layer and transmits incident light of desired wavelength; and an interconnect layer formed on second principal surface of the semiconductor substrate layer. In the semiconductor substrate layer, (i) a photoelectric conversion element region is formed at a position corresponding to the at least one optical component, and (ii) at least one element among the plurality of elements is formed near the second principal surface. At least a part of the at least one optical component is formed as a part of the semiconductor substrate layer, and the interconnect layer includes the conductive material electrically connected to the photoelectric conversion element region and the at least one element. | 07-15-2010 |
20100176476 | OPTICAL DEVICE, SOLID-STATE IMAGING DEVICE, AND METHOD - An optical device including: an optical element including a light-receiving unit as a part of a top surface; a transparent member deposited on the optical element to cover the light-receiving unit; and a sealant formed to seal around the transparent member. The transparent member includes: a first protrusion formed in an upper region of a side surface of the transparent member such that a step is created on the side surface; and a tapered surface on an end surface of the first protrusion, the tapered surface being sloped such that a to cross-sectional area of the transparent member decreases towards an upper side of the transparent member. The sealant covers entirely at least a part of the side surface of the transparent member, the part of the side surface being located below the first protrusion. | 07-15-2010 |
20100181634 | METHOD AND STRUCTURE FOR REDUCING CROSS-TALK IN IMAGE SENSOR DEVICES - Provided is a method of fabricating an image sensor device. The method includes providing a semiconductor substrate having a front side and a back side, forming a first isolation structure at the front side of the semiconductor substrate, thinning the semiconductor substrate from the back side, and forming a second isolation structure at the back side of the semiconductor substrate. The first and second isolation structures are shifted with respect to each other. | 07-22-2010 |
20100181635 | METHOD AND STRUCTURE FOR REDUCING CROSS-TALK IN IMAGE SENSOR DEVICES - Provided is a method of fabricating an image sensor device. The method includes providing a semiconductor substrate having a front side and a back side, forming a first isolation structure at the front side of the semiconductor substrate, thinning the semiconductor substrate from the back side, and forming a second isolation structure at the back side of the semiconductor substrate. The first and second isolation structures are shifted with respect to each other. | 07-22-2010 |
20100181636 | OPTICAL DEVICE, SOLID-STATE IMAGING DEVICE, AND METHOD OF MANUFACTURING OPTICAL DEVICE - An optical device includes the following structures. An optical element includes a light-receiving element at an upper surface of the optical element. A transparent member is disposed on the upper surface to cover the light-receiving element. A case includes a bottom wall, a side wall protruding from an outer edge of the bottom wall, and a through-hole penetrating the bottom wall. A sealant is filled in a space defined by surfaces of the optical element, the transparent member, and the case, and also in the through-hole. Here, the optical element and the transparent member are stored in a region between the bottom wall and the side wall. The sealant is filled to the region to seal the space. The bottom wall is segmented into: a center region in which the optical element is placed; and a peripheral region outside the center region. The through-hole is arranged in the peripheral region. | 07-22-2010 |
20100187647 | High Density Photodiodes - The present invention is a front-side contact, back-side illuminated (FSC-BSL) photodiode arrays and front-side illuminated, back-side contact (FSL-BSC) photodiode arrays having improved characteristics, including high production throughput, low-cost manufacturing via implementation of batch processing techniques; uniform, as well as high, photocurrent density owing to presence of a large continuous homogeneous, heavily doped layer; and back to front intrachip connections via the homogenous, heavily doped layers on the front and back sides of the substrate. | 07-29-2010 |
20100187648 | PHOTOELECTIC CONVERSION DEVICE AND MANUFACTURING METHOD - A photoelectric conversion device is provided which is capable of improving the light condensation efficiency without substantially decreasing the sensitivity. The photoelectric conversion device has a first pattern provided above an element isolation region formed between adjacent two photoelectric conversion elements, a second pattern provided above the element isolation region and above the first pattern, and microlenses provided above the photoelectric conversion elements with the first and the second patterns provided therebetween. The photoelectric conversion device further has convex-shaped interlayer lenses in optical paths between the photoelectric conversion elements and the microlenses, the peak of each convex shape projecting in the direction from the electro-optical element to the microlens. | 07-29-2010 |
20100193892 | CMOS IMAGE SENSOR - Disclosed is a CMOS image sensor, which can minimize a reflectance of light at an interface between a photodiode and an insulating film, thereby enhancing image sensitivity. Such a CMOS image sensor includes a substrate provided with a photodiode consisting of Si, an insulating film consisting of SiO2 and formed on the substrate, a semi-reflection film interposed between the substrate and the insulating film, and metal interconnections, color filters and micro lenses constituting individual unit pixels. The semi-reflection film has a refraction index value between those of the Si photodiode and the SiO2 insulating film. | 08-05-2010 |
20100200941 | PHOTODIODE, OPTICAL COMMUNICATION DEVICE, AND OPTICAL INTERCONNECTION MODULE - Intended is to provide a device structure, which makes the light receiving sensitivity and the high speediness of a photodiode compatible. Also provided is a Schottky barrier type photodiode having a conductive layer formed on the surface of a semiconductor layer. The photodiode is so constituted that a light can be incident on the back side of the semiconductor layer, and that a periodic structure, in which a light incident from the back side of the semiconductor layer causes a surface plasmon resonance, is made around the Schottky junction of the photodiode. | 08-12-2010 |
20100200942 | SOLID STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND IMAGING APPARATUS - A solid state imaging device having a light sensing section that performs photoelectric conversion of incident light includes: an insulating layer formed on a light receiving surface of the light sensing section; a layer having negative electric charges formed on the insulating layer; and a hole accumulation layer formed on the light receiving surface of the light sensing section. | 08-12-2010 |
20100200943 | Photosensitive cell with light guide - An integrated circuit having a photosensitive cell with an entry face, a photosensitive element and at least two elements forming a light guide and placed between the entry face and the photosensitive element. The second element is located between the first element and the entry face such that the two elements guide the light coming from the entry face onto the photosensitive element and each element forms a light guide. The inner volume has a first surface located on the same side as the photosensitive element, a second surface located on the same side as the entry face, and a lateral surface joining said first surface to said second surface and separating the inner volume from the outer volume. The first surface of the inner volume of the second element has a smaller area than that of the second surface of the inner volume of the first element. | 08-12-2010 |
20100207223 | Optical device having light sensor employing horizontal electrical field - The optical device includes a waveguide and a light sensor on a base. The light sensor includes a light-absorbing medium configured to receive a light signal from the waveguide. The light sensor also includes field sources for generating an electrical field in the light-absorbing medium. The field sources are configured so the electrical field is substantially parallel to the base. | 08-19-2010 |
20100207224 | SOLID-STATE IMAGING DEVICE HAVING PENETRATION ELECTRODE FORMED IN SEMICONDUCTOR SUBSTRATE - A solid-state imaging device includes an imaging element, an external terminal, an insulating film, a penetration electrode, a first insulating interlayer, a first electrode, and a first contact plug. The imaging element is formed on a first main surface of a semiconductor substrate. The external terminal is formed on a second main surface facing the first main surface of the substrate. The insulating film is formed in a through-hole formed in the substrate. The penetration electrode is formed on the insulating film in the through-hole and electrically connected to the external terminal. The first insulating interlayer is formed on the first main surface of the substrate and the penetration electrode. The first electrode is formed on the first insulating interlayer. The first contact plug is formed in the first insulating interlayer between the penetration electrode and the first electrode to electrically connect the penetration electrode and the first electrode. | 08-19-2010 |
20100207225 | Solid-state imaging device, electronic apparatus, and method for manufacturing the same - A solid-state imaging device includes: photoelectric conversion elements disposed on an imaging surface of a substrate, receiving light incident on a light receiving surface and performing photoelectric conversion to produce a signal charge; electrodes interposed between the photoelectric conversion elements; and light blocking portions provided above the electrodes and interposed between the photoelectric conversion elements. The light blocking portions include an electrode light blocking portion formed to cover the corresponding electrode, and a pixel isolation and light blocking portion protruding convexly from the upper surface of the electrode light blocking portion. The photoelectric conversion elements are arranged at first pitches on the imaging surface. The electrode light blocking portions and the pixel isolation and light blocking portions in the light blocking portions are arranged at second and third pitches, respectively, on the imaging surface. At least the third pitch increases with distance from the center toward the periphery of the imaging surface. | 08-19-2010 |
20100207226 | IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - The image sensor includes a substrate, an insulating structure formed on a first surface of the substrate and including a first metal wiring layer exposed by a contact hole penetrating the substrate, a conductive spacer formed on sidewalls of the contact hole and electrically connected to the first metal wiring layer, and a pad formed on a second surface of the substrate and electrically connected to the first metal wiring layer. | 08-19-2010 |
20100213559 | Solid-state image pickup apparatus and production method thereof - A solid-state image pickup apparatus includes: a substrate in which a charge generation portion that generates a signal charge is formed on a surface layer; a layer covering an upper surface of the substrate; a waveguide formed on the layer covering the upper surface of the substrate at a position corresponding to the charge generation portion; a hollow portion formed on the layer covering the upper surface of the substrate at a position on an outer side of the waveguide; and an optically-transparent layer formed on the layer covering the upper surface of the substrate such that at least the hollow portion becomes airtight. | 08-26-2010 |
20100213560 | PAD DESIGN FOR BACKSIDE ILLUMINATED IMAGE SENSOR - A semiconductor image sensor device includes first and second semiconductor substrates. A pixel array and a control circuit are formed in a first surface of the first substrate. An interconnect layer is formed over the first surface of the first substrate and electrically connects the control circuit to the pixel array. A top conducting layer is formed over the interconnect layer to have electrical connectivity with at least one of the control circuit or the pixel array via the interconnect layer. A surface of a second substrate is bonded to the top conducting layer. A conductive through-silicon-via (TSV) passes through the second substrate, and has electrical connectivity with the top conducting layer. A terminal is formed on an opposite surface of the second substrate, and electrically connected to the TSV. | 08-26-2010 |
20100213561 | Optoelectronic Device with Germanium Photodetector - An optoelectronic device comprises a photodetector feature, an interfacial layer disposed above at least a portion of the photodetector feature, and a vertical contact disposed on at least a portion of the interfacial layer. The photodetector feature comprises germanium and is operative to convert a light signal into an electrical signal. The interfacial layer comprises nickel. Finally, the vertical contact is operative to transmit the electrical signal from the photodetector feature. | 08-26-2010 |
20100219495 | Photosensitizing chip package & manufacturing method thereof - A photosensitizing chip package construction and manufacturing method thereof is comprised of photosensitizing chips constructed on one side of a wafer using a bonding layer; a color attachment array being disposed over those photosensitizing chips; a glass substrate provided with weir and covered up over the color attachment array; a proper gap being defined between the glass substrate and the color attachment array to promote permeability of stream of light by direct receiving stream of light from those photosensitizing chips constructed over the wafer. | 09-02-2010 |
20100219496 | WAFER ARRANGEMENT AND A METHOD FOR MANUFACTURING THE WAFER ARRANGEMENT - The wafer arrangement ( | 09-02-2010 |
20100224946 | SOLID-STATE IMAGE PICKUP ELEMENT, METHOD OF MANUFACTURING THE SAME, AND IMAGE PICKUP APPARATUS INCLUDING THE SAME - An embodiment of the invention provides a solid-state image pickup element, including: a semiconductor layer having a photodiode, photoelectric conversion being carried out in the photodiode; a silicon oxide film formed on the semiconductor layer in a region having at least the photodiode by using plasma; and a film formed on the silicon oxide film and having negative fixed charges. | 09-09-2010 |
20100224947 | STACKED PIXEL FOR HIGH RESOLUTION CMOS IMAGE SENSOR - Provided is a solid-state CMOS image sensor, specifically a CMOS image sensor pixel that has stacked photo-sites, high sensitivity, and low dark current. In an image sensor including an array of pixels, each pixel includes: a standard photo-sensing and charge storage region formed in a first region under a surface portion of a substrate and collecting photo-generated carriers; a second charge storage region formed adjacent to the surface portion of the substrate and separated from the standard photo-sensing and charge storage region; and a potential barrier formed between the first region and a second region underneath the first region and diverting the photo-generated carriers from the second region to the second charge storage region. | 09-09-2010 |
20100224948 | SOLID-STATE IMAGING ELEMENT, METHOD FOR FABRICATING THE SAME, AND SOLID-STATE IMAGING DEVICE - A solid-state imaging element includes a semiconductor substrate formed with a valid pixel section including a plurality of photodetector sections, spacers formed on the valid pixel section, a transparent adhesive filling gaps among the spacers, and a transparent substrate which is bonded onto the spacers using the transparent adhesive and covers the valid pixel section when viewed in plan. Electrode pad sections are formed in a region of the semiconductor substrate located outside the valid pixel section. | 09-09-2010 |
20100237451 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING SAME - In a back-illuminated solid-state imaging device, a multilayer interconnect layer, a semiconductor substrate, a plurality of color filters, and a plurality of microlenses are provided in this order. A p-type region is formed so as to partition a lower portion of the semiconductor substrate into a plurality of regions, and an insulating member illustratively made of BSG is buried immediately above the p-type region. PD regions are isolated from each other by the p-type region and the insulating member. Moreover, a high-concentration region is formed in a lower portion of the PD region, and an upper portion is served as a low-concentration region. | 09-23-2010 |
20100237452 | SEMICONDUCTOR DEVICE AND BACKSIDE ILLUMINATION SOLID-STATE IMAGING DEVICE - A semiconductor substrate has a first principal face and a second principal face opposite thereto. A pixel unit, an analog circuit and a digital circuit are formed in a first, second and third region of the semiconductor substrate. An interconnect is formed on each of the first and second principal faces of the second region. A plurality of penetrative electrodes is formed in the semiconductor substrate to penetrate the first and second principal faces. These penetrative electrodes are electrically connected with interconnects formed in the first and second principal faces of the second region. A guard ring is formed in the semiconductor substrate to penetrate the first and second principal faces, the guard ring is surrounding the penetrative electrodes. | 09-23-2010 |
20100237453 | OPTOELECTRONIC DEVICE - The invention is an optoelectronic device comprising an active portion which converts light to electricity or converts electricity to light, the active portion having a front side for the transmittal of the light and a back side opposite from the front side, at least two electrical leads to the active portion to convey electricity to or from the active portion, an enclosure surrounding the active portion and through which the at least two electrical leads pass wherein the hermetically sealed enclosure comprises at the front side of the active portion a barrier material which allows for transmittal of light, one or more getter materials disposed so as to not impede the transmission of light to or from the active portion, and a contiguous gap pathway to the getter material which pathway is disposed between the active portion and the barrier material. | 09-23-2010 |
20100244165 | METHOD AND APPARATUS PROVIDING COMBINED SPACER AND OPTICAL LENS ELEMENT - A method and apparatus used for forming a lens and spacer combination, and imager module employing the spacer and lens combination. The apparatus includes a mold having a base, spacer section, and mold feature. The method includes using the mold with a blank to create a spacer that includes an integral lens. The spacer and lens combination and imager modules can be formed on a wafer level. | 09-30-2010 |
20100244166 | Multilayer wiring substrate, stack structure sensor package, and method of manufacturing stack structure sensor package - A multilayer wiring substrate has a through hole that passes from a first surface through to a second surface. The multilayer wiring substrate includes an electrical connection terminal formed in at least one of an inner edge portion which is a periphery of the through hole, an outer edge portion which is an outer periphery of the substrate, and a non-edge portion, on at least one of the first surface and the second surface. The electrical connection terminal has a castellation structure that does not pass through to a surface opposite to a formation surface. | 09-30-2010 |
20100244167 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING SAME - A solid-state imaging device includes: a substrate including a plurality of light receiving sections; an optical waveguide provided above each of the plurality of light receiving sections and surrounded by a cladding layer; a color filter provided above each of the optical waveguides; and a lens provided above the color filter, the optical waveguide including a first layer having a first refractive index and a second layer being in contact with the first layer and having a second refractive index higher than the first refractive index. | 09-30-2010 |
20100244168 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes: a substrate including a plurality of light receiving sections; and a color filter including a guided-mode resonant grating provided immediately above each of the plurality of light receiving sections, at least one of an upper surface and a lower surface of the guided-mode resonant grating being covered with a layer having a lower refractive index than the guided-mode resonant grating. | 09-30-2010 |
20100244169 | SOLID-STATE IMAGING DEVICE, FABRICATION METHOD THEREOF, IMAGING APPARATUS, AND FABRICATION METHOD OF ANTI-REFLECTION STRUCTURE - A fabrication method of an anti-reflection structure includes the steps of: forming a resin film having micro-particles dispersed therein on a surface of a substrate; forming a protrusion dummy pattern on the resin film by etching the resin film using the micro-particles in the resin film as a mask while gradually etching the micro-particles; and forming a protrusion pattern on the surface of the substrate by etching back the surface of the substrate together with the resin film having the protrusion dummy pattern formed thereon, and transferring a surface shape of the protrusion dummy pattern formed on a surface of the resin film to the surface of the substrate. | 09-30-2010 |
20100244170 | PHOTO DETECTOR AND OPTICALLY INTERCONNECTED LSI - A photo detector having an electrically conductive thin film and a light-receiving unit. A coupling periodic structure is provided on a surface of the film and converts incidence light to surface plasmon. The coupling periodic structure has an opening that penetrates the obverse and reverse surfaces of the thin film. The light-receiving unit is provided at one end of the opening in the surface that is opposite to the surface on which the coupling periodic structure is provided. The opening is shaped like a slit and is broader than half (½) the wavelength of the surface plasmon in a direction that intersects at right angles with a polarization direction of the incidence light and is narrower than half (½) the wavelength of the surface plasmon in a direction parallel to the polarization direction. | 09-30-2010 |
20100258890 | UNIT PIXEL OF IMAGE SENSOR HAVING THREE-DIMENSIONAL STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A unit pixel of an image sensor having a three-dimensional structure includes a first chip and a second chip which are stacked, one of the first chip and the second chip having a photodiode, and the other of the first chip and the second chip having a circuit for receiving information from the photodiode and outputting received information. The first chip includes a first pad which is projectedly disposed on an upper surface of the first chip in such a way as to define a concavo-convex structure, and the second chip includes a second pad which is depressedly disposed on an upper surface of the second chip in such a way as to define a concavo-convex structure corresponding to the concavo-convex structure of the first chip. The first chip and the second chip are mated with each other through bonding of the first pad and the second pad. | 10-14-2010 |
20100264503 | SOLID-STATE IMAGING DEVICE COMPRISING THROUGH-ELECTRODE - A solid-state imaging device includes an imaging element, an external terminal, an insulating film, a through-electrode and a first electrode. The imaging element is formed on a first major surface of a semiconductor substrate. The external terminal is formed on a second major surface opposing the first major surface of the semiconductor substrate. The insulating film is formed in a through-hole formed in the semiconductor substrate. The through-electrode is formed on the insulating film in the through-hole and electrically connected to the external terminal. The first electrode is formed on the through-electrode on the first major surface of the semiconductor substrate. When viewed from a direction perpendicular to the first major surface of the semiconductor substrate, an outer shape with which the insulating film and the semiconductor substrate are in contact is larger than an outer shape of the first electrode. | 10-21-2010 |
20100264504 | IMAGE SENSOR HAVING WAVE GUIDE AND METHOD FOR MANUFACTURING THE SAME - An image sensor having a wave guide includes a semiconductor substrate formed with a photodiode and a peripheral circuit region; an anti-reflective layer formed on the semiconductor substrate; an insulation layer formed on the anti-reflective layer; a wiring layer formed on the insulation layer and connected to the semiconductor substrate; at least one interlayer dielectric stacked on the wiring layer; and a wave guide connected to the insulation layer by passing through the interlayer dielectric and the wiring layer which are formed over the photodiode. | 10-21-2010 |
20100270636 | ISOLATION STRUCTURE FOR BACKSIDE ILLUMINATED IMAGE SENSOR - A backside illuminated image sensor includes an isolation structure passing through a substrate, a sensor element formed overlying the front surface of the substrate, and a color filter formed overlying the back surface of the substrate. | 10-28-2010 |
20100270637 | SOLID-STATE IMAGINING DEVICE - A solid-state imaging device includes: an imaging area in which light receiving portions are disposed; an interconnect layer disposed on the light receiving portions, the interconnect layer including metal interconnects having openings and first insulating films; inner-layer lenses formed over the interconnect layer in one-to-one relationship with the light receiving portions; a transparent second insulating film formed on the interconnect layer and the inner-layer lenses; top lenses formed on the second insulating film in one-to-one relationship with the light receiving portions, an upper face of each of the top lenses being a convexly curved face; and a transparent film on the top lenses, the transparent film being formed of a material having a refractive index smaller than a refractive index of the top lenses. In this way, a focal point of at least part of incident light can be situated above a semiconductor substrate. | 10-28-2010 |
20100276773 | PHOTOELECTRIC CONVERSION ELEMENT AND MANUFACTURING METHOD OF PHOTOELECTRIC CONVERSION ELEMENT - An object is to provide a photoelectric conversion element having a side surface with different taper angles by conducting etching of a photoelectric conversion layer step-by-step. A pin photodiode has a high response speed compared with a pn photodiode but has a disadvantage of large dark current. One cause of the dark current is considered to be conduction through an etching residue which is generated in etching and deposited on a side surface of the photoelectric conversion layer. Leakage current of the photoelectric conversion element is reduced by forming a structure in which a side surface has two different tapered shapes, which conventionally has a uniform surface, so that the photoelectric conversion layer has a side surface of a p-layer and a side surface of an n-layer, which are not in the same plane. | 11-04-2010 |
20100283111 | Photo detector - Disclosed is an improved photo detector, which includes a substrate, a light reception chip, and a coating layer. The substrate includes a first electrode member and a second electrode member. The light reception chip is set on the substrate and is electrically connected to the first and second electrode members of the substrate. The coating layer is formed on the light reception chip and functions to filter out visible light and allows only invisible light to transmit therethrough. As such, efficacies of receiving only visible light and minimizing the overall size can be realized. | 11-11-2010 |
20100283112 | Light Guide Array for An Image Sensor - An image sensor pixel that includes a photoelectric conversion unit ( | 11-11-2010 |
20100283113 | WAFER SCALE ARRAY OF OPTICAL PACKAGE AND METHOD FOR FABRICATING THE SAME - A wafer-scale array of optical packages and a method for fabricating the same. The wafer-scale array of optical packages includes at least one wafer-scale array of lens structures, including a wafer-scale array of first barrel structures and a wafer-scale array of lenses directly formed on the wafer-scale array of first barrel structures such that the wafer-scale array of lenses is integrally combined with the wafer-scale array of first barrel structures, the wafer-scale array of first barrel structures being made of a material different from a material of the lens of the wafer-scale array of lenses; and at least one wafer-scale array of second barrel structures stacked on and combined with the at least one wafer-scale array of lens structures. | 11-11-2010 |
20100289100 | SOLID-STATE IMAGE PICKUP DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGE PICKUP DEVICE, AND ELECTRONIC APPARATUS - Disclosed herein is a solid-state image pickup device including a solid-state image pickup element operable to produce an electric charge according to the amount of light received, a lens disposed on the upper side of a pixel of the solid-state image pickup element, a protective film which covers the upper side of the lens and a surface of which is flattened, and a surface film which is formed at the surface of the protective film and which is higher in hydrophilicity than the inside of the protective film. | 11-18-2010 |
20100289101 | IMAGE SENSOR - An image sensor including an array of pixels, wherein each pixel includes, in a vertical stack: a central photosensitive area; a stack of interconnects on top of the periphery of the photosensitive area, extending upwards up to a first height; a filtering layer on top of the photosensitive area, extending upwards from a height lower than the first height; and a microlens overlying the filtering layer in vertical projection, the optical axis of this microlens being such that the light rays received by the pixel reach the photosensitive area, substantially at its center. | 11-18-2010 |
20100295141 | TWO COLOUR PHOTON DETECTOR - A two-color radiation detector includes a mesa-type multi-layered mercury-cadmium-telluride detector structure monolithically integrated on a substrate. The detector is responsive to two discrete wavelength ranges separated by a wavelength range to which the detector is not responsive. The detector further includes two contact points deposited on the layer disposed furthest away from the entry point of the radiation, the contact points being isolated with respect to each other by a trench disposed within the layer. | 11-25-2010 |
20100295142 | Optical Element Manufacturing Method, Optical Element, Electronic Apparatus Manufacturing Method, and Electronic Apparatus - An optical element manufacturing method wherein change in optical characteristics before and after the reflow process is suppressed, while maintaining excellent transmittance as an optical element. The method is applicable to reflow process wherein an optical apparatus, including an electronic component such as a CCD image sensor ( | 11-25-2010 |
20100301437 | Anti-Reflective Coating For Sensors Suitable For High Throughput Inspection Systems - A sensor for capturing light at the ultraviolet (UV) or the deep UV wavelength includes a multi-layer anti-reflective coating (ARC). In a two-layer ARC, the first layer is formed on either the substrate or the circuitry layer, and the second layer is formed on the first layer and receives the light as an incident light beam. Notably, the first layer is at least twice as thick as the second layer, thereby minimizing an electrical field at a substrate surface due to charge trapping in the ARC. In a four-layer ARC, the third layer is formed on the second layer and the fourth layer is formed on the third layer. The first and third layers may be formed from the same material, and the second and fourth layers may be formed from materials having same/similar indexes of refraction. In this case, the first layer is at least twice as thick as any of the second, third, or fourth layers. | 12-02-2010 |
20100301438 | SOLID-STATE IMAGE PICKUP DEVICE, METHOD OF MANUFACTURING THE SAME AND ELECTRONIC APPARATUS - Disclosed herein is a solid-state image pickup device including: a trench formed in an insulating film above a light-receiving portion; a first waveguide core portion provided on an inner wall side of the trench; a second waveguide core portion filled in the trench via the first waveguide core portion; and a rectangular lens formed of the same material as that of the second waveguide core portion and provided integrally with the second waveguide core portion. | 12-02-2010 |
20100301439 | Solid-state imaging device and method of manufacturing solid-state imaging device - A solid state imaging device having a back-illuminated type structure in which a lens is formed on the back side of a silicon layer with a light-receiving sensor portion being formed thereon. Insulating layers are buried into the silicon layer around an image pickup region, with the insulating layer being buried around a contact layer that connects an electrode layer of a pad portion and an interconnection layer of the surface side. A method of manufacturing such a solid-state imaging device is also provided. | 12-02-2010 |
20100308427 | IMAGE SENSORS WITH LIGHT GUIDES - An image sensor may be formed from a planar semiconductor substrate. The image sensor may have an array of pixels. Each pixel may have a photosensitive element that is formed in the substrate and may have a light guide in a dielectric stack that guides light from a microlens and color filter to the photosensitive element. The light guides in pixels that are offset from the center of the image sensor may be tilted so that their longitudinal axes each form a non-zero angle with a vertical axis that lies perpendicular to the planar semiconductor substrate. These light guides may have laterally elongated openings that help collect light. A light guide may have a lower opening that matches the size of an associated photosensitive element. Photosensitive elements that are laterally offset from the center of the image sensor may be tilted. Pixels of different colors may have off-center photosensitive elements. | 12-09-2010 |
20100308428 | SEMICONDUCTOR LIGHT RECEIVING ELEMENT AND OPTICAL COMMUNICATION DEVICE - A semiconductor light receiving element comprises: a substrate, a semiconductor layer of a first conductivity type formed on the substrate, a non-doped semiconductor light absorbing layer formed on the semiconductor layer of the first conductivity type, a semiconductor layer of a second conductivity type formed on the non-doped semiconductor light absorbing layer, and an electro-conductive layer formed on the semiconductor layer of the second conductivity type. A plurality of openings, periodically arrayed, are formed in a laminated body composed of the electro-conductive layer, the semiconductor layer of the second conductivity type, and the non-doped semiconductor light absorbing layer. The widths of the openings are less than or equal to the wavelength of incident light, and the openings pass through the electro-conductive layer and the semiconductor layer of the second conductivity type to reach the non-doped semiconductor light absorbing layer. | 12-09-2010 |
20100314703 | IMAGE SENSOR PACKAGE AND IMAGE SENSING MODULE USING SAME - An exemplary image sensor package includes a base substrate, an image sensor, and a number of wires. The base substrate contains carbon nanotubes and alumina, and includes a number of base pads. The image sensor is mounted on the base substrate, and includes a sensing portion and a number of contacts. The wires electrically connect the base pads to the respective contacts. | 12-16-2010 |
20100314704 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MAKING THE SAME, AND IMAGING APPARATUS - A solid-state imaging device includes a light receiving unit formed in a semiconductor base and configured to perform photoelectric conversion; an insulating layer disposed on the semiconductor base; a film constituting a cladding of a waveguide together with the insulating layer and being formed in an outer part of an interior of a hole by coating, the hole being formed in the insulating layer above the light receiving unit; a core of the waveguide, the core being composed of a material having a higher refractive index than a material for the insulating layer and a material for the film formed by coating, the core being formed in an inner part of the interior of the hole; and an inner lens integrated with the waveguide, the inner lens having a lens surface formed at the bottom of the hole at the interface between the film formed by coating and the core. | 12-16-2010 |
20100320552 | CMOS Image Sensor - The present invention discloses a CMOS image sensor comprising: a substrate; a photo diode formed in the substrate; an interconnection formed on the substrate, wherein the portions of the interconnection are insulated from one another by a dielectric material; a light passage penetrating through at least part of the dielectric material; a micro lens above the light passage; and a color filter above the micro lens. | 12-23-2010 |
20100320553 | ILLUMINATED FINGER SENSOR ASSEMBLY AND RELATED METHODS - A finger sensor assembly may include a circuit board and an integrated circuit (IC) finger sensor grid array package including a grid array on a lower end thereof mounted to the circuit board, and a finger sensing area on an upper end thereof. The finger sensor assembly may further include at least one visible light source carried by the circuit board and a visible light guide optically coupled to the at least one visible light source. The at least one visible light source may at least partially laterally surround the upper end of the IC finger sensor grid array package to provide visual light indications. The IC finger sensor grid array package may also include circuitry for controlling the at least one visible light source. | 12-23-2010 |
20100320554 | METHOD OF MANUFACTURING SOLID STATE IMAGING DEVICE, AND SOLID STATE IMAGING DEVICE - Disclosed herein is a method of manufacturing a solid state imaging device, including the steps of: forming a light receiving portion in a light receiving area of a semiconductor substrate; forming a pad portion in a pad area of the semiconductor substrate; forming a microlens material layer over the light receiving portion and the pad portion; providing the microlens material layer with a microlens corresponding to the light receiving portion; forming a low-reflection material layer on the microlens material layer; etching the microlens material layer and the low-reflection material layer over the pad portion to form an opening; and imparting hydrophilicity to a surface of the low-reflection material layer and an inside portion of the opening by a normal temperature oxygen radical treatment. | 12-23-2010 |
20100327381 | SIDEWALL PHOTODETECTOR - Sidewall photodetectors for integrated photonic devices and their method of manufacture. An embodiment includes a p-i-n film stack formed on a sidewall of a substrate semiconductor feature having sufficiently large area to accommodate the spot size of a multi-mode fiber. An embodiment includes a first sidewall photodetector coupled to a second sidewall photodetector by a waveguide, the first sidewall photodetector having an i-layer tuned to absorb a first wavelength of light incident to the first sidewall and pass a second wavelength of light to the second sidewall photodetector having an i-layer tuned to absorb the second wavelength. | 12-30-2010 |
20100327382 | High Bandwidth, Monolithic Traveling Wave Photodiode Array - The monolithic application of a high speed TWPDA with impedance matching. Use of the high speed monolithic TWPDA will allow for more efficient transfer of optical signals within analog circuits and over distances. | 12-30-2010 |
20100327383 | SEMICONDUCTOR DEVICE INCLUDING THROUGH-ELECTRODE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a semiconductor device includes the following structure. The first insulating film is formed on a first major surface of a semiconductor substrate. The electrode pad is formed in the first insulating film. The electrode pad includes a conductive film. At least a part of the conductive film includes a free region in which the conductive film is not present. The external connection terminal is formed on a second major surface facing the first major surface. The through-electrode is formed in a through-hole formed from the second major surface side of the semiconductor substrate and reaching the electrode pad. The first insulating film is present in the free region, and a step, on a through-electrode side, between the first insulating film being present in the free region and the electrode pad is not greater than a thickness of the electrode pad. | 12-30-2010 |
20100327384 | SOLID-STATE IMAGE DEVICE - Stacked filters are primary color filters and complementary color filters. Thus it is possible to suppress an increase in spectral characteristics and improve the color reproducibility of the primary color filters. | 12-30-2010 |
20100327385 | SEMICONDUCTOR LIGHT-RECEIVING ELEMENT - The Si waveguide | 12-30-2010 |
20110001204 | TRANSIMPEDANCE AMPLIFIER HAVING A SHARED INPUT - Consistent the present disclosure, a receive circuit is provided that includes a balanced detector portion and a transimpedance amplifier (TIA). The anode of one photodiode is connected to the cathode of the other by a bonding pad, which supplies the sum of the currents generated in each photodiode to an input of the TIA. Thus, the TIA may, for example, have a single input, as opposed to multiple inputs, thereby reducing the number of connections so that the photodiodes and the TIA may be integrated onto a smaller die. In addition, since there are few connections, fewer TIAs are required and differential stages are unnecessary. Power consumption is thus reduced, and, since the photodiode current is fed through one input to the TIA, fewer feedback resistors are required, thereby reducing thermal noise. In addition, since the anode of one photodiode is connected to the cathode of the other, the dark current generated in each flows in opposite directions, and is therefore effectively cancelled out. Since one input is provided, impedance matching with other inputs is unnecessary, nor is additional DC biasing circuitry needed. As described in greater detail below, an example of the present disclosure includes a bonding pad, which connects the two photodiodes and provides the input current to the TIA. | 01-06-2011 |
20110001205 | Image sensor and semiconductor device including the same - Example embodiments relate to a three-dimensional image sensor including a color pixel array on a substrate, a distance pixel array on the substrate, an RGB filter on the color pixel array and configured to allow visible light having a first wavelength to pass, a near infrared light filter on the distance pixel array and configured to allow near infrared light having a second wavelength to pass, and a stack type single band filter on the RGB filter and the near infrared light filter and configured to allow light having a third wavelength between the first wavelength and the second wavelength to pass. According to example embodiments, a semiconductor device may include a color pixel array on a substrate; a distance pixel array on the substrate; a light-inducing member on the color pixel array and the distance pixel array; a RGB filter on the light-inducing member and configured to allow visible light to pass; a near infrared light filter on the light-inducing member and configured to allow near infrared light to pass; and a plurality of lenses on the RGB filter and the near infrared light filter. | 01-06-2011 |
20110001206 | IMAGE SENSOR DEVICE AND METHOD FOR MAKING SAME - The present invention discloses an image sensor device and a method for making an image sensor device. The image sensor device comprises an optical pixel and an electronic circuit, wherein the optical pixel includes: a substrate; an image sensor area formed in the substrate; a masking layer formed above the image sensor area, wherein the masking layer is formed during a process for forming the electronic circuit; and a light passage above the masking layer for increasing light sensing ability of the image sensor area. | 01-06-2011 |
20110001207 | SOLID STATE IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - A solid state image sensor includes: a first pixel and a second pixel, each including a light receiving portion; a first color filter formed in an upper part of the first pixel on a first main surface side of a semiconductor substrate; a second color filter formed in an upper part of the second pixel on the first main surface side of the semiconductor substrate; a metal interconnect layer formed on a second main surface side of the semiconductor substrate; and a substrate contact connected to the second main surface of the semiconductor substrate, and provided between the metal interconnect layer and the second main surface. The first color filter mainly transmits first light therethrough, and the second color filter mainly transmits second light therethrough. The second light has a shorter wavelength than that of the first light. The substrate contact is not provided in the first pixel. | 01-06-2011 |
20110006386 | Organic-Inorganic Hybrid Composition and Image Sensor - Disclosed are an organic-inorganic hybrid composition including a fluorene-based monomer, an inorganic particle, an initiator, and a solvent, and an image sensor made using the same. The organic-inorganic hybrid composition can have a high refractive index and excellent transmittance to minimize light loss, and the image sensor produced using the same may transport a greater amount of light to a photodiode. Accordingly, it is possible to accomplish a high resolution image sensor having improved sensitivity. | 01-13-2011 |
20110012217 | FREE-STANDING TWO-SIDED DEVICE FABRICATION - Devices having features deposited on two sides of a device substrate and methods for making the same. The devices are useful, for example, as the components in a macroelectronic system. In a preferred embodiment, the devices are photosensors having a plurality of electrodes patterned on a first side of the device and an electromagnetic interference filter patterned on a second side of the device. The method facilitates the fabrication of two-sided devices through the use of an immobilizing layer deposited on top of devices patterned on a first side of a device substrate; flipping the device substrate; processing the second side of the device substrate to produce patterned features on the second side of the device substrate; and releasing the devices having patterned elements on two sides of each device. | 01-20-2011 |
20110012218 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME - In fabrication of a semiconductor device mounted on a wiring board, a semiconductor circuit portion is formed over a glass substrate. Then, an interposer having connection terminals are bonded to the semiconductor circuit portion. After that, the glass substrate is peeled off from the semiconductor circuit portion, and a mold resin is poured to cover the periphery of the semiconductor circuit portion from a direction of the separation plane. Then, the mold resin is heated under predetermined conditions to be hardened. | 01-20-2011 |
20110018079 | APPARATUS AND METHOD OF MANUFACTURE FOR DEPOSITING A COMPOSITE ANTI-REFLECTION LAYER ON A SILICON SURFACE - An apparatus and associated method are provided. A first silicon layer having at least one of an associated passivation layer and barrier is included. Also included is a composite anti-reflection layer including a stack of layers each with a different thickness and refractive index. Such composite anti-reflection layer is disposed adjacent to the first silicon layer. | 01-27-2011 |
20110018080 | METHOD FOR MANUFACTURING SOLID STATE IMAGE FORMING DEVICE, AND SOLID STATE IMAGE FORMING DEVICE - A method for manufacturing a solid state image forming device according to an embodiment includes forming a transparent resin layer | 01-27-2011 |
20110018081 | PHOTODIODE DEVICE AND METHOD OF MANUFACTURING THE SAME - A photodiode device and methods of manufacturing the same are provided. The photodiode device comprises a light adsorption layer defining a light-facing side and a back-light side; a via passing through the adsorption layer, the via defining a side wall and a bottom surface; a conformal isolation layer covering the side wall and the bottom surface; a first patterned conductive layer disposed on the back-light side, the first patterned conductive layer having a first portion covering a first portion of the conformation isolation layer; a second patterned conductive layer disposed on the light-facing side of the adsorption layer; and an opening through the conformal isolation layer, wherein the opening is filled with the second patterned conductive layer such that the second patterned conductive layer is connected with the first portion of the first patterned conductive layer. | 01-27-2011 |
20110018082 | ELECTRONIC ASSEMBLY FOR IMAGE SENSOR DEVICE - An electronic assembly for an image sensor device is disclosed. The electronic assembly comprises a package module and a lens set mounted thereon. The package module comprises a device substrate comprising at least one grounding plug therein, in which the grounding plug is insulated from the device substrate and an array of optoelectronic devices therein. A transparent substrate comprises a dam portion attached to the device substrate to form a cavity between the device and transparent substrates. A micro-lens array is disposed within the cavity. A conductive layer is electrically connected to the grounding plug and covers the sidewalls of the lens set and the package module and the upper surface of the lens set. A method for fabricating the electronic assembly is also disclosed. | 01-27-2011 |
20110018083 | Method of producing semiconductor device, solid-state imaging device, method of producing electric apparatus, and electric apparatus - There is provided a method of producing a semiconductor device. The method includes the steps of: forming a first hard mask having an opening above a substrate; forming a sacrificial film above a side surface of the opening of the first hard mask; forming a second hard mask in the opening having the sacrificial film above the side surface; removing the sacrificial film after the second hard mask is formed; ion implanting a first conductivity-type impurity through the first hard mask; and ion implanting a second conductivity-type impurity through the first and second hard masks. | 01-27-2011 |
20110024856 | COLUMNATED BACKSIDE ILLUMINATION METHOD AND STRUCTURE - Imager devices, systems including the imager devices and methods of forming the imager devices are provided. The imager device has a substrate with first and second opposing sides. The imager also includes an array of imager pixels at the first side of the substrate, each including a photoconversion device. An antireflective material is on the second side of the substrate and a dielectric material is over the antireflective material. A light guide material is disposed within a plurality of openings in the dielectric material and optically aligned with a respective photoconversion device. | 02-03-2011 |
20110024857 | SOLID-STATE IMAGE PICKUP ELEMENT, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS USING THE SAME - Disclosed herein is a solid-state image pickup element, including: a semiconductor substrate; a pixel portion which is formed on the semiconductor substrate and in which a plurality of pixels each having a photoelectric conversion portion are arranged; an insulating layer formed on the semiconductor substrate so as to cover the photoelectric conversion portion; a hole portion formed in the insulating layer and above the photoelectric conversion portion; a silicon nitride layer formed so as to cover a bottom surface and a side surface of the hole portion; and a buried layer formed on the silicon nitride layer, wherein the silicon nitride layer is formed so as to contain a silicon nitride formed by utilizing an atomic layer deposition method. | 02-03-2011 |
20110024858 | SOLID-STATE IMAGING DEVICE AND METHOD FOR PRODUCING THE SAME - A solid-state imaging device includes a first substrate including a light-sensing portion configured to perform photoelectric conversion of incident light and a wiring portion provided on a light-incident side; an optically transparent second substrate provided on a wiring portion side of the first substrate at a certain distance; a through-hole provided in the first substrate; a through-via provided in the through-hole; a front-surface-side electrode connected to the through-via and provided on a front surface of the first substrate; a back-surface-side electrode connected to the through-via and provided on a back surface of the first substrate; and a stopper electrode provided on the front-surface-side electrode and filling a space between the front-surface-side electrode and the second substrate. | 02-03-2011 |
20110024859 | PHOTOELECTRIC CONVERSION DEVICE, FABRICATION METHOD FOR THE SAME, AND SOLID STATE IMAGING DEVICE - A photoelectric conversion device has a high S/N ratio and can increase the detection efficiency even under a low luminance. The photoelectric conversion device generates an increased electric charge by impact ionization in a photoelectric conversion unit formed from a chalcopyrite type semiconductor, so as to improve dark current characteristic. The photoelectric conversion device includes: a lower electrode layer; a compound semiconductor thin film of chalcopyrite structure disposed on the lower electrode layer and having a high resistivity layer on a surface; and a transparent electrode layer disposed on the compound semiconductor thin film, wherein the lower electrode layer, the compound semiconductor thin film, and the transparent electrode layer are laminated one after another, and a reverse bias voltage is applied between the transparent electrode layer and the lower electrode layer, and the multiplication by the impact ionization of the electric charge generated by photoelectric conversion is generated within the compound semiconductor thin film. It is also possible to provide a fabrication method for such photoelectric conversion device, and a solid state imaging device using the photoelectric conversion device. | 02-03-2011 |
20110031573 | SOLID-STATE IMAGING DEVICE, IMAGING APPARATUS, AND MANUFACTURING METHOD OF SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes: photodetection cells formed in a semiconductor substrate and including respective photodetection photoelectric conversion elements for detecting light coming form a subject; black level detection cells formed in the semiconductor substrate, for detecting a black level; and a light shield layer which is formed over an area where the photodetection cells and the black level detection cells are formed, has openings over the respective photodetection photoelectric conversion elements of the photodetection cells, has no openings over the black level detection cells, and has contact portions that are in contact with the semiconductor substrate, the contact portions being formed only in or in the vicinity of plan-view areas of the black level detection cells, respectively. | 02-10-2011 |
20110031574 | SOLID-STATE IMAGING DEVICE, IMAGING APPARATUS, AND MANUFACTURING METHOD OF SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes: a first well layer which is provided in a semiconductor substrate, has a conductivity type that is opposite to a conductivity type of the semiconductor substrate, and includes photoelectric conversion elements and a reading unit for reading signals corresponding to charges generated in the respective photoelectric conversion elements; a second well layer provided in the semiconductor substrate and having the conductivity type that is opposite to the conductivity type of the semiconductor substrate; and a light shield layer which is provided over an area where the photoelectric conversion elements are provided, has openings over the respective photoelectric conversion elements, and has contact portions that are in contact with the second well layer. | 02-10-2011 |
20110031575 | SOLID-STATE IMAGE SENSOR - A solid-state image sensor includes first and second pixels formed on a semiconductor substrate. The first pixel includes: a first photoelectric conversion region located in an upper portion of the semiconductor substrate; a first transfer electrode; a light-shield film covering the first transfer electrode and having a first opening on the first photoelectric conversion region; and a first anti-reflection film located on the first photoelectric conversion region and, when viewed in plan, within the first opening so as not to overlap the first light-shield film. The second pixel includes: a second photoelectric conversion region located in an upper portion of the semiconductor substrate; a second transfer electrode; the light-shield film covering the second transfer electrode and having a second opening on the second photoelectric conversion region; and a second anti-reflection film located on the second photoelectric conversion region and continuously extending to a portion on the second transfer electrode. | 02-10-2011 |
20110037133 | Semiconductor Photodetector Structure and the Fabrication Method Thereof - A semiconductor photodetector structure is provided. The structure includes a substrate, a photodetecting element and a semiconductor layer disposed on the photodetecting element. The substrate includes a first semiconductor material and includes a deep trench. The surface of the deep trench includes a first type dopant. The photodetecting element is disposed in the deep trench. The photodetecting element includes a second semiconductor material. The semiconductor layer includes a second type dopant. | 02-17-2011 |
20110037134 | Solid-State Image Sensor Device - In a solid-state image sensor device, the efficiency of light collection to a light-receiving region of a photodiode PD through a microlens is enhanced by arranging a wiring line configuration. Each of the first metal layer and the second metal layer is arranged to have a ring-like portion formed along a profile of the light-receiving region of the photodiode PD in a fashion that an upper position over the photodiode PD is surrounded by the first and second metal layers and a third metal layer. | 02-17-2011 |
20110037135 | INTEGRATED CIRCUIT MANUFACTURING METHOD - A method of providing a dielectric material ( | 02-17-2011 |
20110042765 | IMAGE SENSOR AND MANUFACTURING METHOD FOR SAME - An image sensor including a first region where a pad is to be formed, and a second region where a light-receiving element is to be formed. A pad is formed over a substrate of the first region. A passivation layer is formed over the substrate of the first and second regions to expose a portion of the pad. A color filter is formed over the passivation layer of the second region. A microlens is formed over the color filter. A bump is formed over the pad. A protective layer is formed between the bump and the pad to expose the portion of the pad. | 02-24-2011 |
20110042766 | PHOTODETECTOR, LIQUID CRYSTAL DISPLAY DEVICE, AND LIGHT EMITTING DEVICE - One embodiment of the present invention includes a first light-blocking layer and a second light-blocking layer which are over a light-transmitting substrate, a first photodiode over the first light-blocking layer, a second photodiode over the second light-blocking layer, a first color filter covering the first photodiode, a second color filter covering the second photodiode, and a third light-blocking layer formed using the first color filter and the second color filter and disposed between the first photodiode and the second photodiode. | 02-24-2011 |
20110042767 | FILTERS IN AN IMAGE SENSOR - A method of forming an image sensor having a sensor, a cover, and a filter, that may include applying a filter layer to a cover layer by masking the cover layer with a predetermined pattern and applying the filter layer by a deposition process. The method may also include bonding the cover layer to a sensor layer including a plurality of sensors. The predetermined pattern may result in a filter layer which is aligned with each sensor. There may be gaps in the filter layer around each sensor. | 02-24-2011 |
20110042768 | Semiconductor Device and Method for Manufacturing Semiconductor Device - An object is to prevent a reduction of definition (or resolution) (a peripheral blur) caused when reflected light enters a photoelectric conversion element arranged at a periphery of a photoelectric conversion element arranged at a predetermined address. A semiconductor device is manufactured through the steps of: forming a structure having a first light-transmitting substrate, a plurality of photoelectric conversion elements over the first light-transmitting substrate, a second light-transmitting substrate provided so as to face the plurality of photoelectric conversion elements, a sealant arranged so as to bond the first light-transmitting substrate and the second light-transmitting substrate and surround the plurality of photoelectric conversion elements; and thinning the first light-transmitting substrate by wet etching. | 02-24-2011 |
20110042769 | ULTRAVIOLET DETECTING DEVICE AND MANUFACTURING METHOD THREOF, AND ULTRAVIOLET QUANTITY MEASURING APPARATUS - The present invention provides an ultraviolet detecting device which comprises a silicon semiconductor layer having a thickness ranging from greater than or equal to 3 nm to less than or equal to 36 nm, which is formed over an insulating layer, lateral PN-junction type first and second photodiodes formed in the silicon semiconductor layer, an interlayer insulating film formed over the silicon semiconductor layer, a first filter layer made of silicon nitride, which is formed over the interlayer insulating film provided over the first photodiode and causes light lying in a wavelength range of an UV-B wave or higher to pass therethrough, and a second filter layer made of silicon nitride, which is formed over the interlayer insulating film provided over the second photodiode and allows light lying in a wavelength range of an UV-A wave or higher to pass therethrough. | 02-24-2011 |
20110042770 | WAFER LEVEL PROCESSING FOR BACKSIDE ILLUMINATED IMAGE SENSORS - A backside illuminated image sensor comprises a sensor layer having a plurality of photosensitive elements of a pixel array, an oxide layer adjacent a backside surface of the sensor layer, and at least one dielectric layer adjacent a frontside surface of the sensor layer. A color filter array is formed on a backside surface of the oxide layer, and a transparent cover is attached to the backside surface of the oxide layer overlying the color filter array. Redistribution metal conductors are in electrical contact with respective bond pad conductors through respective openings in the dielectric layer. A redistribution passivation layer is formed over the redistribution metal conductors, and contact metallizations are in electrical contact with respective ones of the respective redistribution metal conductors through respective openings in the redistribution passivation layer. The image sensor may be implemented in a digital camera or other type of digital imaging device. | 02-24-2011 |
20110049660 | WAVEGUIDE PHOTO-DETECTOR - Provided is a waveguide photodetector that may improve an operation speed and increase or maximize productivity. The waveguide photodetector includes a waveguide layer extending in a first direction, an absorption layer disposed on the waveguide layer, a first electrode disposed on the absorption layer, a second electrode disposed on the waveguide layer, the second electrode being spaced from the first electrode and the absorption layer in a second direction crossing the first direction, and at least one bridge electrically connecting the absorption layer to the second electrode. | 03-03-2011 |
20110049661 | SOLID-STATE IMAGING DEVICE AND PROCESS OF MAKING SOLID STATE IMAGING DEVICE - A solid state imaging device includes an array of pixels, each of the pixels includes: a pixel electrode; an organic layer; a counter electrode; a sealing layer; a color filter; and a readout circuit as defined herein, the photoelectric layer contains an organic p type semiconductor and an organic n type semiconductor, an ionization potential of the charge blocking layer and an electron affinity of the organic n type semiconductor in the photoelectric layer have a difference of at least 1 eV, and the solid-state imaging device further includes a transparent partition wall between adjacent color filters of adjacent pixels of the array of pixels, the partition wall being made from a transparent material having a lower refractive index than a material forming the color filters. | 03-03-2011 |
20110057277 | Image sensor structure and fabricating method therefor - An image sensor structure and a method for making the image sensor structure, for avoiding or mitigating lens shading effect. The image sensor structure includes a substrate, a sensor array disposed at the surface of the substrate, a dielectric layer covering the sensor array, wherein the dielectric layer includes a top surface having a dishing structure, an under layer filled into the dishing structure and having a refraction index greater than that of the dielectric layer, a filter array disposed on the under layer corresponding to the sensor array, and a microlens array disposed above the filter array. A top layer may be additionally disposed to cover the filter array and the microlens array is disposed on the top layer. | 03-10-2011 |
20110057278 | X-RAY DETECTOR USING LIQUID CRYSTAL DEVICE - An X-ray detector includes a first substrate having a bottom surface on which a first electrode is formed. A second substrate has a top surface on which a second electrode and a polyimide layer are sequentially formed. A photoconductive layer is formed on a bottom surface of the first electrode and generates electron-hole pairs. A reflective layer is formed on a bottom surface of the photoconductive layer. A liquid crystal polymer layer is formed on a bottom surface of the reflective layer, and peaks and valleys are alternately formed on a bottom surface of the liquid crystal polymer layer. A liquid crystal layer is formed between the liquid crystal polymer layer and the polyimide layer, and liquid crystal molecules are aligned in a direction in which the peaks and valleys on the bottom surface are arranged. | 03-10-2011 |
20110057279 | ANTI-REFLECTIVE IMAGE SENSOR - An anti-reflective image sensor and method of fabrication are provided, the sensor including a substrate; first color sensing pixels disposed in the substrate; second color sensing pixels disposed in the substrate; third color sensing pixels disposed in the substrate; a first layer disposed directly on the first, second and third color sensing pixels; a second layer disposed directly on the first layer overlying the first, second and third color sensing pixels; and a third layer disposed directly on portions of the second layer overlying at least one of the first or second color sensing pixels, wherein the first layer has a first refractive index, the second layer has a second refractive index greater than the first refractive index, and the third layer has a third refractive index greater than the second refractive index. | 03-10-2011 |
20110057280 | COLOR IMAGING DEVICE AND COLOR IMAGING DEVICE FABRICATING METHOD - In a color imaging device in which a color filter layer is formed respectively for a plurality of photoelectric conversion elements arranged on a substrate, the color filter layer comprises an underlying layer of a transparent resin and a pigment layer. The pigment layer is heat fused on the underlying layer. A heat treatment temperature for heat fusing is at or above a glass transition temperature of the transparent resin constituting the underlying layer. | 03-10-2011 |
20110062540 | SOLID-STATE IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a solid-state image sensor includes a semiconductor substrate including a first surface on which light enters, and a second surface opposite to the first surface, a pixel region formed in the semiconductor substrate, and including a photoelectric conversion element which converts the incident light into an electrical signal, a peripheral region formed in the semiconductor substrate, and including a circuit which controls an operation of the element in the pixel region, a plurality of interconnects which are formed in a plurality of interlayer insulating films stacked on the second surface, and are connected to the circuit, and a support substrate formed on the stacked interlayer insulating films and the interconnects. An uppermost one of the interconnects formed in an uppermost one of the interlayer insulating films is buried in a first trench formed in the uppermost interlayer insulating film. | 03-17-2011 |
20110068423 | PHOTODETECTOR WITH WAVELENGTH DISCRIMINATION, AND METHOD FOR FORMING THE SAME AND DESIGN STRUCTURE - The disclosure relates generally to photodetectors and methods of forming the same, and more particularly to optical photodetectors. The photodetector includes a waveguide having a radius that controls the specific wavelength or specific range of wavelengths being detected. The disclosure also relates to a design structure of the aforementioned. | 03-24-2011 |
20110068424 | THICK BOND PAD FOR CHIP WITH CAVITY PACKAGE - Disclosed herein an image sensor chip, including a substrate having at least one via extending through at least one inter layer dielectric (ILD); a first conductive layer over the ILD, wherein the first conductive layer has a first thickness; a second conductive layer over the first conductive layer, wherein the second conductive layer has a second thickness of less than the first thickness; a polymer layer over the second conductive layer, the polymer layer including a cavity; a plurality of cavity components in the cavity; and an optically transparent layer contacting the polymer layer and covering the cavity. | 03-24-2011 |
20110068425 | Optical device having light sensor employing horizontal electrical field - The device includes an optical waveguide on a base. The waveguide is configured to guide a light signal through a light-transmitting medium. A light sensor is also positioned on the base. The light sensor including a ridge extending from slab regions. The slab regions are positioned on opposing sides of the ridge. A light-absorbing medium is positioned to receive at least a portion of the light signal from the light-transmitting medium included in the waveguide. The light-absorbing medium is included in the ridge and also in the slab regions. The light-absorbing medium includes doped regions positioned such that an application of a reverse bias across the doped regions forms an electrical field in the light-absorbing medium included in the ridge. | 03-24-2011 |
20110068426 | PHOTODIODES AND METHODS FOR FABRICATING PHOTODIODES - A photodiode includes an opening over an active photodiode region so that a top passivation layer and interlayer dielectric layers (ILDs) do not affect the spectral response of the photodiode. A dielectric reflective optical coating filter, which includes a plurality of dielectric layers, fills at least a portion of the opening and thereby covers the active photodiode region, to shape a spectral response of the photodiode. Alternatively, the dielectric reflective optical coating filter is formed prior to the opening, and the opening is formed by removing a top passivation coating and ILDs to expose the dielectric reflective optical coating filter. | 03-24-2011 |
20110073972 | VERTICAL MIRROR IN A SILICON PHOTONIC CIRCUIT - A vertical total internal reflection (TIR) mirror and fabrication thereof is made by creating a re-entrant profile using crystallographic silicon etching. Starting with an SOI wafer, a deep silicon etch is used to expose the buried oxide layer, which is then wet-etched (in HF), opening the bottom surface of the Si device layer. This bottom silicon surface is then exposed so that in a crystallographic etch, the resulting shape is a re-entrant trapezoid with facets These facets can be used in conjunction with planar silicon waveguides to reflect the light upwards based on the TIR principle. Alternately, light can be coupled into the silicon waveguides from above the wafer for such purposes as wafer level testing. | 03-31-2011 |
20110073973 | SEMICONDUCTOR LIGHT RECEIVING ELEMENT - A semiconductor light detecting element includes: a semiconductor substrate; and a distributed Bragg reflector layer of a first conductivity type, an optical absorption layer, and a semiconductor layer of a second conductivity type, sequentially laminated on the semiconductor substrate. The distributed Bragg reflector layer includes first and second alternately laminated semiconductor layers with different band-gap wavelengths, sandwiching the wavelength of detected incident light. The sum of thicknesses a first and a second semiconductor layer is approximately one-half the wavelength of the incident light detected. | 03-31-2011 |
20110073974 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - In one embodiment, a method for manufacturing a semiconductor device includes following steps. An aperture is formed in an interlayer insulating film formed on a semiconductor wafer apart from an integrated circuit portion by etching process. The interlayer insulating film has a dielectric constant smaller than a silicon oxide film (SiO | 03-31-2011 |
20110073975 | SEMICONDUCTOR DEVICE, ELECTRONIC APPARATUS, AND MANUFACTURING METHODS THEREOF - According to one embodiment, a semiconductor device includes a semiconductor substrate having a first surface and a second surface at an opposite side thereof. The first surface has an active layer with a light-receiving part. The semiconductor device also includes an adhesive layer provided to surround the light-receiving part on the first surface of the semiconductor substrate; a light-transmissive protective member disposed above the light-receiving part of the semiconductor substrate with a predetermined gap and adhered via the adhesive layer; and plural external connection terminals arranged in a predetermined array on the second surface of the semiconductor substrate are included. Each center point of the external connection terminals forming two facing edges is positioned inside of an area of the adhesive layer projected on the second surface among the outermost external connection terminals. | 03-31-2011 |
20110073976 | BACK-SIDE IMAGE SENSOR - A color back-side illuminated image sensor including, on the side of the thin semiconductor layer opposite to the illuminated surface, periodic thickness unevennesses forming an optic network having characteristics which make it capable of reflecting a given wavelength chosen within the range of the wavelengths of an illuminating incident beam. | 03-31-2011 |
20110073977 | AMINO ACID GENERATOR AND POLYSILOXANE COMPOSITION CONTAINING THE SAME - There is provided an amino acid generator comprising a protecting group for an amino group that is eliminated to generate an amino acid, and a coating film forming composition using the amino acid generator and a polysiloxane composition containing the amino acid generator. A coating film forming composition comprising: a component (A): an amino acid generator comprising a protecting group that is eliminated to generate an amino acid, which is a compound of Formula (1): D-A (1) where D is a protecting group for an amino group, and A is an organic group remaining after subtracting hydrogen atoms from an amino group of an amino acid; a component (B): a hydrolyzable silane, a hydrolysis product thereof, a hydrolysis-condensation product thereof, or a mixture thereof; and a component (C): a solvent. | 03-31-2011 |
20110079867 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device capable of making reduction in reflection at the interface between a light guide and an incident unit consistent with improvement in condensing efficiency by the light guide is provided. The solid-state imaging device includes a substrate internally including a photoelectric conversion unit, and a condensing unit provided on an optical incident side of the substrate. A configuration satisfying relationships of |N | 04-07-2011 |
20110079868 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREOF - According to one embodiment, a solid-state imaging device includes a semiconductor substrate of a first conductive type having a diffusion layer region provided on a surface thereof, a diffusion layer of the first conductive type for a pixel separation whose bottom portion is formed at the deepest position of the diffusion layer region in a pixel region, and a first deep diffusion layer of the first conductive type provided at the deepest position of the diffusion layer region in a first peripheral logic region for electrically connecting the semiconductor substrate and the first peripheral logic region and having a first concentration gradient equal to that of the diffusion layer for pixel separation. | 04-07-2011 |
20110084350 | SOLID STATE IMAGE CAPTURE DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a solid state image capture device includes a multilayered interconnect layer, a semiconductor substrate, a pillar diffusion layer and an insulating member. The multilayered interconnect layer includes an interconnect. The semiconductor substrate is provided on the multilayered interconnect layer and the semiconductor substrate has a through-trench. The pillar diffusion layer is formed in the semiconductor substrate around the through-trench. In addition, an insulating member is filled into the through-trench. | 04-14-2011 |
20110089514 | COLOR-OPTIMIZED IMAGE SENSOR - An image sensor pixel array includes a photoelectric conversion unit comprising a second region in a substrate and vertically below a gate electrode of a transistor. A first region under a top surface of the substrate and above the second region supports a channel of the transistor. A color filter transmits a light via a light guide, the gate electrode and the first region to generate carriers collected by the second region. The gate electrode may be made thinner by a wet etch. An etchant for thinning the gate electrode may be introduced through an opening in an insulating film on the substrate. The light guide may be formed in the opening after the thinning. An anti-reflection stack may be formed at a bottom of the opening prior to forming the light guide. | 04-21-2011 |
20110095388 | AVALANCHE PHOTODIODE - The invention relates to an avalanche photodiode ( | 04-28-2011 |
20110095389 | Optoelectronic Semiconductor Device and Method of Fabrication - An optoelectronic device comprising an optically active layer that includes a plurality of domes is presented. The plurality of domes is arrayed in two dimensions having a periodicity in each dimension that is less than or comparable with the shortest wavelength in a spectral range of interest. By virtue of the plurality of domes, the optoelectronic device achieves high performance. A solar cell having high energy-conversion efficiency, improved absorption over the spectral range of interest, and an improved acceptance angle is presented as an exemplary device. | 04-28-2011 |
20110101480 | COMPACT CAMERA MODULE AND METHOD FOR FABRICATING THE SAME - A compact camera module (CCM) includes an image sensor, a lens unit and a specific filter glass unit. The image sensor is used for sensing an image. The lens unit is used for guiding light beams toward the image sensor. The specific filter glass unit is implemented external to the lens unit and has the image sensor and the lens unit disposed on opposite sides of the specific filter glass unit, for filtering out a specific light of the light beams. | 05-05-2011 |
20110101481 | Photodetector Array Having Array of Discrete Electron Repulsive Elements - Photodetector arrays, image sensors, and other apparatus are disclosed. In one aspect, an apparatus may include a surface to receive light, a plurality of photosensitive regions disposed within a substrate, and a material coupled between the surface and the plurality of photosensitive regions. The material may receive the light. At least some of the light may free electrons in the material. The apparatus may also include a plurality of discrete electron repulsive elements. The discrete electron repulsive elements may be coupled between the surface and the material. Each of the discrete electron repulsive elements may correspond to a different photosensitive region. Each of the discrete electron repulsive elements may repel electrons in the material toward a corresponding photosensitive region. Other apparatus are also disclosed, as are methods of use, methods of fabrication, and systems incorporating such apparatus. | 05-05-2011 |
20110101482 | METHOD OF MANUFACTURE OF A BACKSIDE ILLUMINATED IMAGE SENSOR - A method of manufacturing a backside illuminated image sensor includes providing a start material that has a layer of semiconductor material on a substrate. The layer of semiconductor material has a first face and a second, backside, face. The layer of semiconductor material is processed to form semiconductor devices in the layer adjacent the first face. At least a part of the substrate is removed to leave an exposed face. A passivation layer is formed on the exposed face, the passivation layer having negative fixed charges. The passivation layer can be Al2O3 (Sapphire). The passivation layer can have a thickness less than 5 μm, advantageously less than 1 μm, and more advantageously in the range 1 nm-150 nm. Another layer, or layers, can be provided on the passivation layer, including: an anti-reflective layer, a layer to improve passivation, a layer including a color filter pattern, a layer comprising a microlens. | 05-05-2011 |
20110101483 | TWO COLOUR PHOTON DETECTOR - A two-colour radiation detector ( | 05-05-2011 |
20110108938 | IMAGE SENSOR HAVING WAVEGUIDES FORMED IN COLOR FILTERS - An image sensor having an array of pixels disposed in a substrate. Each pixel includes a photosensitive element, a color filter, and waveguide walls. The waveguide walls are disposed in the color filter and surround portions of the color filter to form waveguides through the color filter. The refractive index of the waveguide walls is less than the refractive index of the color filter. The image sensor may be back side illuminated (BSI) or front side illuminated (FSI). In some embodiments, metal walls may be coupled to the waveguide walls. | 05-12-2011 |
20110108939 | METHOD FOR FORMING A BACK-SIDE ILLUMINATED IMAGE SENSOR - A method for manufacturing a back-side illuminated image sensor, including the steps of: forming, inside and on top of an SOI-type silicon layer, components for trapping and transferring photogenerated carriers and isolation regions; forming a stack of interconnection levels on the silicon layer and attaching, on the interconnect stack, a semiconductor handle; removing the semiconductor support; forming, in the insulating layer and the silicon layer, trenches reaching the isolation regions; depositing a doped amorphous silicon layer, more heavily doped than the silicon layer, at least on the walls and the bottom of the trenches and having the amorphous silicon layer crystallize; and filling the trenches with a reflective material. | 05-12-2011 |
20110115040 | Semiconductor Optoelectronic Structure and the Fabricating Method Thereof - A method of fabricating a semiconductor optoelectronic structure is provided. First, a substrate is provided, and a waveguide is formed therein, and then a plurality of dielectric layers is formed on the waveguide. Next, a contact pad and a passivation layer are provided on the dielectric layers and a patterned mask layer is formed thereon. Last, an etching process is provided by using the patterned mask layer to expose the contact pad and remove a portion of the passivation layer and the dielectric layers to form a transformer. | 05-19-2011 |
20110115041 | NANOWIRE CORE-SHELL LIGHT PIPES - Embodiments relate to methods and devices comprising an optical pipe comprising a core and a cladding. An embodiment includes obtaining a substrate comprising a photodiode and a first protective layer, the first protective layer having a predetermined thickness and growing a nanowire having a length L on the photodiode, wherein the length L is greater than the predetermined thickness of the protective layer. Another embodiment includes (1) obtaining a substrate comprising a photodiode and a protective layer, (2) fabricating a nanowire light pipe on the photodiode, the light pipe comprising a nanowire core and a cladding; and (3) coating the substrate and the nanowire light pipe with a protective coating. | 05-19-2011 |
20110115042 | STRUCTURE FOR DECREASING MINIMUM FEATURE SIZE IN AN INTEGRATED CIRCUIT - A structure for decreasing minimum feature size in an integrated circuit design that includes a substrate comprising a first material is provided. The structure comprises a layer of second material formed on a surface of the substrate and a micro-aperture formed in the layer of second material. The micro-aperture has sidewalls formed to be substantially perpendicular to the surface of the substrate and a horizontal tip formed on the surface of the substrate and extending orthogonally from a portion of the sidewalls. | 05-19-2011 |
20110121420 | REVERSE IMAGE SENSOR MODULE AND METHOD FOR MANUFACTURING THE SAME - A reverse image sensor module includes first and second semiconductor chips, and first and second insulation layers. The first semiconductor chip includes a first semiconductor chip body having a first surface and a second surface facing away from the first surface, photodiodes disposed on the first surface, and a wiring layer disposed on the second surface and having wiring lines electrically connected to the photodiodes and bonding pads electrically connected to the wiring lines. The second semiconductor chip includes a second semiconductor chip body having a third surface facing the wiring layer, and through-electrodes electrically connected to the bonding pads and passing through the second semiconductor chip body. The first insulation layer is disposed on the wiring layer, and the second insulation layer is disposed on the third surface of the second semiconductor chip body facing the first insulation layer and is joined to the first insulation layer. | 05-26-2011 |
20110121421 | IMAGE SENSOR HAVING NONLINEAR RESPONSE - In previously known imaging devices as in still and motion cameras, for example, image sensor signal response typically is linear as a function of intensity of incident light. Desirably, however, akin to the response of the human eye, response is sought to be nonlinear and, more particularly, essentially logarithmic. Preferred nonlinearity is realized in image sensor devices of the invention upon severely limiting the number of pixel states, combined with clustering of pixels into what may be termed as super-pixels. | 05-26-2011 |
20110121422 | SOLID-STATE IMAGE SENSOR - A solid-state image sensor having a configuration which reduces increases in light-collection loss and light mixing due to an increase in the angle of light entering into a waveguide path during oblique incidence and which is effective for sensitivity improvement includes: an Si substrate; unit-pixels arranged on the Si substrate; a wiring layer formed on the unit-pixels; optical waveguide regions each formed on a photoelectric conversion region included in a corresponding one of the unit-pixels, and penetrating the wiring layer; and light-collecting elements each formed above a corresponding one of the optical waveguide regions, wherein each of the light-collecting elements is a gradient index microlens having an effective refractive index distribution. | 05-26-2011 |
20110127628 | ION IMPLANTATION TO CHANGE THE OPTICAL PROPERTIES OF THE PASSIVATION FILMS IN CMOS IMAGER DEVICES - Imager sensor pixels, image sensor and methods for forming image sensors. An image sensor pixel includes a photosensor, a microlens that receives incident light, at least one fabrication layer between the photosensor and the microlens and a passivation layer between the microlens and the at least one fabrication layer. The passivation layer includes a plurality of impurities and passes the incident light from the microlens to the photosensor without substantially redirecting the incident light. | 06-02-2011 |
20110127629 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A solid state imaging device including a semiconductor layer, an insulating material in an opening penetrating a surface of the semiconductor layer, and a protective film that is resistant to etching covering one end of the insulating material on an interior side of the semiconductor layer. | 06-02-2011 |
20110133301 | WAFER LEVEL OPTICAL IMAGING APPARATUS - A wafer level optical imaging apparatus includes a covering substrate that covers an imaging unit. A top shading layer is formed on a top surface of the covering substrate, and a bottom shading layer is formed on a bottom surface of the covering substrate. | 06-09-2011 |
20110140219 | PHOTOELECTRIC CONVERSION DEVICE - A device includes a plurality of photoelectric conversion regions, an interlayer insulating film arranged on the plurality of photoelectric conversion regions, a protective insulating film that is arranged in contact with the interlayer insulating film and has a refractive index different from that of the interlayer insulating film, recesses arranged in a light-receiving surface of each of the plurality of photoelectric conversion regions, and embedded regions embedded in the recesses. When a wavelength of incident light to each of the plurality of photoelectric conversion regions is denoted by λ and a refractive index of the embedded regions is denoted by n, a depth d of the recesses is represented by an expression d≧λ/4n. | 06-16-2011 |
20110140220 | MICROELECTRONIC DEVICE, IN PARTICULAR BACK SIDE ILLUMINATED IMAGE SENSOR, AND PRODUCTION PROCESS - A process for producing a microelectronic device includes producing a first semiconductor substrate which includes a first layer and a second layer present between a first side and a second side of the substrate. First electronic components and an interconnecting part are produced on and above the second side. The substrate is then thinned by a first selective etch applied from the first side and stopping on the first layer followed by a second selective etch stopping on the second layer. A second substrate is attached over the interconnecting part. The electronic components may comprise optoelectronic devices which are illuminated through the second layer. | 06-16-2011 |
20110140221 | IMAGE SENSOR HAVING CURVED MICRO-MIRRORS OVER THE SENSING PHOTODIODE AND METHOD FOR FABRICATING - The invention involves the integration of curved micro-mirrors over a photodiode active area (collection area) in a CMOS image sensor (CIS) process. The curved micro-mirrors reflect light that has passed through the collection area back into the photo diode. The curved micro-mirrors are best implemented in a backside illuminated device (BSI). | 06-16-2011 |
20110140222 | PASSIVATION PLANARIZATION - A pixel cell is formed by locating a first passivation layer over the final layer of metal lines. Subsequently, the uneven, non-uniform passivation layer is subjected to a planarization process such as chemical mechanical polishing, mechanical abrasion, or etching. A spin-on glass layer may be deposited over the non-uniform passivation layer prior to planarization. Once a uniform, flat first passivation layer is achieved over the final metal, a second passivation layer, a color filter array, or a lens forming layer with uniform thickness is formed over the first passivation layer. The passivation layers can be oxide, nitride, a combination of oxide and nitride, or other suitable materials. The color filter array layer may also undergo a planarization process prior to formation of the lens forming layer. The present invention is also applicable to other devices. | 06-16-2011 |
20110147869 | INTEGRATED INFRARED SENSORS WITH OPTICAL ELEMENTS, AND METHODS - An infrared (IR) radiation sensor device ( | 06-23-2011 |
20110147870 | PHOTODETECTOR WITH VALENCE-MENDING ADSORBATE REGION AND A METHOD OF FABRICATION THEREOF - According to an embodiment, a photodetector is provided, including a detector region, a first contact region forming an interface with the detector region, and a first valence mending adsorbate region between the first contact region and the detector region. | 06-23-2011 |
20110147871 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - To provide a semiconductor device and a method of manufacturing the same, which have a device structure ensuring high degrees of reliability and mass-productivity at low cost. | 06-23-2011 |
20110147872 | OPTICAL DEVICE, ELECTRONIC DEVICE, AND METHOD OF MANUFACTURING THE SAME - An optical device includes a semiconductor device, a light receiving part formed on the main surface of the semiconductor device, and a transparent board laminated above the main surface of the semiconductor device, with an adhesive material interposed between the transparent board and the main surface of the semiconductor device. A serrated part is formed on at least one of (i) the main surface that is of the transparent board and faces the semiconductor device and (ii) the back surface of the transparent board. | 06-23-2011 |
20110156183 | INTEGRATED OPTICAL RECEIVER ARCHITECTURE FOR HIGH SPEED OPTICAL I/O APPLICATIONS - An integrated optical receiver architecture may be used to couple light between a multi-mode fiber (MMF) and silicon chip which includes integration of a silicon de-multiplexer and a high-speed Ge photo-detector. The proposed architecture may be used for both parallel and wavelength division multiplexing (WDM) based optical links with a data rate of 25 Gb/s and beyond. | 06-30-2011 |
20110156184 | Methods for improving detector response and system thereof - A method and system for detecting light in accordance with other embodiments of the present invention includes providing at least one imaging sensor that detects a band of wavelengths. At least one layer of undoped quantum dots is optically coupled to the at least one imaging sensor. The at least one layer of undoped quantum dots absorbs at one or more wavelengths outside the band of wavelengths and outputs at least partially in the band of wavelengths. | 06-30-2011 |
20110156185 | Resin Composition for Protection Layer of Color Filter, Protection Layer of Color Filter Using Same and Image Sensor Including Same - Disclosed is a resin composition for a protective layer of a color filter including an acrylate-based resin including a repeating unit represented by each of Chemical Formulae 1 to 3, a melamine-based resin represented by Chemical Formula 4, a thermal acid generator (TAG), and a solvent. | 06-30-2011 |
20110156186 | SOLID-STATE IMAGING DEVICE - Certain embodiments provide a solid-state imaging device including: a plurality of pixels provided on a semiconductor substrate, each of the pixels having a semiconductor region that converts incident light from a side of a first face of the semiconductor substrate into signal charges and stores the signal charges; a readout circuit provided on a side of a second face that is the opposite side from the first face, and reading out the signal charges stored in the pixels; and a pixel separation structure provided between adjacent ones of the pixels in the semiconductor substrate, the pixel separation structure including a stack film buried in a trench extending from the first face, the stack film including a first insulating film provided along side faces and a bottom face of the trench, and a fixed charge film provided in the trench to cover the first insulating film and retaining fixed charges that are non-signal charges. | 06-30-2011 |
20110156187 | IMAGE SENSOR PACKAGING STRUCTURE WITH PREDETERMINED FOCAL LENGTH - An image sensor packaging structure with a predetermined focal length is provided. The image sensor packaging structure includes a substrate, a chip, an optical assembly, and an encapsulation compound. The chip has a sensitization area and is coupled to the substrate. Conductive contacts on the substrate are electrically connected with conductive contacts around the sensitization area. The optical assembly has the predetermined focal length and is disposed above the chip so as to form an air cavity between the optical assembly and the sensitization area of the chip. The encapsulation compound is formed on the substrate to surround the chip and the optical assembly. With the above stated structure, not only can the focus adjusting procedure be dispensed with, but also the image sensor packaging structure can be manufactured by a molding or dispensing process. | 06-30-2011 |
20110156188 | IMAGE SENSOR PACKAGING STRUCTURE WITH LOW TRANSMITTANCE ENCAPSULANT - An image sensor packaging structure with a low transmittance encapsulant is provided. The image sensor packaging structure includes a substrate, a chip, a transparent lid, and the low transmittance encapsulant. The chip is combined with the substrate. The transparent lid is adhered to the chip and cover above a sensitization area of the chip to form an air cavity. The low transmittance encapsulant is formed on the substrate and encapsulates the chip and the transparent lid so as to accomplish the package of the image sensor packaging structure. Due to the feature of prohibiting from light passing through the low transmittance encapsulant, the arrangement of the low transmittance encapsulant can avoid the light from outside interfere the image sensing effect of the image sensor. Therefore, the quality of the image sensing can be ensured. | 06-30-2011 |
20110156189 | PHOTODETECTOR WITH A PLASMONIC STRUCTURE - This photodetector capable of detecting electromagnetic radiation comprises:
| 06-30-2011 |
20110163403 | NANOSTRUCTURE-BASED TRANSPARENT CONDUCTORS HAVING INCREASED HAZE AND DEVICES COMPRISING THE SAME - The present disclosure relates to modifications to nanostructure based transparent conductors to achieve increased haze/light-scattering with different and tunable degrees of scattering, different materials, and different microstructures and nanostructures. | 07-07-2011 |
20110169117 | Cross-Talk Suppression in Geiger-Mode Avalanche Photodiodes - An avalanche photodiode detector is provided with a substrate including an array of avalanche photodiodes. An optical interface surface of the substrate is arranged for accepting external input radiation. There is provided at least one cross-talk blocking layer of material including apertures positioned to allow external input radiation to reach photodiodes and including material regions positioned for attenuating radiation in the substrate that is produced by photodiodes in the array. Alternatively at least one cross-talk blocking layer of material is disposed on the optical interface surface of the substrate to allow external input radiation to reach photodiodes and attenuate radiation in the substrate that is produced by photodiodes in the array. At least one cross-talk filter layer of material can be disposed in the substrate adjacent to the photodiode structures, including a material that absorbs radiation in the substrate that is produced by photodiodes in the array. | 07-14-2011 |
20110169118 | OPTICAL DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - The present invention is has an object of providing an optical device miniaturized while maintaining bonding strength between a semiconductor substrate and a light-transmissive plate, reducing possibility of warpage, and maintaining yields and design flexibility, a method of manufacturing the optical device, and an electronic apparatus. The optical device according to the present invention includes a semiconductor substrate having one surface in which a light-receiving element is formed; and a light-transmissive plate provided above the semiconductor substrate so as to cover the light-receiving element. The semiconductor substrate and the light-transmissive plate are partially bonded above a light-receiving unit of the semiconductor substrate. The light-receiving element is formed in the light-receiving unit. | 07-14-2011 |
20110169119 | METHODS TO FABRICATE AND IMPROVE STAND-ALONE AND INTEGRATED FILTERS - Embodiments of the invention provide for fabricating a filter, for electromagnetic radiation, in at least three ways, including (1) fabricating integrated thin film filters directly on a detector; (2) fabricating a free standing thin film filter that may be used with a detector; and (3) treating an existing filter to improve the filter's properties. | 07-14-2011 |
20110169120 | INTEGRATED CIRCUIT WITH GRATING AND MANUFACTURING METHOD THEREFOR - Disclosed is an integrated circuit ( | 07-14-2011 |
20110175182 | Optical Seneor Package Structure And Manufactueing Method Thereof - An optical sensor package structure includes a substrate, a metal plate, an optical sensing chip, a plurality of bonding wires and a lens module. The substrate includes a top surface, a bottom surface and a hole penetrating the top surface and the bottom surface. The metal plate covers the hole from the bottom surface of the substrate. The optical sensing chip is received in the hole and mounted on the metal plate. The bonding wires interconnect the optical sensing chip and the top surface of substrate. The lens module is covering on the hole and mounting on the top surface of the substrate to enclose the optical sensing chip and the bonding wires. Because the optical sensing chip is received in the hole of the substrate, the height of the optical sensor package structure can be reduced to adapt to a compact size electrical device. | 07-21-2011 |
20110175183 | INTEGRATED PLASMONIC LENS PHOTODETECTOR - Metal-semiconductor-metal (MSM) photodetectors may see increased responsivity when a plasmonic lens is integrated with the photodetector. The increased responsivity of the photodetector may be a result of effectively ‘guiding’ photons into the active area of the device in the form of a surface plasmon polariton. In one embodiment, the plasmonic lens may not substantially decrease the speed of the MSM photodetector. In another embodiment, the Shottkey contacts of the MSM photodetector may be corrugated to provide integrated plasmonic lens. For example, one or more of the cathodes and anodes can be modified to create a plurality of corrugations. These corrugations may be configured as a plasmonic lens on the surface of a photodetector. The corrugations may be configured as parallel linear corrugations, equally spaced curved corrugations, curved parallel corrugations, approximately equally spaced concentric circular corrugations, chirped corrugations or the like. | 07-21-2011 |
20110175184 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE - The invention provides a solid-state imaging device and a method of manufacturing a solid-state imaging device capable of reducing a variation in the shape of an in-layer lens and deeply forming a lens portion. Disclosed is a method of manufacturing a solid-state imaging device including a photoelectric conversion unit and a light shielding film. The method includes: forming the light shielding film; forming a first insulating film and performing a reflow process on the first insulating film; etching the first insulating film such that the first insulating film remains only in a side portion of the light shielding film; forming a second insulating film; and forming another insulating film. A lens portion is formed on another insulating film so as to protrude toward the photoelectric conversion unit, and the lens portion has a shape corresponding to the surface shape of the second insulating film. | 07-21-2011 |
20110175185 | SOLID STATE BACK-ILLUMINATED PHOTON SENSOR - A backside-illuminated image sensor is disclosed having improved quantum efficiency (QE) in the near infrared wavelengths (NIR: 750-1100 nm) with minimal optical interference fringes produced by multiple reflected rays within the photosensitive Si region of the sensor, which may be a charge-coupled device, a complementary metal oxide sensor or an electron-multiplication sensor. The invention comprises a fringe suppression layer applied to the backside surface of the photosensitive Si region of a detector (Si substrate) whereby the fringe suppression layer functions in concert with the Si substrate to reduce the occurrence of interference fringes in the NIR while maintaining a high QE over a broad range of wavelengths (300-1100 nm). The combination of a fringe suppression layer applied to a Si substrate provides a new class of back illuminated solid state detectors for imaging. | 07-21-2011 |
20110180891 | CONDUCTOR PACKAGE STRUCTURE AND METHOD OF THE SAME - The present invention provides a conductor package structure comprising an optical sensor element. A filling material is filled around the optical sensor element. At least one conductor element is formed through the filling material from top side to the back side for signal connection. A redistribution layer is formed on the at least one conductor element and coupled to die pad of the optical sensor element. A transparent material is formed on the redistribution layer. | 07-28-2011 |
20110180892 | SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME - A semiconductor package and a method for manufacturing the same are provided. The semiconductor package includes a semiconductor chip having a first surface, a second surface and a pixel area, first adhesion patterns disposed on the first surface, second adhesion patterns disposed between the first adhesion patterns and the pixel area and disposed on the first surface, and external connection terminals disposed on the second surface, wherein the second adhesion patterns and the external connection terminals are disposed to overlap each other. | 07-28-2011 |
20110180893 | IMAGING MODULE, FABRICATING METHOD THEREFOR, AND IMAGING DEVICE - An imaging module includes an imaging chip including a micro-lens guiding incident light and an imaging element in a semiconductor substrate and converting the incident light into an electric signal, and a polarizing glass chip including a polarizing filter glass having a polarizer determining a polarization direction of the incident light arranged on a transparent substrate such that the polarizer faces the micro-lens and a spacer member connected to the polarizing filter glass to adjust a gap between the polarizer and the micro-lens of the imaging chip. In the imaging module, a melt-bonding surface of the spacer member is melt-bonded to the semiconductor substrate such that the polarizer of the polarizing glass chip and the micro-lens of the imaging chip are arranged close to each other via the gap, and the imaging element and the micro-lens of the imaging chip are sealed by the polarizing glass chip. | 07-28-2011 |
20110186950 | METHOD OF FABRICATING IMAGE SENSOR AND IMAGE SENSOR THEREOF - A method of fabricating an image sensor and an image sensor thereof are provided. The method comprises: providing a mask; utilizing the mask at a first position to form a first group of micro-lenses having a first height on a first group of color filters of a color filter array on a pixel array; shifting the mask from the first position to a second position, wherein a distance between the first position and the second position is substantially equal to a width of a pixel of the pixel array; and utilizing the mask at the second position to form a second group of micro-lenses having a second height, different from the first height, on a second group of color filters of the color filter array. | 08-04-2011 |
20110186951 | BACKSIDE ILLUMINATED SENSOR AND MANUFACTURING METHOD THEREOF - Disclosed is a backside illuminated image sensor including a light receiving element formed in a first substrate, an interlayer insulation layer formed on the first substrate including the light receiving element, a via hole formed through the interlayer insulation layer and the first substrate while being spaced apart from the light receiving element, a spacer formed on an inner sidewall of the via hole, an alignment key to fill the via hole, interconnection layers formed on the interlayer insulation layer in a multilayer structure in which a backside of a lowermost layer of the interconnection layers is connected to the alignment key, a passivation layer covering the interconnection layers, a pad locally formed on a backside of the first substrate and connected to a backside of the alignment key, and a color filter and a microlens formed on the backside of the first substrate corresponding to the light receiving element. | 08-04-2011 |
20110186952 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING THEREOF, AND ELECTRONIC APPARATUS - Provided is a solid-state imaging device including a first photoelectric-conversion-portion selectively receiving a first wavelength light in incident light and performing photoelectric conversion; and a second photoelectric-conversion-portion selectively receiving a second wavelength light which is shorter than the first wavelength, wherein the first photoelectric-conversion-portion is laminated above the second photoelectric-conversion-portion in an imaging area of a substrate so that the second photoelectric-conversion-portion receives the light transmitting the first photoelectric-conversion-portion, wherein a transmitting portion is formed in the first photoelectric-conversion-portion so that the second wavelength light transmits the second photoelectric-conversion-portion more than other portions, and wherein the transmitting portion is formed to include a portion satisfying the following Equation within a width D defined in the direction of the imaging area, a refraction index n of a peripheral portion of the transmitting portion, and the longest wavelength λc of the second wavelength range selectively photoelectrically-converted in the second photoelectric-conversion-portion: | 08-04-2011 |
20110186953 | METHOD FOR PRODUCING AN OPTOELECTRONIC SEMICONDUCTOR COMPONENT AND OPTOELECTRONIC SEMICONDUCTOR COMPONENT - An optoelectronic semiconductor component includes a semiconductor body connected to a main area of a carrier body by a solder layer, wherein sidewalls of the semiconductor body are provided with a dielectric layer, and a mirror layer applied to the dielectric layer. | 08-04-2011 |
20110193188 | IMAGE SENSOR - An image sensor comprising a black pixel region and an active pixel region is provided. The active pixel region is adjacent to the black pixel region. The black pixel region comprises a dummy black pixel region and a readout black pixel region. The readout black pixel region is surrounded by the dummy black pixel region. The dummy black pixel region comprises a photo-sensitive element, a first shielding layer, a second shielding layer and a third shielding layer. The first shielding layer, the second shielding layer and the third shielding layer are used for blocking the incident light going into the photo-sensitive element. The first shielding layer, the second shielding layer and the third shielding layer cover the photo-sensitive element, and the second shielding layer is interposed between the first shielding layer and the third shielding layer. | 08-11-2011 |
20110198716 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SAME - An image sensor includes a color filter, an over-coating layer formed on the color filter, and a medium layer formed on the over-coating layer, wherein the medium layer is configured with at least two medium layers of which refractive indices are different from each other. | 08-18-2011 |
20110204461 | Stack-type image sensor - Example embodiments are directed to a stack-type image sensor including resistance change elements. The stack-type image sensor includes at least two light-sensing layers that detect different color light stacked on different layers. The stack-type image sensor may not require a size of a unit pixel that detects a light color to be less than 1 μm in order to generate a high resolution color image. As such, resolution saturation may be avoided. | 08-25-2011 |
20110204462 | METHOD AND APPARATUS PROVIDING AN IMAGER MODULE WITH A PERMANENT CARRIER - Method and apparatus providing a wafer level fabrication of imager modules in which a permanent carrier protects imager devices on an imager wafer and is used to support a lens wafer. | 08-25-2011 |
20110204463 | WAVELENGTH OPTICAL FILTER STRUCTURE AND ASSOCIATED IMAGE SENSOR - The invention relates to an optical filter structure composed of at least two adjacent elementary optical filters, an elementary optical filter being centred on an optimum transmission frequency, characterised in that it comprises a stack of n metallic layers (m | 08-25-2011 |
20110215432 | SPECTROSCOPIC SENSOR AND ELECTRONIC APPARATUS - A spectroscopic sensor has plural angle limiting filters that limit incident angles of incident lights, plural light band-pass filters that transmit specific wavelengths, and plural photodiodes to which corresponding transmitted lights are input. The spectroscopic sensor is a semiconductor device in which the angle limiting filters, the light band-pass filters, and the photodiodes are integrated, and, assuming that the surface on which impurity regions for the photodiodes are formed is a front surface of a semiconductor substrate, holes for receiving lights are formed in the impurity regions from the rear surface side. | 09-08-2011 |
20110221018 | Electronic Device Package and Methods of Manufacturing an Electronic Device Package - An electronic device package comprises a substrate | 09-15-2011 |
20110221019 | Silicon-Based Schottky Barrier Detector With Improved Responsivity - A planar, waveguide-based silicon Schottky barrier photodetector includes a third terminal in the form of a field plate to improve the responsivity of the detector. Preferably, a silicide used for the detection region is formed during a processing step where other silicide contact regions are being formed. The field plate is preferably formed as part of the first or second layer of CMOS metallization and is controlled by an applied voltage to modify the electric field in the vicinity of the detector's silicide layer. By modifying the electric field, the responsivity of the device is “tuned” so as to adjust the momentum of “hot” carriers (electrons or holes, depending on the conductivity of the silicon) with respect to the Schottky barrier of the device. The applied potential functions to align with the direction of momentum of the “hot” carriers in the preferred direction “normal” to the silicon-silicide interface, allowing for an increased number to move over the Schottky barrier and add to the generated photocurrent. | 09-15-2011 |
20110221020 | WAFER LENS ARRAY AND METHOD FOR MANUFACTURING THE SAME - A wafer lens array comprising a plurality of lens sections arranged one-dimensionally or two-dimensionally, a substrate section connecting the lens sections, and gap sections, wherein the lens surfaces in the lens section each have one or more curved surfaces; the gap section is a part projecting from outside than the inner edge of the lens section; and the inner surfaces of the gap sections are spread from a side near the lens section to the other side. | 09-15-2011 |
20110221021 | Solid state image pickup device and method of producing solid state image pickup device - Forming a back-illuminated type CMOS image sensor, includes process for formation of a registration mark on the wiring side of a silicon substrate during formation of an active region or a gate electrode. A silicide film using an active region may also be used for the registration mark. Thereafter, the registration mark is read from the back side by use of red light or near infrared rays, and registration of the stepper is accomplished. It is also possible to form a registration mark in a silicon oxide film on the back side (illuminated side) in registry with the registration mark on the wiring side, and to achieve the desired registration by use of the registration mark thus formed. | 09-15-2011 |
20110221022 | OPTICAL MEMBER, SOLID-STATE IMAGING DEVICE, AND MANUFACTURING METHOD - An optical member including high refractive index layers having a great refractive index and low refractive index layers having a small refractive index, which are each relatively thin as compared with an optical length, disposed alternately in the lateral direction as to an optical axis. Each width of the high refractive index layers and the low refractive index layers is equal to or smaller than the wavelength order of incident light. | 09-15-2011 |
20110227180 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a solid-state imaging device includes a multilayer wiring layer, a semiconductor substrate, an impurity diffusion region of a second conductivity type, an anti-reflection film, a color filter, and a metallic layer. The semiconductor substrate is provided on the multilayer wiring layer and includes a first conductivity type layer. The impurity diffusion region of the second conductivity type partitions the first conductivity type layer into a plurality of regions. The anti-reflection film is provided on the semiconductor substrate. The color filter is provided on the anti-reflection film for each of the partitioned regions. The metallic layer is formed in a region of a lower surface of the semiconductor substrate except the partitioned regions. The anti-reflection film is not provided in a region immediately above the metallic layer. | 09-22-2011 |
20110227181 | PHOTOELECTRIC CONVERSION FILM-STACKED SOLID-STATE IMAGING DEVICE WITHOUT MICROLENSES, ITS MANUFACTURING METHOD, AND IMAGING APPARATUS - There are provided a circuit board; a semiconductor substrate bonded to a light-incidence-side surface of the circuit board; a photoelectric conversion film stacked on a layer that is disposed on the light incidence side of the semiconductor substrate; an imaging device chip having signal reading means which is formed in a surface portion of the semiconductor substrate, for reading out, as shot image signals, signals corresponding to signal charge amounts detected by the photoelectric conversion film according to incident light quantities; a transparent substrate bonded to a layer that is disposed on the light incidence side of the photoelectric conversion film with a transparent resin adhesive; and bonding wires which connect connection pads formed on a peripheral portion, not covered with the transparent substrate, of the semiconductor substrate to connection terminals on the circuit board. | 09-22-2011 |
20110233702 | SEMICONDUCTOR APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR APPARATUS, METHOD OF DESIGNING SEMICONDUCTOR APPARATUS, AND ELECTRONIC APPARATUS - A semiconductor device including a first material layer adjacent to a second material layer, a first via passing through the first material layer and extending into the second material layer, and a second via extending into the first material layer, where along a common cross section parallel to an interface between the two material layers, the first via has a cross section larger than that of the second via. | 09-29-2011 |
20110233703 | PHOTO DETECTOR DEVICE, PHOTO SENSOR AND SPECTRUM SENSOR - A photodetector device includes: a first semiconductor region of a first conductivity type electrically connected to a first external electrode: a second semiconductor region of a second conductivity type formed on the first semiconductor region; a third semiconductor region of the first conductivity type formed on the second semiconductor region; and a plurality of fourth semiconductor regions of the second conductivity type formed on the second semiconductor region, each of the plurality of fourth semiconductor regions being surrounded by the third semiconductor region, including a second conductivity type impurity having a concentration higher than a concentration of the second semiconductor region, and electrically connected to a second external electrode. | 09-29-2011 |
20110233704 | SOLID-STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE MANUFACTURING METHOD - A solid-state imaging device | 09-29-2011 |
20110233705 | WAFER PROCESSING - Methods, devices, and systems for wafer processing are described herein. One method of wafer processing includes modifying a peripheral edge of a wafer to create a number of edge surfaces substantially perpendicular to a number of dicing paths and dicing the wafer along the number of dicing paths. In one or more embodiments, the method includes modifying the peripheral edge of the wafer with a first tool and dicing the wafer with a second tool different from the first tool. | 09-29-2011 |
20110241145 | BACKSIDE ILLUMINATION IMAGE SENSORS WITH REFLECTIVE LIGHT GUIDES - Image sensors with backside illumination image pixel arrays are provided. An image pixel array may have image pixels that are formed on a silicon substrate having front and back surfaces. The pixel array may have photodiodes formed in the front surface. A dielectric stack may be formed on the front surface. The dielectric stack may include interconnect structures and reflective light guides. A color filter array may be formed on the back surface of the substrate. Microlenses may be formed on the color filter array from the side facing the back surface. The pixel array may receive incoming light through the microlenses. The incoming light may enter the substrate through the back surface. The incoming light may penetrate the substrate and may be reflected by a light reflector in the reflective light guide back towards the photodiode. The image pixel array may exhibit improved quantum efficiency, sensitivity, and image contrast. | 10-06-2011 |
20110241146 | MANUFACTURING METHOD AND STRUCTURE OF A WAFER LEVEL IMAGE SENSOR MODULE WITH PACKAGE STRUCTURE - The present invention discloses a manufacturing method and structure of a wafer level image sensor module with package structure. The structure of the wafer level image sensor module with package structure includes a semi-finished product, a plurality of solder balls, and an encapsulant. The semi-finished product includes an image sensing chip and a wafer level lens assembly. The encapsulant is disposed on lateral sides of the image sensing chip and the wafer level lens assembly. Also, the manufacturing method includes the steps of: providing a silicon wafer, dicing the silicon wafer, providing a lens assembly wafer, fabricating a plurality of semi-finished products, performing a packaging process, mounting the solder balls, and cutting the encapsulant. Accordingly, the encapsulant encapsulates each of the semi-finished products by being disposed on the lateral sides thereof. | 10-06-2011 |
20110248367 | IMAGE SENSOR MODULE AND METHOD OF MANUFACTURING THE SAME - An image sensor module having a light gathering region and a light non-gathering region includes an image sensor, a light blocking spacer, a lens layer and a fixing shell. The light blocking spacer is disposed on the image sensor and located in the light non-gathering region. The light blocking spacer has a through hole exposing a portion of the image sensor in the light gathering region. The lens layer is disposed on the light blocking spacer and covers the through hole. The lens layer includes a transparent substrate and a lens disposed on the transparent substrate and located in the light gathering region. The fixing shell located in the light non-gathering region wraps the sidewalls of the image sensor, the light blocking spacer and the lens layer continuously. The material of the fixing shell includes a thermosetting material. A method for manufacturing the image sensor module is also provided. | 10-13-2011 |
20110248368 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device has a semiconductor substrate including a light receiving element, a silicon oxide film formed on the semiconductor substrate, a plurality of wiring interlayer films formed on the silicon oxide film, and each including a wiring layer formed as the result of the fact that copper is buried, and a silicon nitride film formed on the wiring interlayer film of the uppermost layer wherein Si—H concentration is smaller than N—H concentration. | 10-13-2011 |
20110254115 | INSERTED REFLECTIVE SHIELD TO IMPROVE QUANTUM EFFICIENCY OF IMAGE SENSORS - The structures of reflective shields and methods of making such structures described enable reflection of light that has not be absorbed by photodiodes in image sensor devices and increase quantum efficiency of the photodiodes. Such structures can be applied (or used) for any image sensors to improve image quality. Such structures are particular useful for image sensors with smaller pixel sizes and for long-wavelength light (or rays), whose absorption length (or depth) could be insufficient, especially for backside illumination (BSI) devices. The reflective shields could double, or more than double, the absorption depth for light passing through the image sensors and getting reflected back to the photodiodes. Concave-shaped reflective shields have the additional advantage of directing reflected light toward the image sensors. | 10-20-2011 |
20110260275 | ELECTRONIC DEVICE PACKAGE AND METHOD OF MANUFACTURING THE SAME - Provided are an electronic device package and a method of manufacturing the same. The electronic device package includes an electronic device including a polymer layer and a passivation layer configured to protect a device layer, a substrate assembly facing the electronic device, and a sealing ring formed in a closed loop between the electronic device and the substrate assembly and surrounding a sealing region. At least one side surface of the sealing ring contacts the polymer layer, and the sealing ring is disposed on the passivation layer. A polymer layer such as a microlens and a color filter is removed from a region provided with a sealing ring to form the sealing ring on a passivation layer, thereby making the sealing ring and joints the same height, thus preventing an electrical defect. | 10-27-2011 |
20110260276 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - In a semiconductor device in which a glass substrate is attached to a surface of a semiconductor die with an adhesive layer being interposed therebetween, it is an object to fill a recess portion of an insulation film formed on a photodiode with the adhesive layer without bubbles therein. In a semiconductor die in which an optical semiconductor integrated circuit including a photodiode having a recess portion of an interlayer insulation film in the upper portion, an NPN bipolar transistor, and so on are formed, generally, a light shield film covers a portion except the recess portion region on the photodiode and except a dicing region. In the invention, an opening slit is further formed in the light shield film, extending from the recess portion to the outside of the recess portion, so as to attain the object. | 10-27-2011 |
20110266645 | Back Side Illuminated Image Sensor With Back Side Pixel Substrate Bias - Provided is an image sensor device. The image sensor device includes a substrate having a front side and a back side. The image sensor also includes an isolation feature disposed in the substrate. The image sensor further includes a radiation-sensing region disposed in the substrate and adjacent to the isolation feature. The radiation-sensing region is operable to sense radiation projected toward the radiation-sensing region from the back side. The image sensor also includes a transparent conductive layer disposed over the back side of the substrate. | 11-03-2011 |
20110272771 | THIN FILM PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR MANUFACTURING THIN FILM PHOTOELECTRIC CONVERSION DEVICE - A thin film photoelectric conversion device for performing photoelectric conversion of a wide range of light, from the visible range to the infrared range, is provided. A plasmon resonance phenomenon, which enhances a photo-induced electric field, is caused in a wide range of light, by a metal nanostructure which is formed by annealing a substrate on which a first metal thin film layer composed of a first metal and a second metal thin film layer composed of a second metal which is partially overlapped onto the first metal thin film layer are laminated, and in which a periodic structure, wherein a number of first convex parts successively lie with a pitch of from one-tenth of a wavelength of an incident light to a wavelength equal to or shorter than the wavelength of the incident light in a planar direction along the substrate, is formed on the surface of the substrate; and a random structure, wherein a distance between any pair of a number of second convex parts formed at random positions on the substrate, or a distance between a second convex part and a first convex part is shorter than 100 nm, is formed on the substrate in a position within a region of the periodic structure or in a position adjacent to the region of the periodic structure, and as a result, high sensitivity photo-induced current is generated. | 11-10-2011 |
20110272772 | SOLID STATE IMAGING DEVICE - A solid state imaging device includes: a first photoelectric conversion layer of an organic material; a second photoelectric conversion layer of an inorganic material; a third photoelectric conversion layer of an inorganic material; a first filter of an inorganic material; a second filter of an inorganic material. The first photoelectric conversion layer photoelectrically-converts a light of a first color. The first filter is disposed between the first photoelectric conversion layer and the second photoelectric conversion layer to selectively guide a light of a second color, out of a light that passed through the first photoelectric conversion layer, to the second photoelectric conversion layer. The second filter being disposed between the first photoelectric conversion layer and the third photoelectric conversion layer to selectively guide a light of a third color, out of the light that passed through the first photoelectric conversion layer, to the third photoelectric conversion layer. | 11-10-2011 |
20110278687 | BACKSIDE-ILLUMINATED SENSOR WITH NOISE REDUCTION - A backside-illuminated sensor includes a substrate, at least one lens and at least one pixel structure. The substrate has a front surface and a backside surface, and the lens is formed on the backside surface of the substrate and the pixel structure is formed on a pixel area included in the front surface of the substrate, where a projected area of the pixel area on the backside surface in a thickness direction of the substrate is covered by the lens. The pixel structure includes a first power node for receiving a first supply voltage, a second power node for receiving a second supply voltage different from the first supply voltage, a sensing element and a capacitor for noise reduction. The sensing element generates a sensing signal according to an incident luminance from the lens. | 11-17-2011 |
20110278688 | Solid-state imaging device - A solid-state imaging device includes a light-receiving portion, an optical filter layer, and quantum dots. The light receiving portion, where a photoelectric conversion is carried out, is formed in a semiconductor substrate. The optical filter layer is directly formed on or formed through another layer on the surface of the semiconductor substrate in which the light-receiving portion is formed. Quantum dots having substantially equal diameters are formed in the optical filter layer. The quantum dots have higher refractive indexes than the refractive index of the optical filter layer in which the quantum dots are embedded. | 11-17-2011 |
20110278689 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREOF - A solid-state imaging device includes an n-type semiconductor substrate | 11-17-2011 |
20110278690 | High Density Photodiodes - The present invention is a front-side contact, back-side illuminated (FSC-BSL) photodiode arrays and front-side illuminated, back-side contact (FSL-BSC) photodiode arrays having improved characteristics, including high production throughput, low-cost manufacturing via implementation of batch processing techniques; uniform, as well as high, photocurrent density owing to presence of a large continuous homogeneous, heavily doped layer; and back to front intrachip connections via the homogenous, heavily doped layers on the front and back sides of the substrate. | 11-17-2011 |
20110278691 | THREE DIMENSIONAL STRUCTURES HAVING IMPROVED ALIGNMENTS BETWEEN LAYERS OF MICROCOMPONENTS - The invention relates to a method of initiating molecular bonding, comprising bringing one face ( | 11-17-2011 |
20110284979 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING SAME - A solid-state imaging device according to an aspect of the present invention includes: a semiconductor substrate; and a plurality of light-receiving units formed in a matrix in the semiconductor substrate and converting incident light into signal charges, and each of the convex parts is positioned corresponding to one of the light-receiving units and formed integrally with the semiconductor substrate. | 11-24-2011 |
20110284980 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device according to an aspect of the present invention includes: a first photodiode and a second photodiode; a first optical waveguide formed above the first photodiode; a second optical waveguide formed above the second photodiode; a first color filter which is formed above the first optical waveguide and transmits mainly light having a first wavelength; a second color filter which is formed above the second optical waveguide and transmits mainly light having a second wavelength; a first microlens formed above the first color filter; and a second microlens formed above the second color filter, wherein the first wavelength is longer than the second wavelength, and the first optical waveguide has a first width smaller than a second width of the second optical waveguide, the first and second widths being in a direction parallel to the semiconductor substrate. | 11-24-2011 |
20110284981 | IMAGE SENSOR COMPRISING MICROLENS ARRAY, AND MANUFACTURING METHOD THEREOF - The present invention relates to an image sensor comprising a microlens array, and to a manufacturing method thereof. The method of the present invention includes gradually increasing the aluminum composition ratio of a compound semiconductor as the latter gradually gets farther from a substrate, to enable a microlens-forming layer to grow, and making the oxidation rate of the region adjacent to the substrate slower and the oxidation rate of the region farther from the substrate faster, making the interface between the oxidized region and the unoxidized region into a lens shape after the completion of oxidation. The thus-made lens is integrated into an image sensor. The present invention reduces costs for manufacturing image sensors in which a microlens is integrated, increases the signal-to-noise ratio and resolution of the image sensor, and achieves improved sensitivity. | 11-24-2011 |
20110284982 | BACKSIDE-ILLUMINATED (BSI) IMAGE SENSOR WITH BACKSIDE DIFFUSION DOPING - Embodiments of a process comprising forming a pixel on a front side of a substrate, thinning the substrate, depositing a doped silicon layer on a backside of the thinned substrate, and diffusing a dopant from the doped silicon layer into the substrate. Embodiments of an apparatus comprising a pixel formed on a front side of a thinned substrate, a doped silicon layer formed on a backside of the thinned substrate, and a region in the thinned substrate, and near the backside, where a dopant has diffused from the doped silicon layer into the thinned substrate. Other embodiments are disclosed and claimed. | 11-24-2011 |
20110291211 | IMAGE SENSOR AND RELATED FABRICATING METHOD THEREOF - A fabricating method of an image sensor includes the steps of: providing a substrate; forming sensing elements on the substrate; forming microlenses on the sensing elements; filling a stuffed material on the microlenses, and air regions are formed in the stuffed material; and forming optical filters on the stuffed material. | 12-01-2011 |
20110291212 | IMAGING APPARATUS HAVING PHOTOSENSOR AND MANUFACTURING METHOD OF THE SAME - A photosensor comprises a photoelectric conversion device region and a connection pad on the lower surface of a semiconductor substrate, and also comprises a wiring line connected to the connection pad via insulating film under the semiconductor substrate, and a columnar electrode as an external connection electrode connected to the wiring line. As a result, as compared with the case where the photoelectric conversion device region and the connection pad connected to the photoelectric conversion device region are formed on the upper surface of the semiconductor substrate, a piercing electrode for connecting the connection pad and the wiring line does not have to be formed in the semiconductor substrate. Thus, the number of steps can be smaller, and a fabrication process can be less restricted. | 12-01-2011 |
20110291213 | PHOTODIODE MANUFACTURING METHOD AND PHOTODIODES - A semiconductor substrate | 12-01-2011 |
20110291214 | PHOTO MASK AND METHOD FOR FABRICATING IMAGE SENSORS - A method for fabricating an image sensor includes forming an insulation layer over a substrate in a logic circuit region and a pixel region, forming a photoresist over the insulation layer, patterning the photoresist to form a photoresist pattern where the insulation layer in the pixel region is exposed and the insulation layer in the logic circuit region is not exposed, wherein a thickness of the photoresist pattern is gradually decreased in an interfacial region between the pixel region and the logic circuit region in a direction of the logic circuit region to the pixel region, and performing an etch back process over the insulation layer and the photoresist pattern in conditions that an etch rate of the photoresist pattern are substantially the same as that of the insulation layer. | 12-01-2011 |
20110298072 | RIDGE STRUCTURE FOR BACK SIDE ILLUMINATED IMAGE SENSOR - Provided is an image sensor device. The image sensor device includes a substrate having a front side and a back side. The image sensor includes first and second radiation-detection devices that are disposed in the substrate. The first and second radiation-detection devices are operable to detect radiation waves that enter the substrate through the back side. The image sensor also includes an anti-reflective coating (ARC) layer. The ARC layer is disposed over the back side of the substrate. The ARC layer has first and second ridges that are disposed over the first and second radiation-detection devices, respectively. The first and second ridges each have a first refractive index value. The first and second ridges are separated by a substance having a second refractive index value that is less than the first refractive index value. | 12-08-2011 |
20110298073 | IMAGE SENSOR DEVICES AND METHODS FOR MANUFACTURING THE SAME - Disclosed is a method for forming an image sensor device. First, a lens is provided, and a first sacrificial element is then formed on the lens. Subsequently, an electromagnetic interference layer is formed on the lens and the first sacrificial element, and the first sacrificial element and the electromagnetic interference layer thereon are removed to form an electromagnetic interference pattern having an opening exposing a selected portion of the lens. A second sacrificial element is formed in the opening to cover a center region of the selected portion of the lens, while a peripheral region of the selected portion of the lens remains exposed. Next, a light-shielding layer is formed on the electromagnetic interference pattern, the second sacrificial element, and the peripheral region of the selected portion of the lens. Thereafter, the second sacrificial element and the light-shielding pattern thereon are removed to expose the center region of the selected portion of the lens as a light transmitting region. | 12-08-2011 |
20110298074 | SOLID-STATE IMAGING ELEMENT AND ELECTRONIC INFORMATION DEVICE - A solid-state imaging element according to the present invention includes a plurality of light receiving sections formed in a pixel array, each light receiving section constituted of a semiconductor element for performing a photoelectric conversion on and capturing an image of image light from a subject, the solid-state imaging element further including: a light shielding wall or a reflection wall provided therein for pixel separation, in between the light receiving sections adjacent to one another in a plan view on a light entering side from the light receiving sections; and a color filter wherein at least a part of the color filter is embedded between the light shielding walls or the reflection walls, in such a manner to correspond to each of the plurality of light receiving sections, so that the distance between the color filter and a substrate can be shortened. | 12-08-2011 |
20110298075 | Lens Unit, Aligning Method, Image Pickup Device and Method for Manufacturing Image Pickup Device - Provided is a lens unit ( | 12-08-2011 |
20110298076 | PHOTODIODE AND PHOTODIODE ARRAY | 12-08-2011 |
20110303999 | SEMICONDUCTOR LIGHT-DETECTING ELEMENT - Prepared is an n | 12-15-2011 |
20110304000 | SOLID-STATE IMAGE PICKUP DEVICE AND METHOD FOR MANUFACTURING SAME, AND IMAGE PICKUP APPARATUS - Disclosed herein is a solid-state image pickup device of a type wherein a pixel is configured to include a sensor unit capable of photoelectric conversion, the image pickup device including: a semiconductor substrate; a charge storage region of a first conduction type, which is formed in the semiconductor substrate and constitutes a sensor unit; a charge storage sub-region made of an impurity region of the first conduction type, which is formed, in plural layers, in the semiconductor substrate below the charge storage region serving as a main charge storage region and wherein at least one or more of the plural layers are formed entirely across a pixel; and a device isolation region that is formed in the semiconductor substrate, isolates pixels from one another, and is made of an impurity region of a second conduction type. | 12-15-2011 |
20110309459 | Multi-Cascaded Photodiode - The present disclosure uses at least two cascaded photodetectors. Device area is increased to provide a bigger current than a single photodetector under the same bandwidth. Hence, bandwidth efficiency (BRP) and saturation current-bandwidth product (SCBP) are improved for a high speed, a high responsivity and a high bandwidth with simple structure and low cost. | 12-22-2011 |
20110309460 | SOLID-STATE IMAGING DEVICE INCLUDING A MULTILAYER WIRING LAYER, COLOR FILTERS, AND LENSES, AND MANUFACTURING METHOD FOR THE SAME - The present invention provides a solid-state imaging device comprising: a semiconductor substrate having a pixel region and a peripheral circuit region; a multilayer wiring layer including layers of wiring and an interlayer film interposed therebetween, and disposed above the semiconductor substrate to cover the pixel region and the peripheral circuit region except areas above the photoelectric conversion elements; a waveguide member filling the areas above the photoelectric conversion elements (waveguides) and covering the multilayer wiring layer at least within the pixel region; and an optical structure (composed of a color filter material and a lens material) disposed above the waveguide member within the pixel region, wherein a groove is formed by removing a portion of the waveguide member from an area within the pixel region that is in a border between the pixel region and the peripheral circuit region. | 12-22-2011 |
20110309461 | OPTICAL DETECTOR AND SPECTRUM DETECTOR - A photodetector and a spectrum detector, which can be miniaturized and do not require a complicated alignment of an optical axis, are disclosed. A photodetector comprises a substrate and a semiconductor that is formed on the substrate and has a plurality of convex portions. The photodetector detects light transmitted through the plurality of convex portions among light incident on the plurality of convex portions. Accordingly, it is possible to detect light with a specific peak wavelength without using an optical component such as a diffraction grating or prism, so that a small-sized photodetector that does not require a complicated alignment of the optical axis in an optical system may be implemented. | 12-22-2011 |
20110316106 | LIGHT PIPE ETCH CONTROL FOR CMOS FABRICATION - In accordance with at least some embodiments of the present disclosure, a process for fabricating a light pipe (LP) is described. The process may be configured to construct a semiconductor structure having an etch-stop layer above a photodiode region and a first dielectric layer above the etch-stop layer. The process may be configured to etch a LP funnel through the first dielectric layer. And the process may be further configured to stop the etching of the LP funnel upon reaching and removing of the etch-stop layer. | 12-29-2011 |
20110316107 | SOLID-STATE IMAGE SENSOR AND MANUFACTURING METHOD OF THE SENSOR - A single crystal silicon layer is formed on a principal surface of a first wafer by epitaxial growth. A silicon oxide layer is formed on the single crystal silicon layer. Next, a defect layer is formed inside the single crystal silicon layer by ion implantation, and then, the second wafer is bonded to the silicon oxide layer on the first wafer. After that, an SOI wafer including the silicon oxide layer formed on the second wafer and the single crystal silicon layer formed on the silicon oxide layer is formed by separating the first wafer including the single crystal silicon layer from the second wafer including the single crystal silicon layer in the defect layer. Then, a photodiode is formed in the single crystal silicon layer. An interconnect layer is formed on a surface of the single crystal silicon layer which is opposite to the silicon oxide layer. | 12-29-2011 |
20120001284 | SILICON NITRIDE LIGHT PIPES FOR IMAGE SENSORS - Various embodiments for etching of silicon nitride (Si | 01-05-2012 |
20120001285 | SOLID STATE IMAGING APPARATUS - According to one embodiment, in the upper laminated structure, first layers and second layers are alternately laminated, the first layer and the second layer having different refractive indices. In the lower laminated structure, first layers and second layers are alternately laminated, the first layer and the second layer having different refractive indices. The upper laminated structure and the lower laminated structure are equal in number of layers laminated therein. Each of the lowermost layer of the upper laminated structure and the uppermost layer of the lower laminated structure are configured by the first layer. The upper laminated structure and the lower laminated structure are configured to be asymmetric to each other such that, within some layer sets out of a plurality of layer sets each including two layers disposed at corresponding positions in the upper and lower laminated layers, one layer of the two layers in each layer set of the some layer sets is thinner than the other layer. | 01-05-2012 |
20120001286 | IMAGE SENSOR AND PACKAGE INCLUDING THE IMAGE SENSOR - Provided are an image sensor and a package including the same. The image sensor may include an interconnection layer comprising a plurality of interconnections that are vertically stacked, a light penetration layer including color filters and microlenses, a semiconductor layer disposed between the interconnection layer and the light penetration layer and including photoelectrical transformation elements and a light shielding pattern disposed between the light penetration layer and the semiconductor layer. A surface of the semiconductor layer adjacent to the light penetration layer defines a recess region recessed toward the interconnection layer. The light shielding pattern is formed in the recess region and at least one of the photoelectrical transformation elements is formed in the semiconductor layer between the light shielding pattern and the interconnection layer. | 01-05-2012 |
20120001287 | IMAGE SENSOR AND METHOD FOR MANUFACTURING AN IMAGE SENSOR - An image sensor and a method for manufacturing an image sensor are described in which the image sensor includes at least one substrate having a plurality of light-sensitive elements forming a sensor field and first microfilter elements for wavelength-selective filtering of incident light. The first microfilter elements are attached to a transparent carrier made of glass or a transparent film, for example. A first microfilter element is situated in front of a portion of the light-sensitive elements for wavelength-selective filtering of light striking the light-sensitive element. No microfilter element is situated in front of a further portion of the light-sensitive elements. | 01-05-2012 |
20120001288 | SUB-PIXEL NBN DETECTOR - A method of making a two-dimensional detector array (and of such an array) comprising, for each of a plurality of rows and a plurality of columns of individual detectors, forming an n-doped semiconductor photo absorbing layer, forming a barrier layer comprising one or more of AlSb, AlAsSb, AlGaAsSb, AlPSb, AlGaPSb, and HgZnTe, and forming an n-doped semiconductor contact area. | 01-05-2012 |
20120001289 | UNIT PIXEL ARRAY OF AN IMAGE SENSOR - A unit pixel array of an image sensor includes a semiconductor substrate having a plurality of photodiodes, an interlayer insulation layer on a front-side of the semiconductor substrate, and a plurality of micro lenses on a back-side of the semiconductor substrate. The unit pixel array of the image sensor further includes a wavelength adjustment film portion between each of the micro lenses and the back-side of the semiconductor substrate such that a plurality of wavelength adjustment film portions correspond with the plurality of micro lenses. | 01-05-2012 |
20120007198 | BACKSIDE ILLUMINATED IMAGE SENSOR - A backside illuminated (BSI) image sensor including a substrate, a plurality of photosensitive regions, a back-end-of-line (BEOL), a pad, a color filter array, a plurality of micro-lenses and a protection layer is provided. The substrate has a first surface and a second surface. The substrate has a pad opening therein through the first surface and the second surface. The photosensitive regions are disposed in the substrate. The BEOL is disposed on the first surface of the substrate. The pad is disposed in the BEOL and exposed by the pad opening. The color filter array is disposed on the second surface of the substrate. The micro-lenses are disposed on the color filter array. The protection layer at least covers the top corner and the sidewall of the pad opening. | 01-12-2012 |
20120007199 | PROTECTING BOND PAD FOR SUBSEQUENT PROCESSING - A method for opening a bond pad on a semiconductor device is provided. The method comprises removing a first layer to expose a first portion of the bond pad and forming a protective layer over the exposed first portion of the bond pad. The method further comprises performing subsequent processing of the semiconductor device and removing the protective layer to expose a second portion of the bond pad. | 01-12-2012 |
20120007200 | Image Sensor and Method for Manufacturing the Same - Disclosed is an image sensor including a photo-sensing device, a color filter positioned on the photo-sensing device, a microlens positioned on the color filter, and an insulation layer positioned between the photo-sensing device and the color filter, and including a trench exposing the photo-sensing device and a filler filled in the trench. The filler has light transmittance of about 85% or more at a visible ray region, and a higher refractive index than the insulation layer. A method of manufacturing the image sensor is also provided. | 01-12-2012 |
20120007201 | MONOLITHIC PHOTODETECTOR - A photodetector including a photodiode formed in a semiconductor substrate and a waveguide element formed of a block of a high-index material extending above the photodiode in a thick layer of a dielectric superposed to the substrate, the thick layer being at least as a majority formed of silicon oxide and the block being formed of a polymer of the general formula R | 01-12-2012 |
20120007202 | Radiation-Receiving Semiconductor Component and Optoelectronic Device - A radiation-receiving semiconductor component is specified. A semiconductor body is formed with silicon and has a radiation entrance surface and also an absorption zone. Electromagnetic radiation passes into the semiconductor body through the radiation entrance surface and is absorbed. The absorption zone has a thickness of at most 10 μm. A filter layer is formed with a dielectric material. The filter layer covers the radiation entrance surface of the semiconductor body. A potting body covers the semiconductor body at least at the radiation entrance surface thereof. The potting body contains a radiation-absorbing material. | 01-12-2012 |
20120012959 | IMAGE SENSORS AND FABRICATION METHOD THEREOF - An image sensor is provided. The image sensor includes a pixel sensor, a color filter array comprising a plurality of color filters formed on the pixel sensor, wherein two adjacent color filters have a gap therebetween, and a gapless microlens array comprising a plurality of microlenses formed on the color filter array. The invention also provides a method for fabricating the image sensor. | 01-19-2012 |
20120012960 | METHOD AND SYSTEM OF EMBEDDED MICROLENS - A method of making an embedded microlens includes providing a substrate having a photo-sensing region, forming a dielectric film overlying the substrate, forming a mask having a circular opening over the dielectric film, the opening being center-aligned over the photo-sensing region, and etching the dielectric film to form a cavity under the mask by introducing an isotropic etchant through the opening, the cavity being characterized by a truncated plano-convex shape having a flat circular bottom and curved peripheral sides convex towards the dielectric film. The method further includes removing the mask, depositing a lens material with a higher refractive index than that of the dielectric film to fill the cavity, planarizing the lens material to form the embedded microlens in the cavity having a smooth top surface, and forming a color filter layer overlying the microlens. The dielectric film includes silicon dioxide having a refractive index of 1.5 or less. | 01-19-2012 |
20120012961 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING OF SAME - A solid-state imaging device ( | 01-19-2012 |
20120012962 | ELECTRONIC DEVICE AND METHOD OF FABRICATING THE SAME - An electronic device and a method of fabricating the same are provided. The electronic device includes: a photodiode layer; a wiring layer formed on the first surface of the photodiode layer; a plurality of electrical contact pads formed on the wiring layer; a passivation layer formed on the wiring layer and the electrical contact pads; an antireflective layer formed on the second surface of the photodiode layer; a color filter layer formed on the antireflective layer; a dielectric layer formed on the antireflective layer and the color filter layer; and a microlens layer formed on the dielectric layer, allowing the color filter layer, the dielectric layer and the microlens layer to define an active region within which the electrical contact pads are positioned. As the electrical contact pads are positioned within the active region, an area of the substrate used for an inactive region can be eliminated. | 01-19-2012 |
20120018830 | Packaging device of image sensor - A packaging device of an image sensor includes a supporting seat and the image sensor. The supporting seat is a hollow frame having a predetermined thickness, a first surface, a second surface, and an inner edge receding from the second surface toward the first surface to form a recessed step. Plural contacts in the recessed step and in the outer periphery of the supporting seat are electrically connected by plural electrical connection structures. The image sensor has an active surface set on the recessed step by a flip-chip packaging technique. The image sensor also has plural conductive ends electrically connected to the contacts in the recessed step. An insulating material covers an inactive surface of the image sensor and fills the gap between the recessed step of the supporting seat and the image sensor to provide dust-proofness, shock resistance, and prevention against static electricity and leakage of light. | 01-26-2012 |
20120018831 | LIGHT PIPE FABRICATION WITH IMPROVED SENSITIVITY - In accordance with at least some embodiments of the present disclosure, a process for fabricating a light pipe (LP) is described. The process may be configured to etch a first portion of a LP funnel in a dielectric layer of a semiconductor structure using a web etching process, wherein the dielectric layer is above a photodiode region. The process may also be configured to etch a second portion of the LP funnel in the dielectric layer subsequent to the etching of the first portion of the LP funnel, wherein the second portion of the LP funnel is etched below the first portion of the LP funnel using a dry etching process. | 01-26-2012 |
20120018832 | METHODS, STRUCTURES, AND DESIGN STRUCTURES FOR IMPROVED ADHESION OF PROTECTIVE LAYERS OF IMAGER MICROLENS STRUCTURES - Methods, structures, and design structures for improved adhesion of protective layers of imager microlens structures are disclosed. A method of fabricating a semiconductor structure includes forming an interfacial region between a microlens and a protective oxide layer. The interfacial region has a lower concentration of oxygen than the protective oxide layer. | 01-26-2012 |
20120018833 | Light-Guiding Structure, Image Sensor Including The Light-Guiding Structure, And Processor-Based System Including The Image Sensor - An example embodiment relates to a light-guiding structure. The light-guiding structure may include a bottom surface and a sidewall defined by a first, a second, and a third insulating layer disposed on a semiconductor substrate. The bottom surface may be parallel to a main surface of the semiconductor substrate and may be disposed in the first insulating layer. The sidewall may penetrate the second and third insulating layers to extend to the first insulating layer, and the sidewall may be tapered with respect to the main surface of semiconductor substrate. The light-guiding structure may be included in a image sensor. The image sensor may be included in a processor-based system. | 01-26-2012 |
20120032290 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solid-state imaging device including: a semiconductor layer; a charge accumulation region configured to be formed inside the semiconductor layer and serve as part of a photodiode; and a reflective surface configured to be disposed inside or under the charge accumulation region and be so formed as to reflect light that has passed through the charge accumulation region and direct the light toward a center part of the charge accumulation region. | 02-09-2012 |
20120038013 | METHOD AND APPARATUS FOR A LATERAL RADIATION DETECTOR - A lateral Metal-Semiconductor-Metal (MSM) Photodetector (PD) is based on amorphous selenium (a-Se). It has low dark current, high photoconductive gain towards short wavelengths, and high speed of operation up to several KHz. From processing point of view, a lateral structure is more attractive due to ease of fabrication as well as compatibility with conventional thin-film transistor (TFT) processes. The lateral a-Se MSM PD therefore has potentials in a variety of optical sensing applications particularly in indirect X-ray imaging utilizing scintillators and ultraviolet (UV) imaging for life sciences. | 02-16-2012 |
20120043634 | METHOD OF MANUFACTURING MICROLENS ARRAY, METHOD OF MANUFACTURING SOLID-STATE IMAGE SENSOR, AND SOLID-STATE IMAGE SENSOR - A method of manufacturing a microlens array includes forming a resist film on a structure including a plurality of light-receiving portions, exposing the resist film using a photomask in which a plurality of lens patterns for forming a plurality of microlenses are arranged, forming a resist pattern by developing the exposed resist film, and forming the plurality of microlens by annealing the resist pattern, wherein the plurality of lens patterns include lens patterns having exposure light transmittance distributions different from each other. | 02-23-2012 |
20120043635 | Image Sensor Package with Dual Substrates and the Method of the Same - The image sensor package with dual substrates comprises a first substrate with a die receiving opening and a plurality of first through hole penetrated through the first substrate; a second substrate with a die opening window and a plurality of second through hole penetrated through the second substrate, formed on the first substrate. A part of the second wiring pattern is coupled to a part of the third wiring pattern; an image die having conductive pads and sensing array received within the die receiving opening and the sensing array being exposed by the die opening window; and a through hole conductive material refilled into the plurality of second through hole, some of the plurality of second through hole coupling to the conductive pads of the image sensor. | 02-23-2012 |
20120056290 | THIN-FILM SOLAR FABRICATION PROCESS, DEPOSITION METHOD FOR SOLAR CELL PRECURSOR LAYER STACK, AND SOLAR CELL PRECURSOR LAYER STACK - A method of manufacturing a layer stack adapted for a thin-film solar cell and a precursor for a solar cell are described. The method includes depositing a TCO layer over a transparent substrate, depositing a first conductive-type layer, wherein the depositing includes: providing for a first SiOx-containing anti-reflection layer by chemical vapor deposition. The method further includes depositing a first intrinsic-type layer and depositing a further conductive-type layer with a conductivity opposite to the first conductive-type layer. | 03-08-2012 |
20120056291 | IMAGING DEVICE, IMAGING MODULE AND METHOD FOR MANUFACTURING IMAGING DEVICE - According to one embodiment, an imaging device includes a substrate, a photodetecting portion, a circuit portion and a through interconnect. The substrate has a first major surface, a second major surface on a side opposite to the first major surface, a recess portion provided on the first major surface and retreated in a first direction going from the first major surface to the second major surface, and a through hole communicating with the first major surface and the second major surface and extending in the first direction. The photodetecting portion is provided above the recess portion and away from the substrate. The circuit portion is electrically connected to the photodetecting portion and provided on the first major surface. The through interconnect is electrically connected to the circuit portion and provided inside the through hole. The recess portion has a first inclined surface. The through hole has a second inclined surface. | 03-08-2012 |
20120056292 | SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD FOR A SEMICONDUCTOR PACKAGE AS WELL AS OPTICAL MODULE - A semiconductor package includes: a supporting substrate; a functioning element and a first joining element formed on a first principal surface of the supporting substrate; a sealing substrate disposed in an opposing relationship to the supporting substrate with the functioning element and the first joining element interposed therebetween; a second joining element provided on a second principal surface of the supporting substrate; a through-electrode provided in and extending through the supporting substrate and adapted to electrically connect the first and second joining elements; and a first electromagnetic shield film coated in an overall area of a side face of the supporting substrate which extends perpendicularly to the first and second principal surfaces. | 03-08-2012 |
20120056293 | SEMICONDUCTOR OPTICAL ELEMENT - A semiconductor optical element has an active layer including quantum dots. The density of quantum dots in the resonator direction in a portion of the active layer in which the density of photons is relatively high is increased relative to the density of quantum dots in a portion of the active layer in which the density of photons is relatively low. | 03-08-2012 |
20120061786 | ISOLATED BOND PAD WITH CONDUCTIVE VIA INTERCONNECT - An integrated circuit for use, for example, in a backside illuminated imager device includes circuitry provided on a first side of a substrate, a first conductive pad connected to the circuitry and spaced from the first side of the substrate, a second conductive pad spaced from a second side of the substrate, an electrically conductive interconnect formed through the substrate to interconnect the first and second conductive pads, and a dielectric surrounding the second conductive pad and at least a portion of the interconnect. Methods of forming the integrated circuit are also described. | 03-15-2012 |
20120061787 | LIQUID ELECTRICAL INTERCONNECT AND DEVICES USING SAME - Various embodiments include interconnects for semiconductor structures that can include a first conductive structure, a second conductive structure and a non-hardening liquid conductive material in contact with the first and second structure. Other embodiments include semiconductor components and imager devices using the interconnects. Further embodiments include methods of forming a semiconductor structure and focusing methods for an imager device. | 03-15-2012 |
20120068288 | MANUFACTURING METHOD OF MOLDED IMAGE SENSOR PACKAGING STRUCTURE WITH PREDETERMINED FOCAL LENGTH AND THE STRUCTURE USING THE SAME - A manufacturing method of a molded image sensor packaging structure with a predetermined focal length and the structure using the same are disclosed. The manufacturing method includes: providing a substrate; providing a sensor chip disposed on the substrate; providing a lens module set over the sensing area of the chip to form a semi-finished component; providing a mold that has an upper mold member with a buffer layer; disposing the semi-finished component into the mold to form a mold cavity therebetween; injecting a molding compound into the mold cavity; and after transfer molding the molding compound, opening the mold and performing a post mold cure process to cure the molding compound. The buffer layer can fill the air gap between the upper surface of the lens module and the upper mold member, thereby preventing the upper surface of the lens module from being polluted by the molding compound. | 03-22-2012 |
20120068289 | Devices Having Enhanced Electromagnetic Radiation Detection and Associated Methods - Photosensitive semiconductor devices and associated methods are provided. In one aspect, a semiconductor device can include a semiconductor substrate and a semiconductor layer coupled to the semiconductor substrate, where the semiconductor layer has a device surface opposite the semiconductor substrate. The device also includes at least one textured region coupled between the semiconductor substrate and the semiconductor layer. In another aspect, the device further includes at least one dielectric layer coupled between the semiconductor substrate and the semiconductor layer. | 03-22-2012 |
20120068290 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD, SEMICONDUCTOR DEVICE, AND CAMERA MODULE - According to one embodiment, an insulation film is formed over the surface, backside, and sides of a first substrate. Next, the insulation film formed over the surface of the first substrate is removed. Then, a joining layer is formed over the surface of the first substrate, from which the insulation film has been removed. Subsequently, the first substrate is bonded to a second substrate via a joining layer. | 03-22-2012 |
20120068291 | IMAGE SENSING DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a solid-state image sensing device includes a semiconductor substrate on which a plurality of pixels are arranged, a transparent substrate including a first through via provided in an opening formed in advance to extend through, an adhesive including a second through via connected to the first through via and configured to bond the semiconductor substrate and the transparent substrate while exposing the pixels, and an imaging lens unit arranged on the transparent substrate. | 03-22-2012 |
20120068292 | POLYMERIZABLE COMPOSITION, AND PHOTOSENSITIVE LAYER, PERMANENT PATTERN, WAFER-LEVEL LENS, SOLID-STATE IMAGING DEVICE AND PATTERN FORMING METHOD EACH USING THE COMPOSITION - A polymerizable composition contains (A) a polymerization initiator that is an acetophenone-based compound or an acylphosphine oxide-based compound, (B) a polymerizable compound, (C) at least either a tungsten compound or a metal boride, and (D) an alkali-soluble binder. | 03-22-2012 |
20120068293 | SEMICONDUCTOR DEVICE HAVING IMAGE SENSOR - A pixel area for generating an image signal corresponding to incident light is formed on a semiconductor substrate. A light-shielding layer is formed on the semiconductor substrate around the pixel area. The light-shielding layer has a slit near the pixel area and shields the incident light. A passivation film is formed in the pixel area, on the light-shielding layer, and in the slit. A coating layer is formed in the slit of the light-shielding layer and on the passivation film in the pixel area. Microlenses are formed on the coating layer in the pixel area. | 03-22-2012 |
20120080765 | METHOD OF DAMAGE-FREE IMPURITY DOPING FOR CMOS IMAGE SENSORS - A method of fabricating a backside-illuminated pixel. The method includes forming frontside components of the pixel on or in a front side of a substrate, the frontside components including a photosensitive region of a first polarity. The method further includes forming a pure dopant region of a second polarity on a back side of the substrate, applying a laser pulse to the backside of the substrate to melt the pure dopant region, and recrystallizing the pure dopant region to form a backside doped layer. Corresponding apparatus embodiments are disclosed and claimed. | 04-05-2012 |
20120086091 | BACKSIDE IMAGE SENSOR - A backside image sensor including an assembly of pixels, each pixel including, in a vertical stack, a photosensitive area and a filtering element topping the photosensitive area on the back surface side, wherein at least two adjacent filtering elements of adjacent pixels are separated by a vertical metal wall extending over at least eighty percent of the height of the filtering elements or over a greater height. | 04-12-2012 |
20120086092 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - A solid-state imaging device includes a substrate in which a plurality of pixels including photoelectric converters are formed, a wiring layer that includes wirings in a plurality of layers formed via an interlayer insulating film in a front surface side of the substrate, a base electrode pad portion that includes a portion of the wirings formed in the wiring layer, an opening that penetrates the substrate from a rear surface side of the substrate and reaches the base electrode pad portion, and an embedded electrode pad layer that is formed so as to be embedded in the opening by electroless plating. | 04-12-2012 |
20120086093 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - A solid-state imaging device includes a plurality of pixels formed on a semiconductor substrate and include a photoelectric conversion unit; a color filter on the pixels; an on-chip microlens made of an organic film on the color filter, corresponding to each of the pixels; a first inorganic film formed on a surface of the on-chip microlens and having a higher refraction index than the on-chip microlens; and a second inorganic film formed on a surface of the first inorganic film and having a lower refraction index than the on-chip microlens and the first inorganic film, in which at least the second inorganic film includes a non-lens area at an interface of an adjacent second inorganic film. | 04-12-2012 |
20120086094 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD OF SOLID-STATE IMAGING DEVICE, AND ELECTRONIC EQUIPMENT - A solid-state imaging device includes: a substrate; a wiring layer formed on a front side of the substrate in which pixels are formed; a surface electrode pad section formed in the wiring layer; a light-shielding film formed on a rear side of the substrate; a pad section base layer formed in the same layer as the light-shielding film; an on-chip lens layer formed over the light-shielding film and the pad section base layer in a side opposite from the substrate side; a back electrode pad section formed above the on-chip lens layer; a through-hole formed to penetrate the on-chip lens layer, the pad section base layer, and the substrate so as to expose the surface electrode pad section; and a through-electrode layer which is formed in the through-hole and connects the surface electrode pad section and the back electrode pad section. | 04-12-2012 |
20120086095 | Photoelectric Conversion Device and Image Pick-Up Device - A photoelectric conversion device includes a semiconductor substrate, an insulating layer provided on the semiconductor substrate, an electrode provided on the insulating layer, a photoelectric conversion film provided on the electrode for converting received light to charges, a line connected between the electrode and the semiconductor substrate, a first planar electrode provided in the insulating layer and connected to the electrode, and a second planar electrode provided in the insulating layer between the first planar electrode and the semiconductor substrate. | 04-12-2012 |
20120086096 | CONDENSER LENS-COUPLED PHOTOCONDUCTIVE ANTENNA DEVICE FOR TERAHERTZ WAVE GENERATION AND DETECTION AND FABRICATING METHOD THEREOF - Provided are a condenser lens-coupled photoconductive antenna device for terahertz wave generation and detection and a fabricating method thereof. A condenser lens-coupled photoconductive antenna device for terahertz wave generation and detection includes a condenser lens, a photoconductive thin film deposited on the condenser lens, and a metal electrode formed on the photoconductive thin film for a photoconductive antenna. In the antenna device, the condenser lens and the photoconductive thin film are coupled to each other. | 04-12-2012 |
20120091549 | FORMATION OF EMBEDDED MICRO-LENS - Provided is an image sensor device. The image sensor device includes a pixel formed in a substrate. The image sensor device includes a first micro-lens embedded in a transparent layer over the substrate. The first micro-lens has a first upper surface that has an angular tip. The image sensor device includes a color filter that is located over the transparent layer. The image sensor device includes a second micro-lens that is formed over the color filter. The second micro-lens has a second upper surface that has an approximately rounded profile. The pixel, the first micro-lens, the color filter, and the second micro-lens are all at least partially aligned with one another in a vertical direction. | 04-19-2012 |
20120091550 | SPECTROSCOPY AND SPECTRAL IMAGING METHODS AND APPARATUS - The invention pertains to a new type of standing wave filter in which the detector is located within the cavity, rather than outside the cavity and methods of manufacturing such a filter. | 04-19-2012 |
20120091551 | METHOD OF MANUFACTURING A MULTITUDE OF MICRO-OPTOELECTRONIC DEVICES, AND MICRO-OPTOELECTRONIC DEVICE - A wafer stack that is diced to produce a multitude of micro-optoelectronic devices includes a first wafer including a semiconductor material; a second wafer including an optically transparent material; a multitude of light sensor arrangements in the semiconductor material of the first wafer for each of the micro-optical devices; the second wafer structured to form a multitude of micro-optical elements therein for each of the micro-optoelectronic devices; and a wafer stack produced wafer bonding, the wafer stack including the first wafer and the second wafer arranged above same, each of the micro-optical elements arranged and structured such that different portions of light incident on the micro-optical element are directed onto different light sensor elements of a light sensor arrangement at least partly arranged below the micro-optical element. | 04-19-2012 |
20120091552 | OPTICAL DEVICES BASED ON NON-PERIODIC SUB-WAVELENGTH GRATINGS - Various embodiments of the present invention are directed to optical devices comprising planar lenses. In one aspect, an optical device includes two or more planar lenses ( | 04-19-2012 |
20120098078 | BACKSIDE ILLUMINATED ACTIVE PIXEL SENSOR ARRAY, METHOD OF MANUFACTURING THE SAME, AND BACKSIDE ILLUMINATED IMAGE SENSOR INCLUDING THE SAME - A backside-illuminated active pixel sensor array in which crosstalk between adjacent pixels is prevented, a method of manufacturing the backside-illuminated active pixel sensor array, and a backside-illuminated image sensor including the backside-illuminated active pixel sensor array are provided. The backside-illuminated active pixel sensor array includes a semiconductor substrate of a first conductive type that comprises a front surface and a rear surface, light-receiving devices for generating charges in response to light incident via the rear surface, and one or more pixel isolating layers for forming boundaries between pixels by being disposed between the adjacent light-receiving devices, a wiring layer disposed on the front surface of the semiconductor substrate, and a light filter layer disposed on the rear surface of the semiconductor substrate, wherein a thickness of the one or more pixel isolating layers decreases from a point in the semiconductor substrate toward the rear surface. | 04-26-2012 |
20120098079 | PHOTOELECTRIC CONVERSION DEVICE AND SOLID-STATE IMAGING DEVICE - A photoelectric conversion device having: a pair of electrodes; a photoelectric conversion layer sandwiched between the pair of electrodes; and at least one electron blocking layer provided between one electrode of the pair of electrodes and the photoelectric conversion layer, wherein the photoelectric conversion layer contains at least one organic material, and the at least one electron blocking layer has a mixed layer containing fullerene or fullerene derivatives. | 04-26-2012 |
20120104523 | Solid-state imaging device manufacturing method of solid-state imaging device, and electronic apparatus - A solid-state imaging device includes a substrate, a photodiode region which is formed in the substrate and generates a signal charge using photoelectric conversion of light which is incident from a back surface side of the substrate, a wiring layer which is formed on a front surface side of the substrate which is a side opposite to a light incidence surface, a light-blocking wiring which is formed in the wiring layer and is formed in a region which covers at least a portion of the photodiode region, and a connection portion which supplies a predetermined voltage from the light-blocking wiring to the photodiode region. | 05-03-2012 |
20120104524 | Wiring Substrate, Imaging Device and Imaging Device Module - A imaging device includes a first insulating substrate having a through hole, a connection electrode and a first wiring conductor, a second insulating substrate having outside terminals and a second wiring conductor, and an imaging element including a light-receiving portion arranged at a center portion on an upper surface thereof and a connection terminal arranged at an outer peripheral portion thereof, at least one of the lower surface of the first insulating substrate and the upper surface of the second insulating substrate including a recess portion, the through hole being located on an inner side thereof, the imaging element being arranged below the first insulating substrate such that the light-receiving portion is located within the through hole, the connection terminal being electrically connected to the connection electrode, the imaging element being accommodated inside the recess portion, outer peripheral portions of the first insulating substrate and the second insulating substrate being electrically connected to each other. | 05-03-2012 |
20120104525 | IMAGE SENSOR WITH COLOR PIXELS HAVING UNIFORM LIGHT ABSORPTION DEPTHS - An example image sensor includes first, second, and third micro-lenses. The first micro-lens is in a first color pixel and has a first curvature and a first height. The second micro-lens is in a second color pixel and has a second curvature and a second height. The third micro-lens is in a third color pixel and has a third curvature and a third height. The first curvature is the same as both the second curvature and the third curvature and the first height is greater than the second height and the second height is greater than the third height, such that light absorption depths for the first, second, and third color pixels are the same. | 05-03-2012 |
20120104526 | IMAGER MODULE OPTICAL FOCUS AND ASSEMBLY METHOD - An imager apparatus and methods are described. An embodiment of an imager module includes a plurality of groups of optical lenses, a lens frame, and at least one associated lens barrel configured to position and hold the plurality of groups of optical lenses. At least one of the groups of optical lenses is movable with respect to at least one other group of optical lenses for achieving optical focus. The imager module includes an integrated circuit (IC) imager die in proximity to the plurality of lenses, the imager die containing at least one image capture microelectronic device. | 05-03-2012 |
20120104527 | SEMICONDUCTOR IMAGING INSTRUMENT AND MANUFACTURING METHOD THEREOF, AND CAMERA AND MANUFACTURING METHOD THEREOF - A semiconductor imaging instrument is disclosed, including a prescribed substrate, an imaging device array provided on the substrate and having plural semiconductor imaging devices and electrodes for outputting a signal charge upon photoelectric conversion of received light, and a color filter layer provided on the imaging device array, with an infrared light absorbing dye being contained in the color filter layer. | 05-03-2012 |
20120104528 | WAFER-LEVEL PACKAGED MICROELECTRONIC IMAGERS AND PROCESSES FOR WAFER-LEVEL PACKAGING - The following disclosure describes several embodiments of (1) methods for wafer-level packaging of microelectronic imagers, (2) methods of forming electrically conductive interconnects in microelectronic imagers, (3) methods for forming optical devices for microelectronic imagers, and (4) microelectronic imagers that have been packaged using wafer-level packaging processes. Wafer-level packaging of microelectronic imagers is expected to significantly enhance the efficiency of manufacturing microelectronic imagers because a plurality of imagers can be packaged simultaneously using highly accurate and efficient processes developed for packaging semiconductor devices. Moreover, wafer-level packaging of microelectronic imagers is expected to enhance the quality and performance of such imagers because the semiconductor fabrication processes can reliably align an optical device with an image sensor and space the optical device apart from the image sensor by a desired distance with a higher degree of precision. | 05-03-2012 |
20120104529 | COLORED COMPOSITION FOR LIGHT-SHIELDING FILM, LIGHT-SHIELDING PATTERN, METHOD FOR FORMING THE SAME, SOLID-STATE IMAGE SENSING DEVICE, AND METHOD FOR PRODUCING THE SAME - The present invention provides a colored composition for a light-shielding film including at least one selected from titanium atom-containing black titanium pigments and at least one organic pigment selected from the group consisting of a red organic pigment, a yellow organic pigment, a violet organic pigment, and an orange color organic pigment, which has a maximum value of the transmittance of light having a wavelength of 400 to 700 nm of 1.5% or less when a film is formed such that the light transmittance at a wavelength of 650 nm is 0.2%, has a wavelength showing the maximum transmittance at 400 to 550 nm, and has a light transmittance at a wavelength of 400 nm of 0.1% or more. | 05-03-2012 |
20120112300 | METHOD OF FORMING SILICIDE FOR CONTACT PLUGS - A metal layer structure includes a substrate, a metal layer and a composite passivation. The metal layer is disposed in the substrate. The composite passivation includes a first material layer covering the substrate, an opening disposed in the first material layer and exposing the metal layer as well as a second material layer. The second material layer surrounds the sidewall of the opening, covers part of the bottom of the opening and exposes the metal layer. | 05-10-2012 |
20120119316 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MAKING THE SAME, AND MANUFACTURING SUBSTRATE FOR SOLID-STATE IMAGING DEVICE - A method for making a solid-state imaging device includes forming a pinning layer, which is a P-type semiconductor layer or an N-type semiconductor layer, on a first substrate by deposition; forming a semiconductor layer on the pinning layer; forming a photoelectric conversion unit in the semiconductor layer, the photoelectric conversion unit being configured to convert incident light into an electrical signal; forming, on the semiconductor layer, a transistor of a pixel unit and a transistor of a peripheral circuit unit disposed in the periphery of the pixel unit, and then forming a wiring section on the semiconductor layer; bonding a second substrate on the wiring section; and removing the first substrate after the second substrate is bonded. | 05-17-2012 |
20120126354 | Down-Converting And Detecting Photons - In certain embodiments, an apparatus for down-converting and detecting photons includes a detector layer and a nanocrystal layer. The nanocrystal layer includes nanocrystals operable to absorb first photons of a higher energy and emit second photons of a lower energy in response to the absorption. The detector layer is configured to detect the second photons. In certain embodiments, a method for manufacturing an apparatus for down-converting and detecting photons includes preparing an outer surface of a substrate. Nanocrystals are disposed outwardly from the outer surface. The nanocrystals are operable to absorb first photons of a higher energy and emit second photons of a lower energy in response to the absorption. | 05-24-2012 |
20120126355 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - An oxide film capable of suppressing reflection of a lens is formed under a low temperature. A method of manufacturing a semiconductor device includes: (a) forming a lower layer oxide film on a lens formed on a substrate using a first processing source containing a first element, a second processing source containing a second element, an oxidizing source and a catalyst, the lower layer oxide film having a refractive index greater than that of air and less than that of the lens; and (b) forming an upper layer oxide film on the lower layer oxide film using the first processing source, the oxidizing source and the catalyst, the upper layer oxide film having a refractive index greater than that of the air and less than that of the lower layer oxide film. | 05-24-2012 |
20120126356 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device including a substrate, a photoelectric conversion element including a first electrode, a second electrode and an organic compound layer and a sealing member that are disposed in this order. When a cross section of the photoelectric conversion device in a thickness direction is observed with the sealing member being placed at an upper side, a bonding member seals the organic compound layer at an outside thereof. An output electrode on the sealing member has a protrusion. A side conductive portion is electrically connected with the protrusion in an up-and-down direction. A substrate conductive member electrically connected with the first electrode and the second electrode extends to an outside of the bonding member. An electrical connecting member electrically connects the side conductive portion to the substrate conductive member at a further outside of the bonding member. | 05-24-2012 |
20120133010 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SEMICONDUCTOR DEVICE - According to one embodiment, a semiconductor device includes: a through-hole formed in a semiconductor layer; a through-hole insulting layer formed on a sidewall of the through-hole to retract from a front surface of the semiconductor layer; a through-electrode embedded in the through-hole via the through-hole insulating layer; and a sidewall insulating film formed on a sidewall of the through-electrode to be embedded in a retracting section of the through-hole insulating layer. | 05-31-2012 |
20120133011 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME - A solid-state imaging device according to an embodiment includes: a plurality of pixels arranged on a first face of a first semiconductor layer, each of the pixels including a photoelectric conversion element converting light entering through a second face of the first semiconductor layer on the opposite side from the first face into a signal charge, the photoelectric conversion element having a pn junction formed with a first semiconductor region formed on the first face and a second semiconductor region formed on a surface of the first semiconductor region; pixel separating regions separating the pixels from one another and formed between the pixels, each of the pixel separating regions including a second semiconductor layer covering faces in contact with the photoelectric conversion elements, and an insulating film with a lower refractive index than a refractive index of the second semiconductor layer to cover the second semiconductor layer. | 05-31-2012 |
20120133012 | COMPOSITE SYSTEM FOR PHOTOVOLTAIC MODULES - The present invention relates to a composite system for photovoltaic (PV) modules. The composite system consists of a carrier foil, a metal foil applied onto the carrier foil, and an insulating layer applied onto the metal foil. Using different connecting techniques, different photovoltaic (PV) cells can be fastened to the composite system and electrically interconnected thereby. In addition, the invention relates to a method for producing the composite system for PV modules, and to the use of the composite system for the back side contacting of wafer cells that have both contacts on the same side and that are placed, with the contacts, onto conductor structures that interconnect them into a module, and to the use of the composite system for modules of internally interconnected thin-film cells. | 05-31-2012 |
20120146169 | METHOD FOR MANUFACTURING SOLID STATE IMAGING DEVICE AND SOLID STATE IMAGING DEVICE - Certain embodiments provide a method for manufacturing a solid state imaging device, the method including: forming a plurality of first semispherical lens bodies; forming a second transparent resin layer; and forming a second lens body. The plurality of first semispherical lens bodies are respectively formed on a plurality of photodiode layers formed on a principal surface of a semiconductor substrate. The second transparent resin layer is a resin layer having an etching rate higher than that of the first lens body, and is formed so that the semiconductor substrate including the plurality of first lens bodies is covered with the second transparent resin layer. The second lens bodies are formed on a surface except the top part of each of the first lens bodies by etching an entire surface of the second transparent resin layer until top parts of the first lens bodies are exposed. | 06-14-2012 |
20120146170 | MANUFACTURING OF A CAMERA MODULE - A camera module includes a sensor die, a glass plate, peripheral spacer, an optical element, an outer surface having a shoulder extending in a direction substantially parallel to the sensor die, and a metal layer at least partially covering the outer surface. A method of manufacturing a camera module includes providing an assembly including a sensor dice wafer, a spacer wafer in front of the sensor dice wafer, and an optical element wafer in front of the spacer wafer. The method includes sawing a top cut, using a first saw blade of a first thickness, proceeding in a direction from the optical element wafer toward the sensor dice wafer, stopping before the sensor dice wafer is reached, and sawing a bottom cut, using a second saw blade of a second thickness, proceeding in a direction from the sensor dice wafer toward the optical element wafer. | 06-14-2012 |
20120146171 | Image Sensor Unit and Image Sensor Apparatus - An image sensor unit includes a fixed substrate, a movable substrate, an actuate section including an actuator for moving the movable substrate against the fixed substrate, an image sensor having an imaging surface on a front surface of the image sensor, and at least, a part of a rear surface of the image sensor being directly fixed onto the movable substrate, an external electrical connecting member for conducting a transmission and reception of signals between the actuate section and the image sensor and an outside of the image sensor unit, and an internal electrical connecting member electrically connects the actuate section, the image sensor and the external connection wiring, wherein the actuate section, the image sensor, the internal connection wiring and a part of the external connection wiring are sealed into the same space. | 06-14-2012 |
20120153417 | Laser Power Converter for Data Detection and Optical-to-Electrical Power Generation - The present disclosure provides a high-speed laser power converter (LPC). The LPC is able to be cascaded. The LPC has a high-speed photodiode (PD) performance even operated under a forward bias operational voltage. Thus, the present disclosure can generate power (instead of consume power) during high-speed data transmission in an optical interconnect (OI) system using 850 nano-meters (nm) wavelength vertical cavity surface-emitting laser (VCSEL). | 06-21-2012 |
20120153418 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREOF - According to one embodiment, a solid-state imaging device includes photodiodes provided in a substrate, and includes semiconductor regions of a first conductivity type, respectively, and an element isolation region provided in the substrate, includes a semiconductor region of a second conductivity type, and configured to electrically isolate the photodiodes from each other. The element isolation region is tilted in a direction of the center of an image area in which the photodiodes are arrayed. | 06-21-2012 |
20120153419 | SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - A semiconductor device, which is configured as a backside illuminated solid-state imaging device, includes a stacked semiconductor chip which is formed by bonding two or more semiconductor chip units to each other and in which, at least, a pixel array and a multi-layer wiring layer are formed in a first semiconductor chip unit and a logic circuit and a multi-layer wiring layer are formed in a second semiconductor chip unit; a semiconductor-removed region in which a semiconductor section of a part of the first semiconductor chip unit is completely removed; and a plurality of connection wirings which is formed in the semiconductor-removed region and connects the first and second semiconductor chip units to each other. | 06-21-2012 |
20120153420 | OPTICAL STRUCTURE OF SEMICONDUCTOR PHOTOMULTIPLIER AND FABRICATION METHOD THEREOF - Disclosed is an optical structure formed in an upper side of a semiconductor photomultiplier having a plurality of microcells. The optical structure includes: a first dielectric body formed in an upper side of a dead area between light receiving areas of the respective microcells and having a cross-sectional structure in which a lower side is wider than an upper side; and a second dielectric body formed in the upper side of the light receiving area of each microcell and having a cross-sectional structure in which a lower side is narrower than an upper side, and a refractive index of the second dielectric body is higher than that of the first dielectric body. | 06-21-2012 |
20120161269 | LOW-CROSSTALK FRONT-SIDE ILLUMINATED IMAGE SENSOR - A front-side illuminated image sensor, including photodetection regions, charge transfer elements, and an interconnection stack, all formed at the surface of a semiconductor substrate, microcavities being formed in the interconnection stack in front of the photodetection regions, microcavities being filled with materials forming color filters including metal pigments, regions of a material forming a barrier against ionic diffusion extending on the lateral walls of the microcavities. | 06-28-2012 |
20120161270 | SOLID-STATE IMAGING DEVICE, PROCESS OF MAKING SOLID STATE IMAGING DEVICE, DIGITAL STILL CAMERA, DIGITAL VIDEO CAMERA, MOBILE PHONE, AND ENDOSCOPE - A solid-state imaging device includes a substrate, a dielectric layer on the substrate, and an array of pixels, each of the pixels includes: a pixel electrode, an organic layer, a counter electrode, a sealing layer, a color filter, a readout circuit and a light-collecting unit as defined herein, the photoelectric layer contains an organic p-type semiconductor and an organic n-type semiconductor, the organic layer further includes a charge blocking layer as defined herein, an ionization potential of the charge blocking layer and an electron affinity of the organic n-type semiconductor present in the photoelectric layer have a difference of at least 1 eV, and a surface of the pixel electrodes on a side of the photoelectric layer and a surface of the dielectric layer on a side of the photoelectric layer are substantially coplanar. | 06-28-2012 |
20120168888 | IMAGE SENSOR CIRCUIT, SYSTEM, AND METHOD - A process of forming optical sensors includes sealing an imaging portion of each of a plurality of optical sensors on a sensor wafer with a transparent material. The operation of sealing leaves a bonding portion of each of the optical sensors exposed. The process further includes cutting the wafer into a plurality of image sensor dies after sealing the optical sensors such that each image sensor die includes one of the optical sensors sealed with a corresponding portion of the transparent material. | 07-05-2012 |
20120168889 | MANUFACTURING METHOD OF SOLID-STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE - A manufacturing method of a solid-state imaging device includes: preparing a photoelectric conversion device; forming an insulating layer on a surface of the photoelectric conversion device; forming a wire-grid polarizer on a support base; bonding a forming surface of the wire-grid polarizer on the support base to the insulating layer on the surface of the photoelectric conversion device and removing the support base from the wire-grid polarizer. | 07-05-2012 |
20120175719 | X-Y ADDRESS TYPE SOLID STATE IMAGE PICKUP DEVICE AND METHOD OF PRODUCING THE SAME - In an X-Y address type solid state image pickup device represented by a CMOS image sensor, a back side light reception type pixel structure is adopted in which a wiring layer is provided on one side of a silicon layer including photo-diodes formed therein, and visible light is taken in from the other side of the silicon layer, namely, from the side (back side) opposite to the wiring layer. Wiring can be made without taking a light-receiving surface into account, and the degree of freedom in wiring for the pixels is enhanced. | 07-12-2012 |
20120175720 | CMOS IMAGE SENSORS AND RELATED DEVICES AND FABRICATION METHODS - An image sensor device includes a substrate including a light sensing region therein and a reflective structure on a first surface of the substrate over the light sensing region. An interconnection structure having a lower reflectivity than the reflective structure is provided on the first surface of the substrate adjacent to the reflective structure. A microlens is provided on a second surface of the substrate opposite the first surface. The microlens is configured to direct incident light to the light sensing region, and the reflective structure is configured to reflect portions of the incident light that pass through the light sensing region back toward the light sensing region. Related devices and fabrication methods are also discussed. | 07-12-2012 |
20120181645 | PHOTODETECTOR OPTIMIZED BY METAL TEXTURING PROVIDED ON THE REAR SURFACE - Backlit detector for the detection of electromagnetic radiation around a predetermined wavelength, including a semiconductor absorption layer, formed above a transparent medium, capable of transmitting at least some of said radiation, and a minor above the semiconductor layer, and placed between the minor and the semiconductor layer, a periodic grating of metallic patterns, the minor and the grating being included in a layer of material transparent to said radiation and formed on the semiconductor layer. The minor and the grating verify: | 07-19-2012 |
20120181646 | CAMERA MODULE AND METHOD OF MANUFACTURING THE SAME - There are provided a camera module and a method of manufacturing the same. The camera module according to embodiments of the present invention includes: a lens assembly including at least one lens element layer and two lenses integrally formed on each lens element layer, the two lenses having the same focal distance and different optical axes; an image sensor package receiving light incident through the lens assembly and having two image sensor chips disposed therein, the two image sensor chips corresponding to the respective two lenses; and a housing receiving the lens assembly and the image sensor package therein. | 07-19-2012 |
20120181647 | MICROMECHANICAL TUNABLE FABRY-PEROT INTERFEROMETER AND A METHOD FOR PRODUCING THE SAME - The invention relates to controllable Fabry-Perot interferometers which are produced with micromechanical (MEMS) technology. Micromechanical interferometers of the prior art have a disadvantage of significantly attenuating infrared radiation. In the inventive solution there is a gap in at least one mirror, serving as a layer of the mirror. The other layers of the mirrors can be made of polycrystalline silicon, which has a negligible attenuation at the infrared range. It is also preferable to provide a hole or a recess in a substrate at the optical area of the interferometer. | 07-19-2012 |
20120187512 | LIGHT SENSOR HAVING IR CUT INTERFERENCE FILTER WITH COLOR FILTER INTEGRATED ON-CHIP - Techniques are described to furnish a light sensor that includes a patterned IR cut interference filter integrated with a patterned color pass filter. In one or more implementations, the light sensor includes a substrate having a surface. An IR cut interference filter configured to block infrared light is formed over the surface of the substrate. The light sensor also includes one or more color pass filters placed above or below the IR cut interference filter. The color pass filters are configured to filter visible light to pass light in a limited spectrum of wavelengths to the one or more photodetectors. In an implementation, a buffer layer is formed over the surface and configured to encapsulate the plurality of color pass filters to facilitate formation of the IR cut interference filter. In another implementation, the buffer layer is formed over the IR cut interference filter to function as a quasi-sacrificial buffer layer to facilitate formation of the color pass filters. | 07-26-2012 |
20120187513 | LIGHT SENSOR HAVING IR CUT AND COLOR PASS INTERFERENCE FILTER INTEGRATED ON-CHIP - A light sensor is described that includes an IR cut interference filter and at least one color interference filter integrated on-chip. The light sensor comprises a semiconductor device (e.g., a die) that includes a substrate. Photodetectors are formed in the substrate proximate to the surface of the substrate. An IR cut interference filter is disposed over the photodetectors. The IR cut interference filter is configured to filter infrared light from light received by the light sensor to at least substantially block infrared light from reaching the photodetectors. At least one color interference filter is disposed proximate to the IR cut interference filter. The color interference filter is configured to filter visible light received by the light sensor to pass light in a limited spectrum of wavelengths (e.g., light having wavelengths between a first wavelength and a second wavelength) to at least one of the photo detectors. | 07-26-2012 |
20120187514 | SYSTEM FOR REDUCING SENSOR AREA IN A BACK SIDE ILLUMINATED CMOS ACTIVE PIXEL SENSOR - The present invention relates to a backside illuminated (BSI) imager having a plurality of layers. A plurality of pixel sensors are positioned on a first layer of a substrate. Pixel select conductors are positioned on the substrate in front of the first layer. Pixel readout conductors including a plurality of output lines, pixel power conductors, and a ground conductor are positioned on the substrate in front of the pixel select conductors. A plurality of sample and hold capacitors coupled to the pixel output lines are positioned vertically and/or horizontally on the substrate in front of the ground conductor. | 07-26-2012 |
20120187515 | LIGHT SENSOR HAVING TRANSPARENT SUBSTRATE WITH LENS FORMED THEREIN - Light sensor devices are described that have a glass substrate, which includes a lens to focus light over a wide variety of angles, bonded to the light sensor device. In one or more implementations, the light sensor devices include a substrate having a photodetector formed therein. The photodetector is capable of detecting light and providing a signal in response thereto. The sensors also include one or more color filters disposed over the photodetector. The color filters are configured to pass light in a limited spectrum of wavelengths to the photodetector. A glass substrate is disposed over the substrate and includes a lens that is configured to collimate light incident on the lens and to pass the collimated light to the color filter. | 07-26-2012 |
20120193740 | OPTICAL SEMICONDUCTOR DEVICE - The present invention is intended to provide a compact and simple optical semiconductor device that reduces crosstalk (leakage current) between light receiving elements. According to the present invention, since a back surface electrode is a mirror-like thin film, crosstalk to an adjacent light receiving element can be suppressed, thereby reducing a detection error of a light intensity. By disposing a patterned back surface electrode or by disposing an ohmic electrode at the bottom of an insulating film over the whole back surface, contact resistance on the back surface can be reduced. By using the optical semiconductor elements with a two-dimensional arrangement and by using a mirror-like thin film as the back surface electrode, crosstalk can be reduced. By accommodating the optical semiconductor elements in the housing in a highly hermetic condition, the optical semiconductor elements can be protected from an external environment. | 08-02-2012 |
20120199924 | BSI IMAGE SENSOR PACKAGE WITH VARIABLE LIGHT TRANSMISSION FOR EVEN RECEPTION OF DIFFERENT WAVELENGTHS - A microelectronic image sensor assembly for backside illumination and method of making same are provided. The assembly includes a microelectronic element having contacts exposed at a front face and light sensing elements arranged to receive light of different wavelengths through a semiconductor region adjacent a rear face. The semiconductor region has a first region of material overlying the first light sensing element and a second region of material overlying the second light sensing element such that the first and second wavelengths are able to pass through the first and second regions, respectively, and reach the first and second light sensing elements with substantially the same intensity. | 08-09-2012 |
20120199925 | BSI IMAGE SENSOR PACKAGE WITH EMBEDDED ABSORBER FOR EVEN RECEPTION OF DIFFERENT WAVELENGTHS - A microelectronic image sensor assembly for backside illumination and method of making same are provided. The assembly includes a microelectronic element having contacts exposed at a front face and light sensing elements arranged to receive light of different wavelengths through a rear face. A semiconductor region has an opening overlying at least one of first and second light sensing elements, the semiconductor region having a first thickness between the first light sensing element and the rear face and a second thickness between the second light sensing element and the rear face. A light-absorbing material overlies the semiconductor region within the opening above at least one of the light sensing elements such that the first and second light sensing elements receive light of substantially the same intensity. | 08-09-2012 |
20120199926 | BSI IMAGE SENSOR PACKAGE WITH VARIABLE-HEIGHT SILICON FOR EVEN RECEPTION OF DIFFERENT WAVELENGTHS - A microelectronic image sensor assembly for backside illumination and method of making same are provided. The assembly includes a microelectronic element having contacts exposed at a front face and light sensing elements arranged to receive light of different wavelengths through a rear face. A semiconductor region has a first thickness between the first light sensing element and the rear face and a second thickness between the second light sensing element and the rear face such that the first and second light sensing elements receive light of substantially the same intensity. A dielectric region is provided at least substantially filling a space of the semiconductor region adjacent at least one of the light sensing elements. The dielectric region may include at least one light guide. | 08-09-2012 |
20120199927 | SOLID-STATE IMAGE PICKUP DEVICE AND METHOD OF MAKING THE SAME - A solid-state image pickup device includes a semiconductor substrate in which photoelectric conversion units are arranged. An insulator is disposed on the semiconductor substrate. The insulator has holes associated with the respective photoelectric conversion units. Members are arranged in the respective holes. A light-shielding member is disposed on the opposite side of one of the members from the semiconductor substrate, such that only the associated photoelectric conversion unit is shielded from light. In the solid-state image pickup device, the holes are simultaneously formed and the members are simultaneously formed. | 08-09-2012 |
20120199928 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - There are provided a first waveguide member in an imaging region and a peripheral region of a semiconductor substrate and a via plug penetrating the first waveguide member. | 08-09-2012 |
20120199929 | NEAR INFRARED CUTOFF FILTER - To provide a near infrared cutoff filter at low costs, which is useful as cover glass for a solid state imaging sensor package, by providing a thin film attenuation layer for effectively shielding α rays emitted from substrate glass in a form not to influence the optical characteristics. A near infrared cutoff filter comprising substrate glass made of fluorophosphate glass containing CuO or phosphate glass containing CuO, and a thin film attenuation layer formed on at least one light-permeable surface of the substrate glass to attenuate α rays emitted from the substrate glass. | 08-09-2012 |
20120205765 | IMAGE SENSORS WITH STACKED PHOTO-DIODES - This describes color filter arrangements for image sensor arrays that are formed using image sensor pixels with stacked photo-diodes. The stacked photo-diodes may include first and second photo-diodes and may have the ability to separate color signal according to the depth of carrier generation in a silicon substrate. A single color filter may be formed over the stacked photo-diodes to provide full red-green-blue sensing capability. Charge drain regions may also be formed at different depths in the silicon substrate. If the charge drain regions are formed beneath the stacked photo-diodes in the substrate, full red-green-blue color sensing may be achieved without the use of color filters. | 08-16-2012 |
20120205766 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME AND ELECTRONIC APPARATUS - A solid-state imaging device includes: an optical filter in which a filter layer is formed on a transparent substrate; a solid-state imaging component that is arranged to be opposed to the optical filter and in which plural pixels that receive light made incident via the filter layer are arrayed in a pixel area of a semiconductor substrate; and a bonding layer that is provided between the optical filter and the solid-state imaging component and sticks the optical filter and the solid-state imaging component together. | 08-16-2012 |
20120205767 | PLASMONIC DETECTOR AND METHOD FOR MANUFACTURING THE SAME - A plasmonic detector and method for manufacturing a plasmonic detector. The plasmonic detector comprises two nanoscale metallic rods coupled to a bias voltage; a nanoscale cavity formed between adjacent ends of the two nanoscale metallic rods; and an absorption material disposed in the nanoscale cavity for converting an electromagnetic field to an electric current for outputting via the nanoscale metallic rods. | 08-16-2012 |
20120211849 | SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, METHOD FOR LAMINATING SEMICONDUCTOR WAFERS, AND ELECTRONIC DEVICE - A method for manufacturing a semiconductor device including: forming a wiring layer on a surface side of a first semiconductor wafer; forming a buried film so as to fill in a level difference on the wiring layer, the level difference being formed at a boundary between a peripheral region of the first semiconductor wafer and an inside region being on an inside of the peripheral region, and the level difference being formed as a result of a surface over the wiring layer in the peripheral region being formed lower than a surface over the wiring layer in the inside region, and making the surfaces over the wiring layer in the peripheral region and the inside region substantially flush with each other; and opposing and laminating the surfaces over the wiring layer formed in the first semiconductor wafer to a desired surface of a second semiconductor wafer. | 08-23-2012 |
20120211850 | IMAGING ELEMENT, METHOD FOR MANUFACTURING IMAGING ELEMENT, PIXEL DESIGN METHOD, AND ELECTRONIC APPARATUS - An imaging element includes a plurality of pixels that are two-dimensionally arranged and each have a light receiving part including a photoelectric conversion element and a light collecting part that collects incident light toward the light receiving part. Each of the light collecting parts in the plurality of pixels includes an optical functional layer having, in a surface, a specific projection and depression structure depending on the pixel position. | 08-23-2012 |
20120211851 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes semiconductor substrate; a plurality of photoelectric conversion sections of n-type that are formed at an upper part of semiconductor substrate and arranged in a matrix; output circuit that is formed on a charge detection surface that is one surface of semiconductor substrate and detects charges stored in photoelectric conversion sections; a plurality of isolating diffusion layers of a p-type that are formed under output circuit and include high concentration p-type layers adjacent to respective photoelectric conversion sections; and color filters formed on a light incident surface that is the other surface opposing the one surface of semiconductor substrate and transmit light with different wavelengths. Shapes of respective photoelectric conversion sections correspond to color filters and differ depending on the high concentration p-type layer configuring isolating diffusion layer. | 08-23-2012 |
20120217600 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A manufacturing method of a semiconductor device according to embodiments includes forming a photodiode layer, which is an active region including a photodiode, on a main surface of a first substrate, forming a wiring layer, which includes a wire and a dielectric layer covering the wire, on the photodiode layer, and forming a dielectric film on the wiring layer. The manufacturing method of the semiconductor device according to the embodiments further includes bonding a second substrate to the dielectric film of the first substrate so that a crystal orientation of the photodiode layer matches a crystal orientation of the second substrate. | 08-30-2012 |
20120217601 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - A solid-state imaging device includes a plurality of photoelectric conversion portions each provided to correspond to each of a plurality of pixels in a semiconductor substrate and receiving incident light through a light sensing surface, and a pixel separation portion that is embedded into a trench provided on a side portion of the photoelectric conversion portion and electrically separates the plurality of pixels in a side of an incident surface of the semiconductor substrate into which the incident light enters. The pixel separation portion is formed by an insulation material which absorbs the incident light entering the light sensing surface. | 08-30-2012 |
20120217602 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - A solid-state imaging device includes a plurality of photoelectric conversion portions each provided in a semiconductor substrate and receives incident light through a light sensing surface, and a pixel separation portion provided to electrically separate a plurality of pixels. At least a pinning layer and a light shielding layer are provided in an inner portion of a trench provided on a side portion of each of the photoelectric conversion portions in an incident surface side, the trench includes a first trench and a second trench formed to be wider than the first trench in a portion shallower than the first trench, the pinning layer is formed in an inner portion of the first trench to cover an inside surface of the second trench, and the light shielding layer is formed to bury an inner portion of the second trench at least via the pinning layer. | 08-30-2012 |
20120235263 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - A solid-state imaging device includes pixels each having a photoelectric conversion element for converting incident light to an electric signal, color filters associated with the pixels and having a plurality of color filter components, microlenses converging the incident light through the color filters to the photoelectric conversion elements, a light shielding film disposed between the color filter components of the color filters, and a nonplanarized adhesive film provided between the color filters and the light shielding film. | 09-20-2012 |
20120235264 | LIGHT RECEIVING ELEMENT, LIGHT RECEIVING DEVICE, AND LIGHT RECEIVING MODULE - A light receiving element includes a waveguide that includes a waveguide core, a multi-mode interference waveguide that has a width larger than a width of the waveguide, the multi-mode interference waveguide receiving a first light from the waveguide core at a first end, and a photodetection portion that includes a first semiconductor layer and an absorption layer disposed on the first semiconductor layer, the first semiconductor layer including at least one layer and receiving a second light from the multi-mode interference waveguide at a second end, the absorption layer being disposed above the first semiconductor layer and absorbing the second light. A distance from the first end of the multi-mode interference waveguide to the second end of the photodetection portion is longer than 70% of a first length and shorter than 100% of the first length, the first length being a length where self-imaging occurs in the multi-mode interference waveguide. | 09-20-2012 |
20120235265 | LIGHT RECEIVING ELEMENT, LIGHT RECEIVING DEVICE, AND LIGHT RECEIVING MODULE - A light receiving element includes a core configured to propagate a signal light, a first semiconductor layer having a first conductivity type, the first semiconductor layer being configured to receive the signal light from the core along a first direction in which the core extends, an absorbing layer configured to absorb the signal light received by the first semiconductor layer, and a second semiconductor layer having a second conductivity type opposite to the first conductivity type. | 09-20-2012 |
20120235266 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - There is provided a solid-state imaging device including plural pixel regions, each including a pixel having a photoelectric conversion unit, a color filter, and a microlens that condenses the incident light to the photoelectric conversion unit; a first light shielding portion that has a first end face at the side of the microlens, and a second end face opposite to the first end face, and that is formed at each side portion of each pixel region of the plurality of the pixel regions; and a second light shielding portion that has a first end face at the side of the microlens, and a second end face opposite to the first end face, and that is formed at each corner portion of the pixel region, in which a distance from a surface of the pixel to the first end face is short compared to the first light shielding portion. | 09-20-2012 |
20120235267 | PHOTODIODE OF THE TYPE AVALANCHE PHOTODIODE - A front-illuminated avalanche photodiode (APD) includes an opening ( | 09-20-2012 |
20120235268 | PHOTOELECTRIC CONVERSION MODULE, METHOD FOR MANUFACTURING SAME, AND POWER GENERATION DEVICE - A photoelectric conversion module comprises: a substrate having a first surface on which a light is incident and a second surface located at the opposite side of the first surface; a photoelectric conversion element provided on the second surface of the substrate; a light-transmitting member provided on the photoelectric conversion element; and a reflecting member provided on the light-transmitting member and configured to reflect a light having transmitted through the light-transmitting member. The reflecting member comprises an inclined light reflection surface that allows a light reflected from the reflecting member to be totally reflected at the first surface of the substrate. | 09-20-2012 |
20120241890 | IR SENSOR USING REO UP-CONVERSION - A pumped sensor system includes a substrate with a first layer formed thereon and doped for a first type conduction and a second layer doped for a second type conduction, whereby the first and second layers form a silicon light detector at an up-conversion wavelength. A ternary rare earth oxide is formed on the second layer and crystal lattice matched to the second layer. The oxide is a crystalline bulk oxide with a controlled percentage of an up-conversion component and a majority component. The majority component is insensitive to any of pump, sense, or up-conversion wavelengths and the up-conversion component is selected to produce energy at the up-conversion wavelength in response to receiving energy at the pump and sense wavelengths. The layer of oxide defines a light input area sensitive to a pump wavelength and a light input area sensitive to a sense wavelength. | 09-27-2012 |
20120241891 | WIDE FIELD OF VIEW OPTICAL RECEIVER - Techniques are disclosed for creating optical systems and assemblies that provide increased field of view (FOV) for light detection by coupling a flip-chip light sensor directly to a condenser lens. According to certain embodiments of the invention, an optical assembly can include a condenser lens with a substantially flat surface optically contacted with a substantially flat surface of a substrate of a flip-chip light sensor. The thickness of the substrate is such that the active area of the light sensor is disposed on a focal plane of the optical system. This enables accurate light detection and increased FOV over conventional techniques. | 09-27-2012 |
20120241892 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME - In a method for manufacturing a semiconductor device according to an embodiment, a trench is formed in an outer peripheral portion of a chip region on a bonding surface of a support substrate, and a semiconductor substrate having a chip ring in the outer peripheral portions of the chip regions on an inside of a dicing line respectively and the support substrate are bonded to position the trench from above the chip ring to the inside of the dicing line. In the method for manufacturing a semiconductor device, furthermore, the semiconductor substrate and the support substrate which are bonded to each other are subjected to dicing along the dicing line. | 09-27-2012 |
20120241893 | DEVICES INCLUDING BOND PAD HAVING PROTECTIVE SIDEWALL SEAL - A device having a detector includes a sensor package. The sensor package includes a light sensor, at least one filter located over the light sensor and at least one bond pad. The light sensor is formed on a semiconductor device that provides sensor information related to light incident upon the light sensor. A perimeter of each bond pad is covered by a protective layer forming a sidewall seal. The sensor package also includes a package that encases the light sensor, filter(s) and bond pad(s). Additionally, at least one package pin is communicatively coupled to the bond pad(s). The device also includes a functional circuit that is coupled to the sensor package and receives the sensor information from the light sensor. The device can be an ambient light sensor, camera, backlit mirror, handheld electronic device, filter device, light-to-digital output sensor, gain selection device, proximity sensor, or light-to-voltage non-linear converter. | 09-27-2012 |
20120248559 | OPTICAL ELEMENT PRODUCING A MODULATED REGION OF DECREASED LIGHT INTENSITY AND OPTICALLY ENHANCED PHOTOVOLTAIC CELL INCLUDING THE SAME - An optical element has a plano-plano body formed of a first material having a greater refraction index n | 10-04-2012 |
20120248560 | Image Sensors - An image sensor includes a semiconductor substrate, a plurality of photo detecting elements and a backside protection pattern. The plurality of photo detecting elements may be formed in an upper portion of the semiconductor substrate. The plurality of photo detecting elements may be separate from each other. The backside protection pattern may be formed in a lower portion of the semiconductor substrate between the plurality of photo detecting elements. | 10-04-2012 |
20120248561 | OPTICAL ELEMENT AND IMAGE SENSOR - An optical element includes a refractive index pattern that is periodically formed by a plurality of media having refractive indices different from each other. The highest diffraction order for a light beam of a first wavelength region that enters the optical element is greater than the highest diffraction order for a light beam of a second wavelength region that is longer than the first wavelength region, and the light beams of the first wavelength region and the second wavelength region are emitted so that each of the light beams of the first wavelength region and the second wavelength region is periodically localized. | 10-04-2012 |
20120256284 | IMAGING DEVICE AND CAMERA MODULE - An imaging device includes: an optical sensor including a light receiving unit capable of forming an object image; a seal material for protecting the light receiving unit of the optical sensor; an intermediate layer formed at least between the light receiving unit and an opposite surface of the seal material facing the light receiving unit; and a control film arranged between the intermediate layer and the opposite surface of the seal material, wherein, in the control film, a cutoff wavelength is shifted to a shortwave side in accordance with an incident angle of light which is obliquely incident on the film. | 10-11-2012 |
20120256285 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS - A solid-state imaging device includes: a light-receiving element; and a multilayer film which is disposed on a side of a light-receiving surface of the light-receiving element and is formed by laminating a plurality of layers made of materials having different refractive indices, in which a defect layer is included in at least one of the laminated layers, wherein in the defect layer, a plurality of kinds of materials having different refractive indices coexist in a surface parallel to the light-receiving surface. | 10-11-2012 |
20120261780 | BACKSIDE-ILLUMINATED IMAGE SENSOR AND FABRICATING METHOD THEREOF - A backside-illuminated image sensor and a fabricating method thereof are provided. The fabricating method includes the following steps. Firstly, a first substrate having a first side and a second side is provided, wherein a sensing structure is formed on the first side of the first substrate, and the sensing structure includes an alignment mark. Then, a second substrate is provided and bonded to the first side of the first substrate. Then, a light-transmissible structure is formed on the second side of the first substrate at a location corresponding to the alignment mark. Afterwards, an optical structure is positioned on the second side of the first substrate by referring to the light-transmissible structure and the alignment mark. | 10-18-2012 |
20120261781 | SIDEWALL FOR BACKSIDE ILLUMINATED IMAGE SENSOR METAL GRID AND METHOD OF MANUFACTURING SAME - The present disclosure provides an image sensor device and a method for manufacturing the image sensor device. An exemplary image sensor device includes a substrate having a front surface and a back surface; a plurality of sensor elements disposed at the front surface of the substrate, each of the plurality of sensor elements being operable to sense radiation projected towards the back surface of the substrate; a radiation-shielding feature disposed over the back surface of the substrate and horizontally disposed between each of the plurality of sensor elements; a dielectric feature disposed between the back surface of the substrate and the radiation-shielding feature; and a metal layer disposed along sidewalls of the dielectric feature. | 10-18-2012 |
20120261782 | SOLID-STATE IMAGE PICKUP DEVICE AND METHOD OF PRODUCING THE SAME - The present invention provides a solid-state image pickup device that includes a plurality of photoelectric conversion units disposed in a semiconductor substrate, a first planarizing layer disposed at a first principal surface side of the semiconductor substrate where light enters, a color filter layer disposed on the first planarizing layer and including color filters each of which is provided for a corresponding photoelectric conversion unit, and a second planarizing layer disposed on the color filter layer for reducing a level difference between the color filters. In the solid-state image pickup device, a gap is disposed in a position corresponding to a boundary between the neighboring color filters in the color filter layer, the gap extending to the second planarizing layer, and a sealing layer for sealing the gap is disposed on the gap and the second planarizing layer. | 10-18-2012 |
20120267739 | SOLID-STATE IMAGING DEVICE - There is employed a lamination structure of semiconductor substrate in which light receiving part having a photoelectric converting function is formed in an inner portion, and insulating films and wirings. There are provided a wiring layer formed above semiconductor substrate and having a concave portion formed in a place corresponding to a portion disposed above light receiving part, second insulating film having a higher refractive index than insulating films and covering a side surface of the wiring layer facing the concave portion, third insulating film having a lower refractive index than second insulating film and covering the side surface of second insulating film, and fourth insulating film having a higher refractive index than third insulating film and covering the side surface of third insulating film. | 10-25-2012 |
20120267740 | SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - A method of manufacturing a semiconductor device includes bonding a first semiconductor wafer including a first substrate and a first insulating layer formed to contact one surface of the first substrate, and a second semiconductor wafer including a second substrate and a second insulating layer, forming a third insulating layer, performing etching so that the second insulating layer remains on a second wiring layer, forming a first connection hole, forming an insulating film on the first connection hole, performing etching of the second insulating layer and the insulating film, forming a second connection hole, and forming a first via formed in inner portions of the connection holes and connected to the second wiring layer, wherein a diameter of the first connection hole formed on the other surface of the first substrate is greater than a diameter of the first connection hole formed on the third insulating layer. | 10-25-2012 |
20120267741 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solid-state imaging device includes: a light receiving portion formed on a semiconductor substrate; a multilayer structure formed on the semiconductor substrate, that includes an interlayer insulating film and a first concave portion at a position corresponding to the light receiving portion; and an optical waveguide formed in the first concave portion. The optical waveguide includes a first film and a second film formed sequentially from a side of the multilayer structure. The first film covers a side face and a bottom face of the first concave portion and includes a second concave portion. The second film is in contact with the first film and fills up the second concave portion. The thickness of the first film formed on the side face of the first concave portion is thinner at a top portion of the first concave portion than at the bottom portion thereof. | 10-25-2012 |
20120267742 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention achieves an optical characteristic exhibiting excellent sensitivity or the like, by increasing the opening dimension of an optical waveguide without changing the interconnection layout, so that the optical waveguide can surely be filled with a film having high refractive index. Pixel portion A of a solid-state imaging device includes photodiode PD formed on a semiconductor substrate; a first insulating film including a concave portion above photodiode PD; and a second insulating film formed on the first insulating film such that the concave portion is filled with the second insulating film. Peripheral circuit portion B of the solid-state imaging device includes an internal interconnection formed in the first insulating film and a pad electrode formed on the internal interconnection to be electrically connected to the internal interconnection. The pad electrode is formed on the second insulating film. | 10-25-2012 |
20120267743 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solid-state imaging device and a method for manufacturing the same are provided. The solid-state imaging device includes a structure that provides a high sensitivity and high resolution without variations in spectral sensitivity and without halation of colors, and prevents light from penetrating into an adjacent pixel portion. A plurality of photodiodes are formed inside a semiconductor substrate. A wiring layer includes a laminated structure of an insulating film and a wire and is formed on the semiconductor substrate. A plurality of color filters are formed individually in a manner corresponding to the plurality of photodiodes above the wiring layer. A planarized film and a microlens are sequentially laminated on each of the color filters. In the solid-state imaging device, each of the color filters has an refraction index higher than that of the planarized film and has, in a Z-axis direction, an upper surface in a concave shape. | 10-25-2012 |
20120267744 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - There are provided semiconductor substrate, ground layer formed on semiconductor substrate and having an upper surface corresponding to pixel region, the upper surface being lower than an upper surface corresponding to peripheral circuit region, a plurality of color filters disposed two-dimensionally on the upper surface corresponding to pixel region in ground layer, and partition wall provided between color filters. In a section which is orthogonal to the upper surface corresponding to pixel region in ground layer, an occupied area of partition wall provided in outer portion disposed in contact with peripheral circuit region is smaller than that of partition wall provided in central portion of pixel region. | 10-25-2012 |
20120267745 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solid-state imaging device includes a semiconductor substrate having a photodiode formed therein, and a lamination structure of an insulating film and a wiring. The solid-state imaging device includes a partition wall formed on a wiring layer, constituted by an inorganic material and formed in a portion corresponding to a portion provided between the adjacent photodiodes, and a color filter constituted by an organic material and formed between the adjacent partition walls. The solid-state imaging device includes an adhesion layer constituted by an organic material and formed between a side surface of the partition wall and the color filter. An adhesive property of the adhesion layer to the color filter is higher than that of the partition wall to the color filter, and an adhesive property of the adhesion layer to the partition wall is higher than an adhesive property of the color filter to the partition wall. | 10-25-2012 |
20120273905 | CFA RESIST SILYLATION FOR LIMITING COLOR INTERACTIONS AND IMPROVING CROSSTALK - An electronic imager includes a pixel sensor array, a plurality elements of a color filter array containing pigments forming multiple color filter patterns on the pixel sensor array and a silylating agent formed between at least first and second elements of the multiple color filter patterns. A method for forming a color filter array on a pixel sensor array of an electronic imager includes forming a pixel sensor array on a substrate, forming a first color filter pattern on the pixel sensor array, depositing a silylating agent on the first color filter pattern, disposing elements of a second color filter pattern on the silylating agent between respective elements of the first color filter pattern and disposing elements of a third color filter pattern on the silylating agent between respective elements of the first color filter pattern. | 11-01-2012 |
20120273906 | DIELECTRIC BARRIERS FOR PIXEL ARRAYS - Pixel arrays are provided for image sensors that have barriers between color filters in an array of color filters. Color filter barriers may be formed from a transparent or semi-transparent material. Color filter barriers may be formed from a low refractive index material. Color filters may be etched and color filter barrier material may be formed in the etched regions of the color filters. If desired, a layer of color filter barrier material may be etched to form open regions and color filter material may be formed in the open regions of the color filter barrier material. An image sensor may be a front-side illuminated image sensor or a back-side illuminated image sensor. | 11-01-2012 |
20120273907 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor includes: a substrate having a plurality of unit pixel region; a light receiving element formed in the substrate at the unit pixel region; an interlayer dielectric layer formed over the substrate; a lightguide formed in the interlayer dielectric layer for the light receiving element; a light focusing pattern formed over the interlayer dielectric layer at the pixel region; a planarization layer formed over the substrate and covering the light focusing pattern; and a lens formed over the planarization layer at the pixel region. | 11-01-2012 |
20120273908 | STACKED SENSOR PACKAGING STRUCTURE AND METHOD - Disclosed herein is a stacked chip package including an image sensor including a recess formed on a surface thereof, and a digital signal processor chip that is positioned within the recess. Also disclosed herein is a method of fabricating a stacked chip package including the steps of forming a recess on a surface of an image sensor and positioning a digital signal processor in the recess of the image sensor. | 11-01-2012 |
20120273909 | SEMICONDUCTOR LIGHT-RECEIVING DEVICE - A semiconductor light-receiving includes: a substrate; a semiconductor light-receiving element that is provided on the substrate and has a first conductivity region and a second conductivity region; a first electrode electrically coupled to the first conductivity region; a second electrode electrically coupled to the second conductivity region; an insulating layer located on the second conductivity region; and a wiring that is located on the insulating layer and is electrically coupled to the first electrode, the wiring being elongated from the first electrode to a peripheral region of the semiconductor light-receiving element, the wiring having a region of first width and a region of second width narrower than the first width, the region of second width of the wiring being located on the second conductivity region. | 11-01-2012 |
20120273910 | PHOTODETECTOR, IMAGE SENSOR AND METHOD FOR MANUFACTURING - The finding that with a reasonable effort a layer thickness and/or refractive index variation may be acquired which realizes different internal optical path lengths for impinging radiation whereby fluctuation of spectral sensitivity of the photodetector is reduced is used to provide image sensors with a less fluctuating spectral sensitivity with respect to different wavelengths, or photodetectors with a small fluctuation of the spectral sensitivity from photodetector to photodetector with respect to defined wavelengths, with a reasonable effort. | 11-01-2012 |
20120273911 | PHOTOELECTRIC TRANSDUCER - A photoelectric transducer ( | 11-01-2012 |
20120280343 | BACK-SIDE ILLUMINATION IMAGE SENSOR AND METHOD FOR FABRICATING BACK-SIDE ILLUMINATION IMAGE SENSOR - A method for fabricating a back-side illumination image sensor includes: implanting a first type of dopant into an epitaxial layer disposed over a first side of a substrate layer to form a first dopant layer in a first side of the epitaxial layer; adhering a carry layer over the first dopant layer for carrying the substrate layer; grinding a second side of the substrate layer for exposing a second side of the epitaxial layer; implanting the first type of dopant into the epitaxial layer from the second side of the epitaxial layer to form a second dopant layer in the second side of the epitaxial layer; forming at least one metal layer over the second dopant layer after forming the second dopant layer in the second side of the epitaxial layer; removing the carry layer; and forming a color filtering module over the first dopant layer. | 11-08-2012 |
20120280344 | Wafer Scale Packaging Platform For Transceivers - A wafer scale implementation of an opto-electronic transceiver assembly process utilizes a silicon wafer as an optical reference plane and platform upon which all necessary optical and electronic components are simultaneously assembled for a plurality of separate transceiver modules. In particular, a silicon wafer is utilized as a “platform” (interposer) upon which all of the components for a multiple number of transceiver modules are mounted or integrated, with the top surface of the silicon interposer used as a reference plane for defining the optical signal path between separate optical components. Indeed, by using a single silicon wafer as the platform for a large number of separate transceiver modules, one is able to use a wafer scale assembly process, as well as optical alignment and testing of these modules. | 11-08-2012 |
20120280345 | PHOTODETECTOR AND A METHOD OF FORMING THE SAME - According to embodiments of the present invention, a photodetector is provided. The photodetector includes a substrate, a waveguide formed on a surface of the substrate, a first metal layer formed on a first side of the waveguide, wherein a first interface is defined between the waveguide and the first metal layer, and a silicide layer formed on a second side of the waveguide, wherein a second interface is defined between the waveguide and the silicide layer, and wherein the second side is opposite to the first side, and wherein at least one of the first interface and the second interface is at least substantially perpendicular to the surface of the substrate. Various embodiments further provide a method of forming the photodetector. | 11-08-2012 |
20120280346 | SENSOR STRUCTURE FOR OPTICAL PERFORMANCE ENHANCEMENT - The present disclosure provides various embodiments of an image sensor device. An exemplary image sensor device includes an image sensing region disposed in a substrate; a multilayer interconnection structure disposed over the substrate; and a color filter formed in the multilayer interconnection structure and aligned with the image sensing region. The color filter has a length and a width, where the length is greater than the width. | 11-08-2012 |
20120280347 | WAVEGUIDE PHOTO-DETECTOR - Provided is a waveguide photodetector that may improve an operation speed and increase or maximize productivity. The waveguide photodetector includes a waveguide layer extending in a first direction, an absorption layer disposed on the waveguide layer, a first electrode disposed on the absorption layer, a second electrode disposed on the waveguide layer, the second electrode being spaced from the first electrode and the absorption layer in a second direction crossing the first direction, and at least one bridge electrically connecting the absorption layer to the second electrode. | 11-08-2012 |
20120286384 | SEMICONDUCTOR PACKAGE, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND SOLID-STATE IMAGING DEVICE - A semiconductor package includes: a sheet-like thin plate on which a semiconductor chip is secured; and a substrate including a wiring layer, disposed on the thin plate to extend over a part of a region surrounding the region where the semiconductor chip is secured or over the entire surrounding region, wherein the semiconductor chip and the substrate are electrically connected. | 11-15-2012 |
20120286385 | SEMICONDUCTOR DEVICE, CAMERA MODULE, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A semiconductor device is provided which has a semiconductor element having an element forming surface at which a sensor element is formed, a back surface on the opposite side of the element forming surface, and a light transmissive protective member laminated over the element forming surface via an adhering portion. The semiconductor device includes a region exposed from the protective member at the outer peripheral end portion of the semiconductor element, when viewed from the protecting member in a laminating direction. | 11-15-2012 |
20120286386 | SOLID-STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE DESIGNING METHOD - A solid-state imaging device includes light receiving sections which are arranged in an image area on a semiconductor substrate at the same pitch and which light exiting from an imaging optical system enters, condensing lenses respectively arranged above the light receiving sections, and light shielding sections each of which is provided at one end of each of the light receiving sections. The condensing lenses are arranged in a peripheral portion in a first direction in the image area at a first pitch, and arranged in a peripheral portion in a second direction opposite the first direction at a second pitch which is smaller than the first pitch. | 11-15-2012 |
20120292726 | SENSOR INTEGRATED SLIT FOR PUSHBROOM HYPERSPECTRAL SYSTEM - An entry slit panel for a push-broom hyperspectral camera is formed at least partly from a silicon wafer on which at least one companion sensor is fabricated, whereby the companion sensor is co-planar with the slit and detects light imaged on the panel but not on the slit. In embodiments, the companion sensor is a panchromatic sensor or a sensor that detects light outside the wavelength range of the camera. At least a region of the wafer is back-thinned to a thickness appropriate for a diffraction slit. The slit can be etched or laser cut through the thinned region, or formed between the wafer and another wafer or a conventional blade. The wafer can be back-coated or metalized to ensure its opacity across the camera's wavelength range. The companion sensor can be located relative to the slit to detect scene features immediately before or after the hyperspectral camera. | 11-22-2012 |
20120292727 | OPTICAL SENSOR - An optical sensor and a method for manufacturing the same are provided. The optical sensor includes a first photosensitive layer, a first charge carrier collecting element, a second photosensitive layer and a second charge carrier collecting element. The first photosensitive layer has a first light incident surface. The first charge carrier collecting element is disposed on a surface of the first photosensitive layer opposite to the first light incident surface of the first photosensitive layer. The second photosensitive layer is adjacent to the first photosensitive layer and has a second light incident surface. The second charge carrier collecting element is disposed on a surface of the second photosensitive layer opposite to the second light incident surface of the second photosensitive layer. | 11-22-2012 |
20120299138 | Wafer Level Optical Packaging System, And Associated Method Of Aligning Optical Wafers - An optical system has a first relief-type diffraction grating fiducial, or alignment mark, on a transparent surface of a first optical wafer or plate, the grating arranged to deflect light away from an optical path and appear black. The first wafer may have lenses. The first fiducial is aligned to another fiducial on a second wafer having further optical devices as part of system assembly; or the fiducials are aligned to alignment marks or fiducials on an underlying photosensor. Once the optical devices are aligned and the wafers bonded, they are diced to provide aligned optical structures for a completed camera system. Alternatively, an optical wafer is made by aligning a second relief-type diffraction grating fiducial on a first master to a first relief-type diffraction grating fiducial on an optical wafer preform, pressing the first master into a blob to form optical shapes and adhere the blob to the optical wafer preform. | 11-29-2012 |
20120299139 | METHOD OF FABRICATION OF AN ARRAY OF GRADED REFRACTIVE INDEX MICROLENSES INTEGRATED IN A IMAGE SENSOR - Methods and devices that incorporate microlens arrays are disclosed. An image sensor includes a pixel layer and a dielectric layer. The pixel layer has a photodetector portion configured to convert light absorbed by the pixel layer into an electrical signal. The dielectric layer is formed on a surface of the pixel layer. The dielectric layer has a refractive index that varies along a length of the dielectric layer. A method for fabricating an image sensor includes forming an array of microlenses on a surface of the dielectric layer, emitting ions through the array of microlenses to implant the ions in the dielectric layer, and removing the array of microlenses from the surface of the dielectric layer. | 11-29-2012 |
20120299140 | SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, AND CAMERA MODULE - Certain embodiments provide a solid-state imaging device including a curved guide having a curved portion of a concave shape, a sensor substrate, an adhesive, a transparent substrate, and an external electrode. The sensor substrate includes a sensor section, for receiving light collected by a lens and generating charges in accordance with a light receiving quantity, on a surface, has the curved guide fixed on a back surface, and has a region including the sensor section curved downward to a convex shape along the curved portion of the curved guide. The adhesive is formed at a periphery of the sensor section. The transparent substrate is a plate-like substrate fixed on the sensor substrate by the adhesive. The external electrode is formed on the back surface of the sensor substrate, and is electrically connected to the sensor section through a through-electrode provided on the sensor substrate. | 11-29-2012 |
20120306035 | PROCESS FOR FABRICATING A BACKSIDE-ILLUMINATED IMAGING DEVICE AND CORRESPONDING DEVICE - An integrated imaging device includes a silicon layer provided over a dielectric multilayer. The dielectric multilayer includes a top silicon-dioxide layer, an intermediate silicon-nitride layer and a bottom silicon-dioxide layer. Imaging circuitry is formed at a frontside of the silicon layer. An isolating structure surrounds the imaging circuitry and extends from the frontside through the silicon layer and top silicon-dioxide layer into and terminating within the intermediate silicon-nitride layer. A filter for the imaging circuitry is mounted to a backside of the bottom silicon-dioxide layer. The isolating structure is formed by a trench filled with a dielectric material. | 12-06-2012 |
20120306036 | FLIP-CHIP PHOTODIODE - A photodiode is provided according to various embodiments. In some embodiments, the photodiode includes a substrate and an active region. The active region is configured to receive light through the substrate. In such a configuration, the substrate not only participates in the photodiode operation acts as a light filter depending on the substrate material. In some embodiments, the active region may include solder balls that may be used to couple the photodiode to a printed circuit board. In some embodiments, the active region is coupled face-to-face with the printed circuit board. | 12-06-2012 |
20120306037 | PHOTOELECTRIC CONVERSION DEVICE AND MANUFACTURING METHOD - A photoelectric conversion device is provided which is capable of improving the light condensation efficiency without substantially decreasing the sensitivity. The photoelectric conversion device has a first pattern provided above an element isolation region formed between adjacent two photoelectric conversion elements, a second pattern provided above the element isolation region and above the first pattern, and microlenses provided above the photoelectric conversion elements with the first and the second patterns provided therebetween. The photoelectric conversion device further has convex-shaped interlayer lenses in optical paths between the photoelectric conversion elements and the microlenses, the peak of each convex shape projecting in the direction from the electro-optical element to the microlens. | 12-06-2012 |
20120313201 | OPTICAL SENSOR DEVICES INCLUDING FRONT-END-OF-LINE (FEOL) OPTICAL FILTERS AND METHODS FOR FABRICATING OPTICAL SENSOR DEVICES - Optical sensor devices, and methods of manufacturing the same, are described herein. In an embodiment, a monolithic optical sensor device includes a semiconductor substrate having a trench, with a photodetector region under said trench. An optical filter is formed in the trench and over at least a portion of the photodetector region. One or more metal structures extend above a top surface of said optical filter. The trench, photodetector region and optical filter are formed as part of a front-end-of-line (FEOL) semiconductor fabrication process. The one or more metal structures are formed as part of a back-end-of-line (BEOL) semiconductor fabrication process. | 12-13-2012 |
20120313202 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device comprises a photoelectric conversion layer; a plurality of structures made of a dielectric substance; and a medium layer for transmitting light interposed between the photoelectric conversion layer and the structures or between the structures, or both, wherein the plurality of structures and the medium layer satisfy n | 12-13-2012 |
20120313203 | SEMICONDUCTOR PACKAGE - A semiconductor package includes a wiring board, an electronic component mounted on the wiring board, and an enclosing frame arranged on an upper surface of the electronic component. The enclosing frame includes a basal portion, which has the form of a closed frame and extends along the upper surface of the electronic component, and an adhesion portion, which is wider than the basal portion and is arranged on the upper surface of the basal portion. A cap is adhered to an upper surface of the adhesion portion. A molding resin contacts a lower surface of the adhesion portion and seals the electronic component and the wiring board that are exposed from the enclosing frame. | 12-13-2012 |
20120313204 | Process Module for Increasing the Response of Backside Illuminated Photosensitive Imagers and Associated Methods - Backside illuminated photosensitive devices and associated methods are provided. In one aspect, for example, a backside-illuminated photosensitive imager device can include a semiconductor substrate having multiple doped regions forming a least one junction, a textured region coupled to the semiconductor substrate and positioned to interact with electromagnetic radiation where the textured region includes surface features sized and positioned to facilitate tuning to a preselected wavelength of light, and a dielectric region positioned between the textured region and the at least one junction. The dielectric region is positioned to isolate the at least one junction from the textured region, and the semiconductor substrate and the textured region are positioned such that incoming electromagnetic radiation passes through the semiconductor substrate before contacting the textured region. Additionally, the device includes an electrical transfer element coupled to the semiconductor substrate to transfer an electrical signal from the at least one junction. | 12-13-2012 |
20120313205 | Photosensitive Imagers Having Defined Textures for Light Trapping and Associated Methods - Photosensitive devices and associated methods are provided. In one aspect, for example, a frontside-illuminated photosensitive imager devices can include a semiconductor substrate having multiple doped regions forming a least one junction and a textured region coupled to the semiconductor substrate and positioned to interact with electromagnetic radiation on an opposite side of the semiconductor substrate from the multiple doped regions. The textured region can include surface features sized and positioned to facilitate tuning to a preselected wavelength of light. The device can also include an electrical transfer element coupled to the semiconductor substrate and operable to transfer an electrical signal from the at least one junction. | 12-13-2012 |
20120313206 | IMAGE SENSOR HAVING WAVEGUIDES FORMED IN COLOR FILTERS - An image sensor having an array of pixels disposed in a substrate. The array of pixels includes photosensitive elements, a color filters, and waveguide walls. The waveguide walls are disposed in the color filters and surround portions of the color filters to form waveguides through the color filters. In some embodiments, metal walls may be coupled to the waveguide walls. | 12-13-2012 |
20120319222 | SOLID-STATE IMAGING ELEMENT, SOLID-STATE IMAGING DEVICE, IMAGING APPARATUS, AND METHOD OF MANUFACTURING POLARIZING ELEMENT - The present invention relates to a solid-state imaging element which is able to provide the solid-state imaging element having a polarizing element having a simple configuration and structure based on a wire grid polarizer technique, a solid-state imaging device, an imaging apparatus, and a method of manufacturing a polarizing element. The solid-state imaging device includes a plurality of solid-state imaging elements | 12-20-2012 |
20120319223 | DIFFUSE OMNI-DIRECTIONAL BACK REFLECTORS AND METHODS OF MANUFACTURING THE SAME - Ultra-high reflectivity is projected for internal reflectors comprised of a metal film and nanostructured transparent conductive oxide (TCO) bi-layer on the back side of a semiconductor device. Oblique-angle deposition can be used to fabricate indium tin oxide (ITO) and other TCO optical thin-film coatings with a porous, columnar nanostructure. The resulting low-n dielectric films can then be employed as part of a conductive omni-directional reflector (ODR) structure capable of achieving high internal reflectivity over a broad spectrum of wavelengths and a wide range of angles. In addition, the dimensions and geometry of the nanostructured, low-n TCO films can be adjusted to enable diffuse reflections via Mie scattering. Diffuse ODR structures enhance the performance of light trapping and light guiding structures in photonic devices. | 12-20-2012 |
20120326256 | SPECTRALLY TUNED PLASMONIC LIGHT COLLECTORS - Electronic devices may be provided with imaging modules that include plasmonic light collectors. Plasmonic light collectors may be configured to exploit an interaction between incoming light and plasmons in the plasmonic light collector to alter the path of the incoming light. Plasmonic light collectors may include one or more spectrally tuned plasmonic image pixels configured to preferentially trap light of a given frequency. Spectrally tuned plasmonic image pixels may include plasmonic structures formed form a patterned metal layer over doped silicon layers. Doped silicon layers may be interposed between plasmonic structures and a reflective layer. Plasmonic image pixels may be used to absorb and detect as much as, or more than, ninety percent of incident light at wavelengths ranging from the infrared to the ultraviolet. Plasmonic image pixels that capture light of different colors may be arranged in patterned arrays to form imager modules or imaging spectrometers for optofluidic microscopes. | 12-27-2012 |
20120326257 | PHOTOELECTRIC CONVERSION LAYER STACK-TYPE SOLID-STATE IMAGING DEVICE AND IMAGING APPARATUS - A photoelectric conversion layer stack-type solid-state imaging device includes a semiconductor substrate, a photoelectric conversion portion, a conductive light shield film, and a dielectric layer. A signal reading portion is formed on a semiconductor substrate. The photoelectric conversion portion is stacked above a light incidence side of the semiconductor substrate and includes a photoelectric conversion layer formed between a first electrode film and a second electrode film which is divided into a plurality of regions corresponding to pixels respectively. The conductive light shield film is stacked above the light incidence side of the photoelectric conversion portion outside an effective pixel region. The dielectric layer is disposed between the conductive light shield and the first electrode film. A given voltage is applied to the first electrode film through a lowpass filter formed by a resistance of wiring to the first electrode film and a capacitor formed between the conductive light shield film and the first electrode film. | 12-27-2012 |
20130001722 | Co-implant for Backside Illumination Sensor - A system and method for image sensing is disclosed. An embodiment comprises a substrate with a pixel region, the substrate having a front side and a backside. A co-implant process is performed along the backside of the substrate opposing a photosensitive element positioned along the front side of the substrate. The co-implant process utilizes a first pre-amorphization implant process that creates a pre-amorphization region. A dopant is then implanted wherein the pre-amorphization region retards or reduces the diffusion or tailing of the dopants into the photosensitive region. An anti-reflective layer, a color filter, and a microlens may also be formed over the co-implant region. | 01-03-2013 |
20130001723 | Photonic Systems and Methods of Forming Photonic Systems - Some embodiments include photonic systems. The systems may include a silicon-containing waveguide configured to direct light along a path, and a detector proximate the silicon-containing waveguide. The detector may comprise a detector material which has a lower region and an upper region, with the lower region having a higher concentration of defects than the upper region. The detector material may comprise germanium in some embodiments. Some embodiments include methods of forming photonic systems. | 01-03-2013 |
20130001724 | METHOD FOR MANUFACTURING SOLID-STATE IMAGING ELEMENT, SOLID-STATE IMAGING ELEMENT, METHOD FOR MANUFACTURING ELECTRONIC APPARATUS, AND ELECTRONIC APPARATUS - Disclosed herein is a method for manufacturing a solid-state imaging element, the method including forming lenses that are each provided corresponding to a light receiving part of a respective one of a plurality of pixels arranged in an imaging area over a semiconductor substrate and collect light onto the light receiving parts; forming a light blocking layer by performing film deposition on the lenses by using a material having light blocking capability; and forming a light blocker composed of the material having light blocking capability at a boundary part between the lenses adjacent to each other by etching the light blocking layer in such a manner that the material having light blocking capability is left at the boundary part between the lenses. | 01-03-2013 |
20130001725 | METHOD OF FABRICATING BACKSIDE-ILLUMINATED IMAGE SENSOR - Provided is a method of fabricating a backside illuminated image sensor that includes providing a device substrate having a frontside and a backside, where pixels are formed at the frontside and an interconnect structure is formed over pixels, forming a re-distribution layer (RDL) over the interconnect structure, bonding a first glass substrate to the RDL, thinning and processing the device substrate from the backside, bonding a second glass substrate to the backside, removing the first glass substrate, and reusing the first glass substrate for fabricating another backside-illuminated image sensor. | 01-03-2013 |
20130001726 | OPTICAL WAVEGUIDE DEVICE - An optical waveguide device of the present invention comprises: an optical waveguide including a plurality of cores configured to emit outgoing light from distal ends thereof; and a light-receiving element including a plurality of photo diodes configured to receive the outgoing light. Respective pitches L | 01-03-2013 |
20130001727 | IMAGE SENSOR, METHOD AND DESIGN STRUCTURE INCLUDING NON-PLANAR REFLECTOR - A solid state image sensor, a method for fabricating the solid state image sensor and a design structure for fabricating the solid state image sensor structure include a substrate that in turn includes a photosensitive region. Also included within solid state image sensor is a non-planar reflector layer located over a side of the photosensitive region and the substrate opposite an incoming radiation side of the photosensitive region and the substrate. The non-planar reflector layer is shaped and positioned to reflect uncaptured incident radiation back into the photosensitive region while avoiding optical cross-talk with an additional photosensitive region laterally separated within the substrate. | 01-03-2013 |
20130009263 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS - A solid-state imaging device includes a plurality of photoelectric conversion regions stacked at different depths within a semiconductor substrate of each pixel to photoelectrically convert light of different wavelength bands, and a discharge region formed between the photoelectric conversion regions adjacent to each other in a depth direction of the semiconductor substrate to discharge charges generated by photoelectric conversion in regions between the photoelectric conversion regions. | 01-10-2013 |
20130015545 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD OF SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUSAANM Toumiya; YoshinoriAACI KumamotoAACO JPAAGP Toumiya; Yoshinori Kumamoto JPAANM Ootsuka; YoichiAACI KumamotoAACO JPAAGP Ootsuka; Yoichi Kumamoto JPAANM Maeda; KensakuAACI KanagawaAACO JPAAGP Maeda; Kensaku Kanagawa JP - A solid-state imaging device includes: a substrate on which plural pixels having photoelectric converters are formed; an inorganic microlens made of an inorganic material and formed above the substrate, and an organic microlens made of an organic material and formed adjacent to the inorganic microlens so that a hem portion touches or overlaps a hem portion of the inorganic microlens. | 01-17-2013 |
20130015546 | MULTI-LAYER PHOTOELECTRIC INTEGRATED CIRCUIT DEVICE WITH OVERLAPPING DEVICESAANM Joe; In-sungAACI SeoulAACO KRAAGP Joe; In-sung Seoul KRAANM Suh; Sung-dongAACI SeoulAACO KRAAGP Suh; Sung-dong Seoul KRAANM Na; Kyoung-wonAACI SeoulAACO KRAAGP Na; Kyoung-won Seoul KRAANM Ha; Kyoung-hoAACI SeoulAACO KRAAGP Ha; Kyoung-ho Seoul KRAANM Kim; Seong-guAACI Pyeongtaek-siAACO KRAAGP Kim; Seong-gu Pyeongtaek-si KRAANM Shin; Young-hwackAACI Yeonsu-guAACO KRAAGP Shin; Young-hwack Yeonsu-gu KR - An integrated circuit device includes a plurality of device layers disposed on a substrate. A first one of the device layers includes at least one photo device and/or at least one electronic device and a second one of the device layers includes at least one photo device overlying the at least one photo device and/or the at least one electronic device of the first one of the device layers. | 01-17-2013 |
20130020664 | Application of electrical field power to light-transmitting medium - A device includes an input waveguide on a base. The input waveguide guides a light signal through a light-transmitting medium to a light sensor. The light sensor includes a sensor waveguide on the base. The device also includes a sensor waveguide on the base. The sensor waveguide includes a light-absorbing medium that receives the light signal from the input waveguide. The light-absorbing medium has one or more continuous doped regions that are each positioned such that an application of electrical energy to the doped regions forms an electrical field in the light-absorbing medium. One or more of the doped regions has a first portion that is located within the light-absorbing medium and a second portion located outside of the light-absorbing medium. The device also includes an electrical conductor for applying the electrical energy to one of the doped regions. The electrical conductor contacts the portion of the doped regions that is located outside of the light-absorbing medium. | 01-24-2013 |
20130020665 | Low Stress Cavity Package For Back Side Illuminated Image Sensor, And Method Of Making Same - An image sensor package includes an image sensor chip and crystalline handler. The image sensor chip includes a substrate, and a plurality of photo detectors and contact pads at the front surface of the substrate. The crystalline handler includes opposing first and second surfaces, and a cavity formed into the first surface. A compliant dielectric material is disposed in the cavity. The image sensor front surface is attached to the crystalline substrate handler second surface. A plurality of electrical interconnects each include a hole aligned with one of the contact pads, with a first portion extending from the second surface to the cavity and a second portion extending through the compliant dielectric material, a layer of insulation material formed along a sidewall of the first portion of the hole, and conductive material extending through the first and second portions of the hole and electrically coupled to the one contact pad. | 01-24-2013 |
20130020666 | DIRECT READOUT FOCAL PLANE ARRAY - According to one embodiment, an image detector comprises a plurality of photosensitive detector unit cells interconnected to a plurality of integrated circuits by a plurality of direct bond interconnects. Each unit cell includes an absorber layer and a separation layer. The absorber layer absorbs incident photons such that the absorbed photons excite photocurrent comprising first charged carriers and second charged carriers having opposite polarities. The separation layer separates the first charged carriers for collection at one or more first contacts and the second charged carriers for collection at one or more second contacts. The first and second contacts include the direct bond interconnects to conduct the first charged carriers and the second charged carriers from the unit cells in order to facilitate image processing. | 01-24-2013 |
20130020667 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS - A solid-state imaging device includes a photoelectric conversion film which is interposed between two transparent electrodes outside a semiconductor substrate, wherein a film surface of the photoelectric conversion film is provided so as to incline with respect to a front surface of the semiconductor substrate. | 01-24-2013 |
20130020668 | Optical device having light sensor with doped regions - The optical device includes a waveguide on a base. The waveguide is configured to guide a light signal through a light-transmitting medium to a light sensor. The light sensor includes a sensor waveguide on the base. The sensor waveguide receives the light signal from the input waveguide. Additionally, the sensor waveguide includes a light-absorbing medium having an input side. The input side is interfaced with the light-transmitting medium such that at least a portion of the light signal received by the sensor waveguide travels through the input side of the light-absorbing medium upon being received by the sensor waveguide. The light-absorbing medium includes doped regions. One or more of the doped regions each extends from within the light-absorbing medium to the input side of the light-absorbing medium. | 01-24-2013 |
20130026589 | MINIATURIZATION ACTIVE SENSING MODULE AND METHOD OF MANUFACTURING THE SAME - A miniaturization active sensing module includes a substrate unit, an active sensing unit, and an optical unit. The substrate unit includes a substrate body, a plurality of first bottom conductive pads disposed on the bottom side of the substrate body, and a plurality of first conductive tracks embedded in the substrate body. The substrate body has at least one first groove formed therein. The active sensing unit includes at least one active sensing chip embedded in the first groove. The active sensing chip has at least one active sensing area and a plurality of electric conduction pads disposed on the top side thereof, and each first conductive track has two ends electrically contacted by one electric conduction pad and one first bottom conductive pad, respectively. The optical unit includes at least one optical element, disposed on the substrate body, for protecting the active sensing area. | 01-31-2013 |
20130026590 | SLOPED STRUCTURE, METHOD FOR MANUFACTURING SLOPED STRUCTURE, AND SPECTRUM SENSOR - A method for manufacturing a sloped structure is disclosed. The method includes the steps of: (a) forming a sacrificial film above a substrate; (b) forming a first film above the sacrificial film; (c) forming a second film having a first portion connected to the substrate, a second portion connected to the first film, and a third portion positioned between the first portion and the second portion; (d) removing the sacrificial film; and (e) bending the third portion of the second film after the step (d), thereby sloping the first film with respect to the substrate. | 01-31-2013 |
20130026591 | SOLID-STATE IMAGE PICKUP APPARATUS - A solid-state image pickup apparatus including a substrate and a solid-state image pickup device. The substrate includes an opening portion. The solid-state image pickup device is mounted as a flip chip on a lower surface of the substrate on a circumference of the opening portion and receives and photo-electrically converts light that is taken in by a lens set on an upper surface of the substrate and enters from the opening portion. The circumference of the opening portion of the substrate is thinner than other portions of the substrate. | 01-31-2013 |
20130026592 | FOCAL PLANE ARRAY AND METHOD FOR MANUFACTURING THE SAME - A method of forming a focal plane array by: forming a first wafer having sensing material provided on a surface, which is covered by a sacrificial layer, the sensing material being a thermistor material defining at least one pixel; providing supporting legs for the pixel within the sacrificial layer, covering them with a further sacrificial layer and forming first conductive portions in the surface of the sacrificial layer that are in contact with the supporting legs; forming a second wafer having read-out integrated circuit (ROIC), the second wafer being covered by another sacrificial layer, into which is formed second conductive portions in contact with the ROIC; bringing the sacrificial oxide layers of the first wafer and second wafer together such that the first and second conductive portions are aligned and bonding them together such that the sensing material is transferred from the first wafer to the second wafer when a sacrificial bulk layer of the first wafer is removed; and removing the sacrificial layers to release the pixel, with the supporting legs underneath it. | 01-31-2013 |
20130026593 | THIN FILM PHOTOVOLTAIC DEVICE WITH ENHANCED LIGHT TRAPPING SCHEME - A thin film photovoltaic device comprising a relief textured transparent cover plate, a layer of transparent conductive oxide having a layer thickness of less than 700 nm, a light absorbing active layer and a reflective back electrode, where the layer of transparent conductive oxide is a non-textured layer. | 01-31-2013 |
20130032914 | SOLID-STATE IMAGING APPARATUS AND ELECTRONIC APPARATUS - A solid-state imaging apparatus including: a sensor substrate that has a plurality of pixels configured to receive incident light, the plurality of pixels being arranged on an upper surface of a semiconductor substrate; a transparent substrate that has a lower surface facing an upper surface of the sensor substrate and is configured to transmit the incident light therethrough; and a diffraction grating that is provided at any position between an upper surface of the transparent substrate and the upper surface of the sensor substrate and is configured to transmit the incident light therethrough, in which the diffraction grating is formed so as to diffract reflected diffraction light caused by that the incident light is incident on a pixel area in which the plurality of pixels are arranged on the upper surface of the semiconductor substrate and is diffracted. | 02-07-2013 |
20130032915 | SOLID STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a solid state imaging device includes a substrate, and a plurality of interference filters. The substrate includes a plurality of photoelectric conversion units. The plurality of interference filters is provided individually for the plurality of photoelectric conversion units. The plurality of interference filters includes a plurality of layers with different refractive indices stacked. The plurality of interference filters is configured to selectively transmit light in a prescribed wavelength range. A space is provided between adjacent ones of the interference filters. | 02-07-2013 |
20130037902 | IMAGE SENSING DEVICE, IMAGE SENSING SYSTEM, AND METHOD FOR MANUFACTURING IMAGE SENSING DEVICE - An image sensing device includes a light-shielding film having transit portions, a first film and a second film. The second film comprises a first layer having a different refractive index from the first film. The first layer lies within at least the transit portions, and forms interfaces with the first film. The distance between the interface and the corresponding photoelectric conversion portion is greater than the distance between the photoelectric conversion portion and the lower end of the corresponding transit portion. | 02-14-2013 |
20130043550 | SOLID-STATE IMAGING APPARATUS AND METHOD FOR MANUFACTURING THE SAME - Certain embodiments provide a solid-state imaging apparatus including a first impurity layer, a second impurity layer, a third impurity layer, and an electrode. The first impurity layer is a photoelectric conversion layer, and is formed to have a constant depth on a semiconductor substrate. The second impurity layer is formed on a surface of the first impurity layer, to have a depth which becomes shallower toward a direction from the first impurity layer to the third impurity layer. The third impurity layer is formed in a position spaced apart from the first impurity layer and the second impurity layer on the surface of the semiconductor substrate. The electrode can transport electric charges from the first impurity layer to the third impurity layer, and is formed between the second impurity layer and the third impurity layer, on the surface of the semiconductor substrate. | 02-21-2013 |
20130043551 | SLOPED STRUCTURE, METHOD FOR MANUFACTURING SLOPED STRUCTURE, AND SPECTRUM SENSOR - A method for manufacturing a sloped structure is disclosed. The method includes the steps of: (a) forming a sacrificial film above a substrate; (b) forming a first film above the sacrificial film, the first film having a first portion connected to the substrate, a second portion located above the sacrificial film, a third portion located between the first portion and the second portion, and a thin region in a portion of the third portion or in a boundary section between the second portion and the third portion and having a thickness smaller than the first portion; (c) removing the sacrificial film; and (d) bending the first film in the thin region, after the step (c), thereby sloping the second portion of the first film with respect to the substrate. | 02-21-2013 |
20130043552 | INTEGRATED INFRARED SENSORS WITH OPTICAL ELEMENTS AND METHODS - An infrared (IR) radiation sensor device ( | 02-21-2013 |
20130049153 | LIGHT PIPE ETCH CONTROL FOR CMOS FABRICATION - In accordance with at least some embodiments of the present disclosure, a process for fabricating a light pipe (LP) is described. The process may be configured to construct a semiconductor structure having an etch-stop layer above a photodiode region and a first dielectric layer above the etch-stop layer. The process may be configured to etch a LP funnel through the first dielectric layer. And the process may be further configured to stop the etching of the LP funnel upon reaching and removing of the etch-stop layer. | 02-28-2013 |
20130056842 | HIGH VOLTAGE PHOTO-SWITCH PACKAGE MODULE HAVING ENCAPSULATION WITH PROFILED METALLIZED CONCAVITIES - A photo-conductive switch package module having a photo-conductive substrate or wafer with opposing electrode-interface surfaces metalized with first metallic layers formed thereon, and encapsulated with a dielectric encapsulation material such as for example epoxy. The first metallic layers are exposed through the encapsulation via encapsulation concavities which have a known contour profile, such as a Rogowski edge profile. Second metallic layers are then formed to line the concavities and come in contact with the first metal layer, to form profiled and metalized encapsulation concavities which mitigate enhancement points at the edges of electrodes matingly seated in the concavities. One or more optical waveguides may also be bonded to the substrate for coupling light into the photo-conductive wafer, with the encapsulation also encapsulating the waveguides. | 03-07-2013 |
20130062718 | BACK-SURFACE-INCIDENCE-TYPE SEMICONDUCTOR LIGHT RECEIVING ELEMENT - A back-surface-incidence semiconductor light element includes: a semiconductor substrate of a first conductivity type; a first semiconductor layer of a first conductivity type on the semiconductor substrate; a light absorbing layer on the first semiconductor layer; a second semiconductor layer on the light absorbing layer; and an impurity diffusion region of a second conductivity type in a portion of the second semiconductor layer. A region including a p-n junction between the first semiconductor layer and the impurity diffusion region, and extending through the light absorbing layer, is a light detecting portion that detects light incident on a back surface of the semiconductor substrate. A groove in the back surface of the semiconductor substrate surrounds the light detecting portion, as viewed in plan. | 03-14-2013 |
20130062719 | OPTICAL INPUT/OUTPUT DEVICE AND METHOD OF FABRICATING THE SAME - An optical input/output (I/O) device is provided. The device includes a substrate including an upper trench; a waveguide disposed within the upper trench of the substrate; a photodetector disposed within the upper trench of the substrate and comprising a first end surface optically connected to an end surface of the waveguide; and a light-transmitting insulating layer interposed between the end surface of the waveguide and the first end surface of the photodetector. | 03-14-2013 |
20130069188 | DUAL-FACING CAMERA ASSEMBLY - Embodiments of the invention relate to a camera assembly including a rear-facing camera and a front-facing camera operatively coupled together (e.g., bonded, stacked on a common substrate). | 03-21-2013 |
20130069189 | BONDING PAD STRUCTURE AND FABRICATING METHOD THEREOF - A bonding pad structure is used in an integrated circuit device. The integrated circuit device includes a semiconductor substrate with a first surface and a second surface. The bonding pad structure includes a dielectric layer, a conductor structure, a pad opening and an isolation trench. The dielectric layer is formed on the second surface of the semiconductor substrate. The conductor structure is disposed within the dielectric layer. The pad opening is formed in the first surface of the semiconductor substrate. The pad opening runs through the semiconductor substrate and a part of the dielectric layer, so that the conductor structure is exposed. The isolation trench has an opening in the first surface of the semiconductor substrate. The isolation trench runs through the semiconductor substrate and a part of the dielectric layer, and the isolation trench is disposed around the pad opening. | 03-21-2013 |
20130069190 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor comprises a substrate, a plurality of photoelectric transducer devices, an interconnect structure, at least one dielectric isolator and a back-side alignment mark. The substrate has a front-side surface and a back-side surface opposite to the front-side surface. The interconnect structure is disposed on the front-side surface. The photoelectric transducer devices are formed on the front-side surface. The dielectric isolator extends downwards into the substrate from the back-side surface in order to isolate the photoelectric transducer devices. The back-side alignment mark extends downwards into the substrate from the back-side surface and references to a front-side alignment mark previously formed on the front-side surface. | 03-21-2013 |
20130069191 | NOVEL SEMICONDUCTOR AND OPTOELECTRONIC DEVICES - An integrated device, the device including a first crystalline layer covered by an oxide layer, a second crystalline layer overlying the oxide layer, wherein the first and second crystalline layers are image sensor layers, and the device includes a third crystalline layer, wherein the third crystalline layer includes single crystal transistors. | 03-21-2013 |
20130075849 | SOLID STATE IMAGING DEVICE, SOLID STATE IMAGING ELEMENT, PORTABLE INFORMATION TERMINAL DEVICE AND METHOD FOR MANUFACTURING THE SOLID STATE IMAGING ELEMENT - According to one embodiment, a solid state imaging device includes a sensor substrate curved such that an upper face having a plurality of pixels formed is recessed and an imaging lens provided on the upper face side. | 03-28-2013 |
20130075850 | FLIP-CHIP BONDED IMAGER DIE - An image sensor includes an imager die, a circuit board, and an optical layer. The circuit board is flip-chip bonded to the imager die. The optical layer is adhered to the circuit board and includes a first portion configured to refract light differently than a second portion. Both the first portion and the second portion are integrally formed with the optical layer. | 03-28-2013 |
20130075851 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes a plurality of pixels arranged in a matrix pattern on a substrate. Each of the pixels includes a photoelectric conversion portion configured to convert incident light to an electric signal, an optical waveguide formed over the photoelectric conversion portion, an interlayer insulating film formed around the optical waveguide, and a color filter formed over the optical waveguide. The optical waveguide is configured so that light intensity distribution of light that has transmitted through the color filter has a single peak in a center of an upper surface of the photoelectric conversion portion. The plurality of pixels include at least two kinds of pixels that include the color filters configured to transmit light of different wavelength bands from each other therethrough. | 03-28-2013 |
20130082342 | POLISHING PROCESS FOR ENHANCING IMAGE QUALITY OF BACKSIDE ILLUMINATED IMAGE SENSOR - The present disclosure provides an image sensor device and a method of forming the image sensor device. In an example, a method includes providing a substrate having a first surface and a second surface, the first surface being opposite the second surface; forming a light sensing region at the first surface of the substrate; forming a doped layer at the second surface of the substrate; and after forming the doped layer, polishing the second surface of the substrate. | 04-04-2013 |
20130082343 | PHOTOELECTRIC CONVERSION DEVICE, METHOD OF MANUFACTURING THE SAME AND PHOTOELECTRIC CONVERSION SYSTEM - One of disclosed embodiments provides a photoelectric conversion device, comprising a member including a first surface configured to receive light, and a second surface opposite to the first surface, and a plurality of photoelectric conversion portions aligned inside the member in a depth direction from the first surface, wherein at least one of the plurality of photoelectric conversion portions other than the photoelectric conversion portion positioned closest to the first surface includes, on a boundary surface thereof with the member, unevenness having a difference in level larger than a difference in level of unevenness of the photoelectric conversion portion positioned closest to the first surface, and wherein the boundary surface having the unevenness is configured to localize or resonate light incident on the member from a side of the first surface around the boundary surface having the unevenness. | 04-04-2013 |
20130082344 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device with low resistance loss and high conversion efficiency is provided. The photoelectric conversion device includes a first silicon semiconductor layer and a second silicon semiconductor layer between a pair of electrodes. The first silicon semiconductor layer is provided over one surface of a crystalline silicon substrate having one conductivity type and has a conductivity type opposite to that of the crystalline silicon substrate, and the second silicon semiconductor layer is provided on the other surface of the crystalline silicon substrate and has a conductivity type which is the same as that of the crystalline silicon substrate. Further, the first silicon semiconductor layer and the second silicon semiconductor layer each have a carrier concentration varying in the film thickness direction. | 04-04-2013 |
20130087873 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE - Certain embodiments provide a solid-state imaging device including a semiconductor substrate, a reflector, and an external electrode. The semiconductor substrate has a photosensitive region including a photodiode on the surface thereof and the back surface thereof is polished by mirror finish. The reflector is formed on the back surface of the semiconductor substrate and reflects infrared rays incident on the photosensitive region. The external electrode is electrically connected to the photosensitive region. | 04-11-2013 |
20130087874 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device includes a microlens provided in a pixel area and a monitoring structure provided in a peripheral area that is separate from the pixel area. The monitoring structure has a shape correlated with a shape of the microlens. A shape of a section of the monitoring structure in a plane perpendicular to a substrate is constant. | 04-11-2013 |
20130087875 | PHOTOELECTRIC CONVERSION DEVICE AND IMAGING SYSTEM - In a photoelectric conversion device capable of adding signals of photoelectric conversion elements included in each of photoelectric conversion units, each of the photoelectric conversion elements includes a first semiconductor region of a first conductivity type for collecting a signal charge, a second semiconductor region of a second conductivity type is arranged between the photoelectric conversion elements arranged adjacent to each other and included in the photoelectric conversion unit, and a third semiconductor region of the second conductivity type is arranged between the photoelectric conversion elements arranged adjacent to each other among the plurality of photoelectric conversion elements and included in different photoelectric conversion units arranged adjacent to each other. An impurity concentration of the second semiconductor region is lower than an impurity concentration of the third semiconductor region. | 04-11-2013 |
20130087876 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device in which the damage such as cracks, chinks, or dents caused by external stress is reduced is provided. In addition, the yield of a semiconductor device having a small thickness is increased. The semiconductor device includes a light-transmitting substrate having a stepped side surface, the width of which in a portion above the step and closer to one surface is smaller than that in a portion below the step, a semiconductor element layer provided over the other surface of the light-transmitting substrate, and a stack of a first light-transmitting resin layer and a second light-transmitting resin layer, which covers the one surface and part of the side surface of the light-transmitting substrate. One of the first light-transmitting resin layer and the second light-transmitting resin layer has a chromatic color. | 04-11-2013 |
20130093033 | THREE DIMENSIONAL STRUCTURES HAVING IMPROVED ALIGNMENTS BETWEEN LAYERS OF MICROCOMPONENTS - The invention relates to a method of initiating molecular bonding, comprising bringing one face ( | 04-18-2013 |
20130093034 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD OF SOLID-STATE IMAGING DEVICE - According to one embodiment, there is provided a solid-state imaging device including a first photoelectric conversion layer and a color filter. The color filter includes a multi-layer interference filter and a guided mode resonant grating. The guided mode resonant grating includes a plurality of diffraction gratings and a plurality of inter-grating regions. The plurality of diffraction gratings are formed of a material having a first index of refraction and periodically arrayed at least one-dimensionally. The plurality of inter-grating regions are arranged between at least the plurality of diffraction gratings. Each of the plurality of inter-grating regions includes an insulating film region and an air gap region. The insulating film region is formed of a material having a second index of refraction lower than the first index of refraction. | 04-18-2013 |
20130093035 | PHOTO DETECTOR AND INTEGRATED CIRCUIT - The photo detector ( | 04-18-2013 |
20130093036 | METHOD OF FABRICATING BACKSIDE-ILLUMINATED IMAGE SENSOR - Provided is a method of fabricating a backside illuminated image sensor that includes providing a device substrate having a frontside and a backside, where pixels are formed at the frontside and an interconnect structure is formed over pixels, forming a re-distribution layer (RDL) over the interconnect structure, bonding a first glass substrate to the RDL, thinning and processing the device substrate from the backside, bonding a second glass substrate to the backside, removing the first glass substrate, and reusing the first glass substrate for fabricating another backside-illuminated image sensor. | 04-18-2013 |
20130099341 | IMAGE SENSOR FOR STABILIZING A BLACK LEVEL - An image sensor includes first pixels, second pixels and a deep trench. The first pixels are formed in an active region of a semiconductor substrate, and configured to measure photo-charges corresponding to incident light. The second pixels are formed in an optical-black region of the semiconductor substrate, and are configured to measure black levels. The deep trench is formed vertically in a boundary region of the optical-black region, where the boundary region is adjacent to the active region, and configured to block leakage light and diffusion carriers from the active region. | 04-25-2013 |
20130099342 | LATERAL COLLECTION PHOTOVOLTAICS - A nanostructured or microstructured array of elements on a conductor layer together form a device electrode of a photovoltaic or detector structure. The array on the conductor layer has a high surface area to volume ratio configuration defining a void matrix between elements. An active layer or active layer precursors is disposed into the void matrix as a liquid to form a thickness coverage giving an interface on which a counter-electrode is positioned parallel to the conduction layer or as a vapor to form a conformal thickness coverage of the array and conduction layer. The thickness coverage is controlled to enhance collection of at least one of electrons and holes arising from photogeneration, or excitons arising from photogeneration, to the device electrode or a device counter-electrode as well as light absorption in said active layer via reflection and light trapping of said device electrode. | 04-25-2013 |
20130099343 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes: a plurality of pixel cells; and column signal lines. Each of the pixel cells includes: a photoelectric conversion film, a pixel electrode, a transparent electrode, an amplifier transistor, a reset transistor, and an address transistor. The solid-state imaging device further includes: a lower-refractive-index transparent layer formed above the transparent electrode; and higher-refractive-index transparent parts embedded in the lower-refractive-index transparent layer and each having a refractive index higher than a refractive index of the lower-refractive-index transparent layer. Each of the higher-refractive-index transparent parts separates light passing through the higher-refractive-index transparent part into zero-order diffracted light, first-order diffracted light, and negative-first-order diffracted light which exit the higher-refractive-index transparent part and travel toward the photoelectric conversion film. | 04-25-2013 |
20130099344 | RADIATION IMAGE PICKUP APPARATUS, RADIATION IMAGE PICKUP SYSTEM, AND METHOD FOR MANUFACTURING RADIATION IMAGE PICKUP APPARATUS - The present invention provides a radiation image pickup apparatus in which one or more image pickup elements are easily exchanged. | 04-25-2013 |
20130105925 | Integrated Die-Level Cameras And Methods Of Manufacturing The Same | 05-02-2013 |
20130105926 | BACK SIDE ILLUMINATION IMAGE SENSOR AND MANUFACTURING METHOD THEREOF | 05-02-2013 |
20130105927 | PHOTOELECTRIC CONVERSION ELEMENT | 05-02-2013 |
20130105928 | BACKSIDE-THINNED IMAGE SENSOR USING Al2O3 SURFACE PASSIVATION | 05-02-2013 |
20130113061 | IMAGE SENSOR TRENCH ISOLATION WITH CONFORMAL DOPING - Provided is a semiconductor image sensor device. The image sensor device includes a substrate. The image sensor device includes a first pixel and a second pixel disposed in the substrate. The first and second pixels are neighboring pixels. The image sensor device includes an isolation structure disposed in the substrate and between the first and second pixels. The image sensor device includes a doped isolation device disposed in the substrate and between the first and second pixels. The doped isolation device surrounds the isolation structure in a conformal manner. | 05-09-2013 |
20130113062 | Lens Holder, Method for Manufacturing the Same and Image Capturing Device Thereof - A lens holder, a method for manufacturing the same and an image capturing device thereof. The lens holder comprises a hollow substrate, a filter, a hollow elastomer and a photodetector module. The hollow substrate comprises a photodetector accommodating space and a plurality of fixing mechanisms disposed around the photodetector accommodating space. The filter is disposed inside the photodetector accommodating space and covers a hollow section of the hollow substrate. The hollow elastomer is disposed on the filter. The photodetector module is disposed on the hollow elastomer and comprises a photodetector and a substrate. The substrate can be fixed onto the hollow substrate through the plurality of fixing mechanisms. Wherein, a plurality of protrusion parts extends from the hollow elastomer for holding the substrate. The aforementioned lens holder structure can be used to perform a tilt alignment of the photodetector efficiently. | 05-09-2013 |
20130113063 | HIGH BANDWIDTH, MONOLITHIC TRAVELING WAVE PHOTODIODE ARRAY - The monolithic application of a high speed TWPDA with impedance matching. Use of the high speed monolithic TWPDA will allow for more efficient transfer of optical signals within analog circuits and over distances. | 05-09-2013 |
20130113064 | PHOTODETECTOR, OPTICAL COMMUNICATION DEVICE EQUIPPED WITH THE SAME, METHOD FOR MAKING OF PHOTODETECTOR, AND METHOD FOR MAKING OF OPTICAL COMMUNICATION DEVICE - The present invention provides a photodetector which solves the problem of low sensitivity of a photodetector, an optical communication device equipped with the same, and a method for making the photodetector, and a method for making the optical communication device. The photodetector includes a substrate, a lower cladding layer arranged on the substrate, an optical waveguide arranged on the lower cladding layer, an intermediate layer arranged on the optical waveguide, a optical absorption layer arranged on the intermediate layer, a pair of electrodes arranged on the optical absorption layer, and wherein the optical absorption layer includes a IV-group or III-V-group single-crystal semiconductor, and the optical absorption layer absorbs an optical signal propagating through the optical waveguide. | 05-09-2013 |
20130127000 | Interposer Package For CMOS Image Sensor And Method Of Making Same - An image sensor package and method of manufacture that includes a crystalline handler with conductive elements extending therethrough, an image sensor chip disposed in a cavity of the handler, and a transparent substrate disposed over the cavity and bonded to both the handler and image sensor chip. The transparent substrate includes conductive traces that electrically connect the sensor chip's contact pads to the handler's conductive elements, so that off-chip signaling is provided by the substrate's conductive traces and the handler's conductive elements. | 05-23-2013 |
20130127001 | SEMICONDUCTOR PACKAGE AND METHOD OF FABRICATING THE SAME - A semiconductor package is provided, including a silicon-containing substrate, a photo-sensor chip disposed on the silicon-containing substrate, a plurality of conductive lines electrically connected to the silicon-containing substrate and the photo-sensor chip, an encapsulating layer encapsulating the photo-sensor chip and the conductive lines, and a colloid lens disposed on the encapsulating layer. With the photo-sensor chip stacked on the silicon-containing substrate, a circuit board may have a reduced region that is occupied by the semiconductor package. A method of fabricating the semiconductor package is also provided. | 05-23-2013 |
20130127002 | SOLID STATE IMAGING DEVICE - A CCD image sensor, being a solid state imaging device, has four types of pixels, first to fourth pixels. The first to fourth pixels are arranged in a predetermined pattern. Each of the pixels has a PD and a microlens. Each of the microlens is arranged with its optical axis center eccentric or shifted in a predetermined direction from a center of a light receiving surface of the PD. A part of the microlens overlaps one or more adjacent pixels. | 05-23-2013 |
20130134535 | BACKSIDE IMAGE SENSOR PIXEL WITH SILICON MICROLENSES AND METAL REFLECTOR - A backside illumination (BSI) image sensor pixel that includes microlenses with elevated refractive indices is provided. The image sensor pixel may include a photodiode formed in a silicon substrate, a first microlens formed in a back surface of the substrate, a second microlens formed over a front surface of the substrate, a dielectric stack formed on the front surface of the substrate, and a reflective structure formed in the dielectric stack above the second microlens. The first microlens may be fabricated by forming shallow trench isolation structures in the back surface. The second microlens may be fabricated by depositing polysilicon on the front substrate of the substrate. The first microlens may serve to concentrate light towards the photodiode, whereas the second microlens may serve to collimate light that traverses through the substrate so that light exiting the second microlens will reflect off the reflective structure and back into the photodiode. | 05-30-2013 |
20130134536 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SOLID-STATE IMAGING DEVICE - A solid-state imaging device in which a plurality of pixels are two-dimensionally arranged, the solid-state imaging device includes: a silicon layer; a plurality of photodiodes which are formed in the silicon layer to correspond to the pixels and generate signal charges by performing photoelectric conversion on incident light; and a plurality of color filters formed above the silicon layer to correspond to the plurality of the pixels, wherein a protrusion is formed in a region on a side of the silicon layer between adjacent ones of the color filters, the protrusion having a refractive index lower than refractive indices of the adjacent ones of the color filters and, each of the color filters is in contact with the adjacent ones of the color filters, above the protrusion. | 05-30-2013 |
20130134537 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREFOR, ELECTRONIC APPARATUS, AND COMPOSITION FOR SOLID-STATE IMAGING DEVICE - Disclosed herein is a solid-state imaging device including a plurality of pixels arranged two-dimensionally, wherein the pixels each have at least a planarizing film formed on the upper side of a photoelectric conversion element, a filter formed on the upper side of the planarizing film, and a microlens formed on the upper side of the filter. The filters of a part of the pixels are each a color filter permitting transmission therethrough of light of a predetermined color component, whereas the filters of another part of the pixels are each a white filter permitting transmission therethrough of light in the whole visible spectral range. The refractive indices of the white filter, the microlens and the planarizing film are in the following relationship: (Refractive index of white filter)≧(Refractive index of microlens)>(Refractive index of planarizing film). | 05-30-2013 |
20130134538 | SOLID-STATE IMAGING DEVICE - According to an embodiment, an image sensor is provided for photoelectrically converting blue light, green light and red light for each pixel. A photoelectric conversion layer for red light is provided having a light absorption coefficient that is different than the light absorption coefficient of the photoelectric conversion layers for blue light and green light. | 05-30-2013 |
20130134539 | Photodiode Comprising Polarizer - A photodiode includes a photosensitive area and a polarizing grating located in front of the photosensitive area. The polarizing grating is formed by a plurality of galvanically conducting filaments. | 05-30-2013 |
20130134540 | SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - The present invention relates to a solid-state imaging device having good focusing properties, a method for manufacturing such a solid-state imaging device, and an electronic apparatus. The solid-state imaging device has a semiconductor substrate | 05-30-2013 |
20130140663 | IMAGE SENSOR AND IMAGE CAPTURE APPARATUS - An image sensor in which each pixel includes a first sub-pixel including a first semiconductor layer, a second sub-pixel including a second semiconductor layer having a polarity different from a polarity of the first semiconductor layer, a third semiconductor layer having a polarity equal to the polarity of the first semiconductor layer, and a microlens, and which includes a plurality of pixels in which the first semiconductor is included in the second semiconductor layer, and the second semiconductor layer is included in the third semiconductor layer, wherein a center of gravity position of a light-receiving surface defining the first semiconductor layer is different from a center of gravity position of a light-receiving surface defining both the first semiconductor layer and the second semiconductor layer. | 06-06-2013 |
20130146999 | METHOD FOR FORMING A SELECTIVE CONTACT - A method for forming a selective contact for a photovoltaic cell is disclosed. The method includes forming a doped contact layer at the surface of a semiconductor substrate and annealing a portion of the doped contact layer with a laser beam, the portion having a 2D-pattern corresponding to at least a portion of a respective selective contact grid. Wherein the laser beam is pulsed and shaped to the 2D-pattern. A photovoltaic cell having a selective contact formed by the method is also provided. | 06-13-2013 |
20130147000 | WAFER SCALE IMAGE SENSOR PACKAGE AND OPTICAL MECHANISM INCLUDING THE SAME - There is provided an optical mechanism including a substrate, an image sensor chip, a light source, a blocking member and a securing member. The image sensor chip is attached to the substrate and has an active area. The light source is attached to the substrate. The blocking member covers the image sensor chip and has an opening to expose at least the active area of the image sensor chip. The securing member fits on the blocking member to secure the blocking member to the substrate. | 06-13-2013 |
20130147001 | WAFER SCALE IMAGE SENSOR PACKAGE AND OPTICAL MECHANISM - There is provided an optical mechanism including a substrate, an image chip, a light source and a securing member. The image chip and the light source are attached to the substrate. The securing member is secured to the substrate and includes a first containing space for accommodating the light source, a second containing space for accommodating the image chip and a blocking region between the first containing space and the second containing space. | 06-13-2013 |
20130147002 | RECEIVER MODULE AND DEVICE - Provided is a receiver module, including: a semiconductor light receiving element including an electrode; and a sub-mount including: an electrical wiring joined to the electrode with solder; and a trap region arranged around a joining surface of the electrical wiring, the trap region retaining solder by solder wetting. | 06-13-2013 |
20130154041 | SOLID-STATE IMAGE SENSOR - According to one embodiment, there is provided a solid-state image sensor including a photoelectric conversion layer, and a multilayer interference filter. The multilayer interference filter is arranged to conduct light of a particular color, of incident light, selectively to the photoelectric conversion layer. The multilayer interference filter has a laminate structure in which a first layer having a first refraction index and a second layer having a second refraction index are repeatedly laminated, and a third layer which is in contact with a lower surface of the laminate structure and has a third refraction index. A lowermost layer of the laminate structure is the second layer. The third refraction index is not equal to the first refraction index and is higher than the second refraction index. | 06-20-2013 |
20130154042 | Photonic Systems and Methods of Forming Photonic Systems - Some embodiments include photonic systems. The systems may include a silicon-containing waveguide configured to direct light along a path, and a detector proximate the silicon-containing waveguide. The detector may comprise a detector material which has a lower region and an upper region, with the lower region having a higher concentration of defects than the upper region. The detector material may comprise germanium in some embodiments. Some embodiments include methods of forming photonic systems. | 06-20-2013 |
20130154043 | FILM-FORMING COMPOSITION - A film-forming composition including a triazine ring-containing hyperbranched polymer with a repeating unit structure indicated by formula (1), and inorganic micro particles is provided. This enables the provision of a film-forming composition capable of hybridizing without reducing dispersion of the inorganic micro particles in a dispersion fluid, capable of depositing a coating film with a high refractive index, and suitable for electronic device film formation. | 06-20-2013 |
20130161774 | SOLID STATE IMAGING DEVICE - A CCD image sensor is provided with a pixel set. The pixel set is composed of first and second pixels and a microlens. The pixels are arranged side by side in a horizontal direction. The microlens has a hemispheric shape. A diameter of the microlens is larger than a length of a rectangular region, being an external shape of the first and second pixels, in a height direction. The rectangular region has a height and width ratio of approximately 1:2. The pixel sets are arranged in a width direction of the rectangular region to constitute a pixel row. In the CCD image sensor, the pixel rows are arranged in the height direction of the rectangular region, with the adjacent pixel rows shifted from each other in the horizontal direction by half pitch of the rectangular region. | 06-27-2013 |
20130161775 | PHOTODETECTOR AND CORRESPONDING DETECTION MATRIX - The invention relates to a photodetector intended for the detection of incident light radiation in the visible and close infrared region, said photodetector comprising: a light-radiation-absorption structure ( | 06-27-2013 |
20130168791 | Quantum Efficiency Back Side Illuminated CMOS Image Sensor And Package, And Method Of Making Same - An image sensor device (and method of making same) that includes a substrate with front and back opposing surfaces, a plurality of photo detectors formed at the front surface, and a plurality of contact pads formed at the front surface which are electrically coupled to the photo detectors. A cavity is formed into the back surface. A plurality of secondary cavities are formed into a bottom surface of the cavity such that each secondary cavity is disposed over one of the photo detectors. Absorption compensation material having light absorption characteristics that differ from those of the substrate is disposed in the secondary cavities. A plurality of color filters are each disposed in the cavity or in one of the secondary cavities and over one of the photo detectors. The plurality of photo detectors are configured to produce electronic signals in response to light incident through the color filters. | 07-04-2013 |
20130168792 | Three Dimensional Architecture Semiconductor Devices and Associated Methods - Semiconductor devices having three dimensional (3D) architectures and methods form making such devices are provided. In one aspect, for example, a method for making a semiconductor device can include forming a device layer on a front side of a semiconductor layer that is substantially defect free, bonding a carrier substrate to the device layer, processing the semiconductor layer on a back side opposite the device layer to form a processed surface, and bonding a smart substrate to the processed surface. In some aspects, the method can also include removing the carrier substrate from the semiconductor layer to expose the device layer. | 07-04-2013 |
20130175648 | PHOTOVOLTAIC DEVICE - A photovoltaic device including a semiconductor substrate having a first surface and a second surface, the second surface being opposite to the first surface; a first passivation layer on the first surface; and a second passivation layer on the second surface, wherein each of the first passivation layer and the second passivation layer comprises an aluminum-based compound, is disclosed. A method of preparing a photovoltaic device, the method including: forming a semiconductor substrate to have a first surface and a second surface, the second surface being opposite to the first surface; forming an emitter region and a back surface field (BSF) region at the second surface; and forming a first passivation layer on the first surface and a second passivation layer on the second surface, wherein the first passivation layer and the second passivation layer are formed concurrently, is also disclosed. | 07-11-2013 |
20130175649 | Sensor - An apparatus including: a transparent substrate including a first surface and an opposing second surface; a sensor connected to the first surface of the transparent substrate; and a casing, including interconnects to the sensor, and defining a cavity and at least one aperture to the cavity, wherein the transparent substrate and the sensor are located within the cavity with the second surface of the transparent substrate adjacent the at least one aperture. | 07-11-2013 |
20130181310 | SEMICONDUCTOR APPARATUS AND IMAGE SENSOR PACKAGE USING THE SAME - A semiconductor apparatus and an image sensor package. The image sensor package includes a semiconductor apparatus including a body having a first surface and a second surface which face each other, a first trench formed in the first surface of the body, a second trench formed in the second surface of the body, a third trench formed in a bottom surface of the second trench, and an aperture connecting the first trench to the third trench, a transparent member placed in the third trench and covering the aperture, a mounting board placed under the second surface of the body, and an image sensor chip placed between the mounting board and the transparent member and surrounded by the second trench. | 07-18-2013 |
20130181311 | IMAGE SENSOR UNIT, IMAGE READING APPARATUS, IMAGE FORMING APPARATUS, AND MANUFACTURING METHOD - An image sensor unit includes: sensor substrates on which a plurality of sensor chips are mounted; rod-lens arrays that focus light from an original on the sensor substrates; and a frame body that houses the plurality of sensor substrates and the plurality of rod-lens arrays. The frame body is divided into a first frame and a second frame. A side surface of the rod-lens array in a sub-scan direction is fixed only by the first frame, and the plurality of rod-lens arrays are arranged in the main-scan direction. | 07-18-2013 |
20130181312 | SURFACE PASSIVATION BY QUANTUM EXCLUSION USING MULTIPLE LAYERS - A semiconductor device has a multilayer doping to provide improved passivation by quantum exclusion. The multilayer doping includes at least two doped layers fabricated using MBE methods. The dopant sheet densities in the doped layers need not be the same, but in principle can be selected to be the same sheet densities or to be different sheet densities. The electrically active dopant sheet densities are quite high, reaching more than 1×10 | 07-18-2013 |
20130187249 | STRUCTURES AND DESIGN STRUCTURES FOR IMPROVED ADHESION OF PROTECTIVE LAYERS OF IMAGER MICROLENS STRUCTURES - Structures and design structures for improved adhesion of protective layers of imager microlens structures are disclosed. A method of fabricating a semiconductor structure includes forming an interfacial region between a microlens and a protective oxide layer. The interfacial region has a lower concentration of oxygen than the protective oxide layer. | 07-25-2013 |
20130193538 | Methods and Apparatus for an Improved Reflectivity Optical Grid for Image Sensors - An improved reflectivity optical grid for image sensors. In an embodiment, a backside illuminated CIS device includes a semiconductor substrate having a pixel array area comprising a plurality of photosensors formed on a front side surface of the semiconductor substrate, each of the photosensors forming a pixel in the pixel array area; an optical grid material disposed over a backside surface of the semiconductor substrate, the optical grid material patterned to form an optical grid that bounds each of the pixels in the pixel array area and extending above the semiconductor substrate, the optical grid having sidewalls and a top portion; and a highly reflective coating formed over the optical grid, comprising a pure metal coating of a metal that is at least 99% pure, and a high-k dielectric coating over the pure metal coating that has a refractive index of greater than about 2.0. Methods are also disclosed. | 08-01-2013 |
20130193539 | Method for Increasing Photodiode Full Well Capacity - A backside illuminated CMOS image sensor comprises an extended photo active region formed over a substrate using a first high energy ion implantation process and an isolation region formed over the substrate using a second high energy ion implantation process. The extended photo active region is enclosed by the isolation region, which has a same depth as the extended photo active region. The extended photo active region helps to increase the number of photons converted into electrons so as to improve quantum efficiency. | 08-01-2013 |
20130193540 | Apparatus and Method for Reducing Dark Current in Image Sensors - A method for reducing dark current in image sensors comprises providing a backside illuminated image sensor wafer, depositing a first passivation layer on a backside of the backside illuminated image sensor wafer, depositing a plasma enhanced passivation layer on the first passivation layer and depositing a second passivation layer on the plasma enhanced passivation layer. | 08-01-2013 |
20130193541 | UV Radiation Recovery of Image Sensor - A method of an embodiment comprises forming a dielectric layer on a first side of an image sensor substrate, and exposing the dielectric layer to ultraviolet (UV) radiation. The image sensor substrate comprises a photo diode. A structure of an embodiment comprises a substrate and a charge-less dielectric. The substrate comprises a photo diode. The charge-less dielectric layer is on a first side of the substrate, and a total charge of the charge-less dielectric results in an average voltage drop of less than 0.2 V across the charge-less dielectric layer. | 08-01-2013 |
20130193542 | IMAGE SENSOR, PRODUCTION APPARATUS, PRODUCTION METHOD, AND IMAGING APPARATUS - An image sensor includes a substrate formed of a material having a light absorption coefficient higher than that of silicon, and a photoelectric conversion element formed on the substrate for photoelectrically converting incident light. | 08-01-2013 |
20130193543 | SEMICONDUCTOR OPTOELECTRONICS DEVICES - A semiconductor device comprising a semiconductor substrate with a plurality of photo-diodes arranged in the semiconductor substrate with interconnect layers defining apertures at the photo-diodes and a first polymer which fills the gaps such as to cover the photo-diode. Further, layers of color filters are arranged on top the gap filling polymer layer opposite to the photo-diodes and a second polymer arranged on the interconnect layers covers and planarizes and passivates the color filter layers. On top of the planarizing polymer there is a plurality of micro-lenses opposite to the color filters, and a third polymer layer is deposited on the micro-lenses for passivating the micro-lenses. According to the invention the polymer materials are comprised of a siloxane polymer which gives thermally and mechanically stable, high index of refraction, dense dielectric films exhibiting high-cracking threshold, low pore volume and pore size. | 08-01-2013 |
20130193544 | MICROSCOPY METHOD AND SYSTEM INCORPORATING NANOFEATURES - A lensfree imaging and sensing device includes an image sensor comprising an array of pixels and a substantially optically transparent layer disposed above the image sensor. Nano-sized features that support surface plasmon waves are populated on the substantially optically transparent layer separating the image sensor from the nano-sized features. The nano-sized features may include apertures through a substantially optically opaque layer (e.g., metal layer) or they may include antennas. An illumination source is provided that is configured to illuminate a sample. At least one processor is operatively coupled to the image sensor. Changes to the detected transmission pattern at the image sensor are used to sense conditions at or near the surface containing the nano-sized features. Conditions may include binding events or other changes to the index of refraction occurring near the surface of the device. | 08-01-2013 |
20130200478 | SOLID-STATE IMAGING APPARATUS AND MANUFACTURING METHOD THEREOF - A solid-state imaging apparatus and a manufacturing method of a solid-state imaging apparatus are provided. Metal wirings | 08-08-2013 |
20130207212 | LATERAL LIGHT SHIELD IN BACKSIDE ILLUMINATED IMAGING SENSORS - A backside illuminated image sensor includes a semiconductor layer and a trench disposed in the semiconductor layer. The semiconductor layer has a frontside surface and a backside surface. The semiconductor layer includes a light sensing element of a pixel array disposed in a sensor array region of the semiconductor layer. The pixel array is positioned to receive external incoming light through the backside surface of the semiconductor layer. The semiconductor layer also includes a light emitting element disposed in a periphery circuit region of the semiconductor layer external to the sensor array region. The trench is disposed in the semiconductor layer between the light sensing element and the light emitting element. The trench is positioned to impede a light path between the light emitting element and the light sensing element when the light path is internal to the semiconductor layer. | 08-15-2013 |
20130207213 | Grids in Backside Illumination Image Sensor Chips and Methods for Forming the Same - A device includes a semiconductor substrate, which has a front side and a backside. A photo-sensitive device is disposed on the front side of the semiconductor substrate. A first and a second grid line are parallel to each other, and are disposed on the backside of, and overlying, the semiconductor substrate. A stacked layer includes an adhesion layer, a metal layer over the adhesion layer, and a high-refractive index layer over the metal layer. The adhesion layer, the metal layer, and the high-refractive index layer are substantially conformal, and extend on top surfaces and sidewalls of the first and the second grid lines. | 08-15-2013 |
20130207214 | Integrated Visible and Infrared Imager Devices and Associated Methods - Semiconductor devices having three dimensional (3D) architectures and methods form making such devices are provided. In one aspect, for example, a method for making a semiconductor device can include forming a device layer on a front side of a semiconductor layer that is substantially defect free, bonding a carrier substrate to the device layer, processing the semiconductor layer on a back side opposite the device layer to form a processed surface, and bonding a smart substrate to the processed surface. In some aspects, the method can also include removing the carrier substrate from the semiconductor layer to expose the device layer. | 08-15-2013 |
20130207215 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICE - A solid-state imaging device has: an imaging region in which a plurality of pixels each having a photoelectric conversion element are arranged, and a color filter. The color filter includes: filter components of a first color ( | 08-15-2013 |
20130214371 | SOLID-STATE IMAGING DEVICE, IMAGE SENSOR, METHOD OF MANUFACTURING IMAGE SENSOR, AND ELECTRONIC APPARATUS - There is provided a solid-state imaging device including a pixel array unit in which a plurality of unit pixels each having a photoelectric converting unit to generate and store photocharges according to an amount of received light and a charge storage unit to store the photocharges are arranged on a semiconductor substrate. The charge storage unit is formed on a path along which light is incident on the photoelectric converting unit. | 08-22-2013 |
20130214372 | TRIAZINE RING-CONTAINING POLYMER AND MEMBRANE-FORMING COMPOSITION CONTAINING THE SAME - Disclosed is a triazine ring-containing hyperbranched polymer containing a repeating unit structure represented by the expression (1). By this means, it is possible to achieve a triazine ring-containing polymer which, alone, has high heat resistance, high transparency, high refractive index, high light resistance, high solubility, low volume shrinkage without adding metal oxides; and also a membrane-forming composition containing the same. | 08-22-2013 |
20130221464 | REDUCED LIGHT DEGRADATION DUE TO LOW POWER DEPOSITION OF BUFFER LAYER - Methods for forming a photovoltaic device include forming a buffer layer between a transparent electrode and a p-type layer. The buffer layer includes a work function that falls substantially in a middle of a barrier formed between the transparent electrode and the p-type layer to provide a greater resistance to light induced degradation. An intrinsic layer and an n-type layer are formed over the p-type layer. | 08-29-2013 |
20130221465 | IMAGE SENSORS - Image sensors include a first insulation interlayer structure on a first surface of a substrate and having a multi-layered structure. A first wiring structure is in the first insulation interlayer structure. A via contact plug extends from a second surface of the substrate and penetrates the substrate to be electrically connected to the first wiring structure. Color filters and micro lenses are stacked on the second surface in a first region of the substrate. A second insulation interlayer structure is on the second surface in a second region of the substrate. A second wiring structure is in the second insulation interlayer structure to be electrically connected to the via contact plug. A pad pattern is electrically connected to the second wiring structure and having an upper surface through which an external electrical signal is applied. Photodiodes are between the first and second wiring structures in the first region. | 08-29-2013 |
20130221466 | MANUFACTURING METHOD OF SOLID-STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE - A manufacturing method of a solid-state imaging device includes: preparing a photoelectric conversion device; forming an insulating layer on a surface of the photoelectric conversion device; forming a wire-grid polarizer on a support base; bonding a forming surface of the wire-grid polarizer on the support base to the insulating layer on the surface of the photoelectric conversion device and removing the support base from the wire-grid polarizer. | 08-29-2013 |
20130221467 | Performance Optically Coated Semiconductor Devices and Related Methods of Manufacture - The present application disclosed various embodiments of improved performance optically coated semiconductor devices and various methods for the manufacture thereof and includes depositing a first layer of a low density, low index of refraction material on a surface of a semiconductor device, depositing a multi-layer optical coating comprising alternating layers of low density, low index of refraction materials and high density, high index of refraction materials on the coated surface of the semiconductor device, selectively ablating a portion of the alternating multi-layer optical coating to expose at least a portion of the low density first layer, and selectively ablating a portion of the first layer of low density material to expose at least a portion of the semiconductor device. | 08-29-2013 |
20130228886 | Method and Apparatus for Backside Illumination Sensor - Methods and apparatus for a backside illuminated (BSI) image sensor device are disclosed. A BSI sensor device is formed on a substrate comprising a photosensitive diode. The substrate may be thinned at the backside, then a B doped Epi-Si(Ge) layer may be formed on the backside surface of the substrate. Additional layers may be formed on the B doped Epi-Si(Ge) layer, such as a metal shield layer, a dielectric layer, a micro-lens, and a color filter. | 09-05-2013 |
20130228887 | PHOTODETECTOR WITH SURFACE PLASMON RESONANCE - Methods and structures for providing single-color or multi-color photo-detectors leveraging plasmon resonance for performance benefits. In one example, a radiation detector includes a semiconductor absorber layer having a first electrical conductivity type and an energy bandgap responsive to radiation in a first spectral region, a semiconductor collector layer coupled to the absorber layer and having a second electrical conductivity type, and a plasmonic resonator coupled to the collector layer and having a periodic structure including a plurality of features arranged in a regularly repeating pattern. | 09-05-2013 |
20130228888 | METHOD FOR MANUFACTURING SOLID STATE IMAGE FORMING DEVICE, AND SOLID STATE IMAGE FORMING DEVICE - A method for manufacturing a solid state image forming device in one embodiment includes forming a transparent resin layer on a semiconductor substrate having a plurality of photodiode layers formed thereon in a lattice, through R, G, and B color filters that are formed according to a Bayer arrangement; forming a plurality of first microlens mother dies on the transparent resin layer at the positions corresponding to the G color filters in such a manner that the outer peripheries thereof are separated from each other; forming a plurality of second microlens mother dies in such a manner that they are formed to fill the gap between the first microlens mother dies and the outer peripheries thereof are separated from each other; and etching the transparent resin layer with the plurality of first microlens mother dies and the plurality of second microlens mother dies being used as masks. | 09-05-2013 |
20130234272 | IMAGE-SENSING MODULE - An image-sensing module includes a substrate unit, a light-transmitting unit, an image-sensing unit and a lens unit. The substrate unit includes at least one flexible substrate having at least one through opening. The light-transmitting unit includes at least one light-transmitting element disposed on the top surface of the flexible substrate and corresponding to the through opening. The image-sensing unit includes at least one image-sensing element disposed on the bottom surface of the light-transmitting element and embedded in the through opening, and the image-sensing element is electrically connected to the flexible substrate. The lens unit includes an opaque frame disposed on the top surface of the flexible substrate to surround the light-transmitting element and a lens positioned on the opaque frame to correspond to the light-transmitting element. | 09-12-2013 |
20130234273 | IMAGE SENSORS AND METHODS OF FORMING THE SAME - The inventive concept provides image sensors and methods of forming the same. In the image sensor, a surface trap region may be disposed to be adjacent to a surface of a substrate lens component. Thus, a dark current characteristic may be improved. | 09-12-2013 |
20130234274 | LIGHT EMITTING APPARATUS - There is provided a light emitting apparatus including: at least one pair of lead frames; a light emitting device electrically connected to the lead frames to emit ultraviolet rays; a body including a side wall surrounding the light emitting device, and a groove portion formed in an upper surface of the side wall to receive an adhesive; and a lens part disposed above the light emitting device and fixed to the upper surface of the side wall of the body by the adhesive. | 09-12-2013 |
20130241018 | Grids in Backside Illumination Image Sensor Chips and Methods for Forming the Same - A device includes a semiconductor substrate having a front side and a backside, a photo-sensitive device disposed on the front side of the semiconductor substrate, and a first and a second grid line parallel to each other. The first and the second grid lines are on the backside of, and overlying, the semiconductor substrate. The device further includes an adhesion layer, a metal oxide layer over the adhesion layer, and a high-refractive index layer over the metal layer. The adhesion layer, the metal oxide layer, and the high-refractive index layer are substantially conformal, and extend on top surfaces and sidewalls of the first and the second grid lines. | 09-19-2013 |
20130241019 | SOLID-STATE IMAGING DEVICE, ELECTRONIC APPARATUS, AND METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE - A solid state imaging device that includes a semiconductor substrate having a plurality of photodiodes thereon and a first wiring portion, a second wiring portion and a third wiring portion, a first wiring layer over the semiconductor substrate and which includes a plurality of metal films and extends across all the wiring portions, and a second wiring layer over the first wiring layer and which extends across the first wiring portion and the second wiring portion. | 09-19-2013 |
20130249031 | Quantum Efficiency Back Side Illuminated CMOS Image Sensor And Package, And Method Of Making Same - An image sensor device (and method of making same) that includes a substrate with front and back opposing surfaces, a plurality of photo detectors formed at the front surface, and a plurality of contact pads formed at the front surface which are electrically coupled to the photo detectors. A plurality of cavities are formed into a back surface of the substrate such that each cavity is disposed over one of the photo detectors. Absorption compensation material having light absorption characteristics that differ from those of the substrate is disposed in the cavities. A plurality of color filters are each disposed over one of the photo detectors. The plurality of photo detectors are configured to produce electronic signals in response to light incident through the color filters. | 09-26-2013 |
20130249032 | ENHANCED LIFT-OFF TECHNIQUES FOR USE WITH DIELECTRIC OPTICAL COATINGS AND LIGHT SENSORS PRODUCED THEREFROM - Light sensors including dielectric optical coatings to shape their spectral responses, and methods for fabricating such light sensors in a manner that accelerates lift-off processes and increases process margins, are described herein. In certain embodiments, a short duration soft bake is performed. Alternatively, or additionally, temperature cycling is performed. Alternatively, or additionally, photolithography is performed using a photomask that includes one or more dummy corners, dummy islands and/or dummy rings. Each of the aforementioned embodiments form and/or increase a number of micro-cracks in the dielectric optical coating not covering the photodetector sensor region, thereby enabling an accelerated lift-off process and an increased process margin. Alternatively, or additionally, a portion of the photomask can include chamfered corners so that the dielectric optical coating includes chamfered corners, which improves the thermal reliability of the dielectric optical coating. | 09-26-2013 |
20130249033 | IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - An image sensor includes a substrate having a front side and a back side, an insulating structure containing circuits on the front side of the substrate, contact holes extending through the substrate to the circuits, respectively, and a plurality of pads disposed on the backside of the substrate, electrically connected to the circuits along conductive paths extending through the contact holes, and located directly over the circuits, respectively. The image sensor is fabricated by a process in which a conductive layer is formed on the back side of the substrate and patterned to form the pads directly over the circuits. | 09-26-2013 |
20130249034 | Optical Device, Wafer-Scale Package for One Such Optical Device and Corresponding Method - The invention relates to an optical device produced by cutting a wafer-scale package comprising at least one optical module formed from a substrate ( | 09-26-2013 |
20130249035 | SILICON PHOTOMULTIPLIER AND RADIATION DETECTOR - A silicon photomultiplier has a silicon chip with an array of microcells. The microcells form photon-sensitive active areas, each surrounded by photon-insensitive inactive areas. At least one elevated, three-dimensional light concentrating structure is located directly on top of the silicon chip within an inactive area and configured such that photons that would have hit an inactive area are redirected towards an active area. The light concentrating structure does lead to increased detection efficiency. The SiPM is usable in areas like medical imaging (e.g. PET, SPECT, CT and other X-ray detectors) as well as astrophysics, high-energy physics and other analytics applications. | 09-26-2013 |
20130249036 | IMAGER DEVICE WITH ELECTRIC CONNECTIONS TO ELECTRICAL DEVICE - An imager device is disclosed including a first substrate having an array of photo-sensitive elements formed thereon, a first conductive layer formed above the first substrate, a first conductive member extending through the first substrate, the first conductive member being conductively coupled to the first conductive layer, a standoff structure formed above the first substrate, a second conductive layer formed above the standoff structure, the second conductive layer being conductively coupled to the first conductive layer, and an electrically powered device positioned above the standoff structure, the electrically powered device being electrically coupled to the second conductive layer. A method of making an imager device is disclosed including providing a first substrate having a first conductive layer and an array of photosensitive elements formed above the first substrate, forming a conductive member that extends through the first substrate and is conductively coupled to the first conductive layer, forming a standoff structure above the first substrate, forming a patterned conductive layer above the standoff structure, the patterned conductive layer being conductively coupled to the first conductive layer, and conductively coupling an electrically powered device to the patterned conductive layer positioned above the standoff structure. | 09-26-2013 |
20130249037 | Co-implant for Backside Illumination Sensor - A system and method for image sensing is disclosed. An embodiment comprises a substrate with a pixel region, the substrate having a front side and a backside. A co-implant process is performed along the backside of the substrate opposing a photosensitive element positioned along the front side of the substrate. The co-implant process utilizes a first pre-amorphization implant process that creates a pre-amorphization region. A dopant is then implanted wherein the pre-amorphization region retards or reduces the diffusion or tailing of the dopants into the photosensitive region. An anti-reflective layer, a color filter, and a microlens may also be formed over the co-implant region. | 09-26-2013 |
20130249038 | COLOR-OPTIMIZED IMAGE SENSOR - An image sensor pixel array includes a photoelectric conversion unit comprising a photoelectron accumulation region of n-type in a substrate of p-type and vertically below a gate electrode of a transistor. A light guide transmits a light of red or green or yellow color across the gate electrode to the photoelectron accumulation region. The gate electrode may be made thinner by a wet etch. An etchant for thinning the gate electrode may be introduced through an opening in an insulating film on the substrate. The light guide may be formed in the opening after the thinning. An anti-reflection stack may be formed at a bottom of the opening prior to forming the light guide. | 09-26-2013 |
20130256821 | SOLID-STATE IMAGING ELEMENT, METHOD OF MANUFACTURING THE SAME, SOLID-STATE IMAGING APPARATUS, AND IMAGING APPARATUS - A solid-state imaging element including a semiconductor substrate that has a light reception portion performing a photoelectric conversion of an incident light; an oxide layer that is formed on a surface of the semiconductor substrate; a light shielding layer that is formed on an upper layer further than the oxide layer via an adhesion layer; and an oxygen supply layer that is disposed between the oxide layer and the adhesion layer and is formed of a material which shows an oxidation enthalpy smaller than that of a material forming the oxide layer. | 10-03-2013 |
20130264671 | Image Sensor and Method for Fabricating the Same - The present invention discloses an image sensor including photodiodes formed in a semiconductor substrate, a color filter array formed over the photodiodes, and microlenses formed on the color filter array. A first microlens, which may be any one of two adjacent microlenses, includes an upper portion and a lower portion. The lower portion of the first microlens is formed of a material different than a material of the upper portion of the first microlens. | 10-10-2013 |
20130264672 | INFRARED ABSORBING GLASS WAFER AND METHOD FOR PRODUCING SAME - A glass wafer is provided that is made of a copper ions containing phosphate or fluorophosphate glass. The glass wafer has a diameter greater than 15 centimeters and a thickness of less than 0.4 millimeters. The glass wafer has two plane-parallel surfaces at least one of which is polished. | 10-10-2013 |
20130270663 | ANTI-REFLECTIVE LAYER FOR BACKSIDE ILLUMINATED CMOS IMAGE SENSORS - A method of forming an image sensor device includes forming a light sensing region at a front surface of a silicon substrate and a patterned metal layer there over. Thereafter, the method includes depositing a metal oxide anti-reflection laminate on the first surface of the substrate. The metal oxide anti-reflection laminate includes one or more composite layers of thin metal oxides stacked over the photodiode. Each composite layer includes two or more metal oxide layers: one metal oxide is a high energy band gap metal oxide and another metal oxide is a high refractive index metal oxide. | 10-17-2013 |
20130270664 | FREE-STANDING TWO-SIDED DEVICES - Devices having features deposited on two sides of a device substrate and methods for making the same. The devices are useful, for example, as the components in a macroelectronic system. In a preferred embodiment, the devices are photosensors having a plurality of electrodes patterned on a first side of the device and an electromagnetic interference filter patterned on a second side of the device. The method facilitates the fabrication of two-sided devices through the use of an immobilizing layer deposited on top of devices patterned on a first side of a device substrate; flipping the device substrate; processing the second side of the device substrate to produce patterned features on the second side of the device substrate; and releasing the devices having patterned elements on two sides of each device. | 10-17-2013 |
20130270665 | IMAGE SENSOR AND IMAGE CAPTURE APPARATUS - In an image sensor in which each microlens of a microlens array is disposed at a position corresponding to each pixel on a side to which light flux is incident, a layer formed of a member different from a member constituting the microlens array is disposed on the side of the microlens array to which light flux is incident, and a surface of the layer formed of the different member has a phase structure optically -opposite to that of the microlens array. | 10-17-2013 |
20130277784 | SOLAR ENERGY GATHERING DEVICE - A solar energy gathering device includes a number of light converging elements, an optical-electrical converting element, and a number of light guiding elements. The light converging elements receives sunlight. Each of the light converging elements has a focal point. The light guiding elements are corresponding to the light converging elements. Each of the light guiding elements has a first end and a second end opposite to the first end. Each of the first ends is positioned on the focal point of the corresponding light converging element to receive the sunlight from the corresponding light converging element. The second ends output the sunlight. The optical-electrical converting element receives the sunlight from the second ends, and converts solar energy of the sunlight from the second ends to electrical energy. | 10-24-2013 |
20130277785 | Methods and Apparatus for Glass Removal in CMOS Image Sensors - Methods for glass removal while forming CMOS image sensors. A method for forming a device is provided that includes forming a plurality of pixel arrays on a device wafer; bonding a carrier wafer to a first side of the device wafer; bonding a substrate over a second side of the device wafer; thinning the carrier wafer; forming electrical connections to the first side of the device wafer; subsequently de-bonding the substrate from the second side of the device wafer; and subsequently singulating individuals ones of the plurality of pixel arrays from the device wafer. An apparatus is disclosed. | 10-24-2013 |
20130277786 | Photodiode and Photodiode Array with Improved Performance Characteristics - The present invention is a photodiode and/or photodiode array, having a p+ diffused area that is smaller than the area of a mounted scintillator crystal, designed and manufactured with improved device characteristics, and more particularly, has relatively low dark current, low capacitance and improved signal-to-noise ratio characteristics. More specifically, the present invention is a photodiode and/or photodiode array that includes a metal shield for reflecting light back into a scintillator crystal, thus allowing for a relatively small p+ diffused area. | 10-24-2013 |
20130277787 | BACKSIDE ILLUMINATION CMOS IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - A method of manufacturing a backside illumination CMOS image sensor includes bonding a first substrate and a second substrate, the first substrate including an epitaxial layer in which a photodiode region is defined. The method further includes removing the first substrate to expose the epitaxial layer, patterning the epitaxial layer to form a deep trench for separating pixels, forming a first passivation layer on/over the epitaxial layer with the deep trench formed therein, and sequentially forming a color filter and a lens on/over a top region of the first passivation layer corresponding to the epitaxial layer separated by the deep trench. | 10-24-2013 |
20130285179 | Image Sensor Device and Method - A system and method for reducing cross-talk between photosensitive diodes is provided. In an embodiment a first color filter is formed over a first photosensitive diode and a second color filter is formed over a second photosensitive diode, and a gap is formed between the first color filter and the second color filter. The gap will serve to reflect light that otherwise would have crossed from the first color filter to the second color filter, thereby reducing cross-talk between the first photosensitive diode and the second photosensitive diode. A reflective grid may also be formed between the first photosensitive diode and the second photosensitive diode in order to assist in the reflection and further reduce the amount of cross-talk. | 10-31-2013 |
20130285180 | Apparatus for Vertically Integrated Backside Illuminated Image Sensors - A backside illuminated image sensor comprises a photodiode and a first transistor located in a first chip, wherein the first transistor is electrically coupled to the photodiode. The backside illuminated image sensor further comprises a second transistor formed in a second chip and a plurality of logic circuits formed in a third chip, wherein the second chip is stacked on the first chip and the third chip is stacked on the second chip. The logic circuit, the second transistor and the first transistor are coupled to each other through a plurality of boding pads and through vias. | 10-31-2013 |
20130285181 | Apparatus and Method for Reducing Cross Talk in Image Sensors - A method for reducing cross talk in image sensors comprises providing a backside illuminated image sensor wafer, forming an isolation region in the backside illuminated image sensor wafer, wherein the isolation region encloses a photo active region, forming an opening in the isolation region from a backside of the backside illuminated image sensor wafer and covering an upper terminal of the opening with a dielectric material to form an air gap embedded in the isolation region of the backside illuminated image sensor wafer. | 10-31-2013 |
20130285182 | PHOTOSENSITIVE TRANSPARENT COMPOSITION FOR COLOR FILTER OF SOLID-STATE IMAGING DEVICE, AND PRODUCTION METHOD OF COLOR FILTER OF SOLID-STATE IMAGING DEVICE, COLOR FILTER OF SOLID-STATE IMAGING DEVICE, AND SOLID-STATE IMAGING DEVICE, EACH USING THE SAME - There is provided a photosensitive transparent composition for a color filter of a solid-state imaging device, containing (A) a photopolymerization initiator, (B) a polymerizable compound, and (C) an alkali-soluble resin, wherein the cured film obtained from the photosensitive transparent composition has a refractive index of 1.60 to 1.90 for light at a wavelength of 633 nm. | 10-31-2013 |
20130285183 | DUAL-FACING CAMERA ASSEMBLY - Embodiments of the invention relate to a camera assembly including a rear-facing camera and a front-facing camera operatively coupled together (e.g., bonded, stacked on a common substrate). | 10-31-2013 |
20130285184 | WAVEGUIDE PHOTODETECTOR AND FORMING METHOD THEREOF - Techniques are described for forming a waveguide photodetector. In one example, a method of forming a waveguide photodetector includes forming a waveguide on a substrate, e.g., silicon on insulator, depositing a first oxide coating over the waveguide and on the SOI substrate, creating a seed window through the first oxide coating to a bulk silicon layer of the SOI substrate, depositing a photodetector material into the seed window and on top of the first oxide coating over the waveguide, depositing a second oxide coating over the photodetector material and over the first oxide coating deposited over the waveguide and on the SOI substrate, and applying thermal energy to liquefy the photodetector material. | 10-31-2013 |
20130292786 | INTEGRATED OPTICAL SENSOR MODULE - An integrated optical sensor module includes an optical sensor die having an optical sensing area on its first surface, and an application-specific integrated circuit (ASIC) die arranged over the first surface of the optical sensor die. A hole in the ASIC die is at least partially aligned with the optical sensing area such that at least some of the light passing through the hole may contact the optical sensing area. The hole through the ASIC die can be configured to receive an optical fiber, lens structure, or other optical element therein. | 11-07-2013 |
20130292787 | LOW COST BACKSIDE ILLUMINATED CMOS IMAGE SENSOR PACKAGE WITH HIGH INTEGRATION - This invention discloses a backside illuminated image sensor without the need to involve a mechanical grinding process or a chemical-mechanical planarization process in fabrication, and a fabricating method thereof. In one embodiment, an image sensor comprises a semiconductor substrate, a plurality of light sensing elements in the semiconductor substrate, and a cavity formed in the semiconductor substrate. The light sensing elements are arranged in a substantially planar manner. The cavity has a base surface overlying the light sensing elements. The presence of the cavity allows the image to reach the light sensing elements through the cavity base surface. The cavity can be fabricated by etching the semiconductor substrate. Agitation may also be used when carrying out the etching. | 11-07-2013 |
20130299931 | Backside Structure for BSI Image Sensor - An embodiment method for forming an image sensor includes forming an anti-reflective coating over a surface of a semiconductor supporting a photodiode, forming an etching stop layer over the anti-reflective coating, forming a buffer oxide over the etching stop layer, and selectively removing a portion of the buffer oxide through etching, the etching stop layer protecting the anti-reflective coating during the etching. An embodiment image sensor includes a semiconductor disposed in an array region and in a periphery region, the semiconductor supporting a photodiode in the array region, an anti-reflective coating disposed over a surface of the semiconductor, an etching stop layer disposed over the anti-reflective coating, a thickness of the etching stop layer over the photodiode in the array region less than a thickness of the etching stop layer in the periphery region, and a buffer oxide disposed over the etching stop layer in the periphery region. | 11-14-2013 |
20130299932 | VERTICAL MIRROR IN A SILICON PHOTONIC CIRCUIT - A vertical total internal reflection (TIR) mirror and fabrication thereof is made by creating a re-entrant profile using crystallographic silicon etching. Starting with an SOI wafer, a deep silicon etch is used to expose the buried oxide layer, which is then wet-etched (in HF), opening the bottom surface of the Si device layer. This bottom silicon surface is then exposed so that in a crystallographic etch, the resulting shape is a re-entrant trapezoid with facets These facets can be used in conjunction with planar silicon waveguides to reflect the light upwards based on the TIR principle. Alternately, light can be coupled into the silicon waveguides from above the wafer for such purposes as wafer level testing. | 11-14-2013 |
20130307103 | Vertically Integrated Image Sensor Chips and Methods for Forming the Same - A device includes a Backside Illumination (BSI) image sensor chip, which includes an image sensor disposed on a front side of a first semiconductor substrate, and a first interconnect structure including a plurality of metal layers on the front side of the first semiconductor substrate. A device chip is bonded to the image sensor chip. The device chip includes an active device on a front side of a second semiconductor substrate, and a second interconnect structure including a plurality of metal layers on the front side of the second semiconductor substrate. A first via penetrates through the BSI image sensor chip to connect to a first metal pad in the second interconnect structure. A second via penetrates through a dielectric layer in the first interconnect structure to connect to a second metal pad in the first interconnect structure, wherein the first via and the second via are electrically connected. | 11-21-2013 |
20130307104 | Image Sensor Structure to Reduce Cross-Talk and Improve Quantum Efficiency - A semiconductor device includes a substrate including a pixel region incorporating a photodiode, a grid disposed over the substrate and having walls defining a cavity vertically aligned with the pixel region, and a color filter material disposed in the cavity between the walls of the grid. | 11-21-2013 |
20130307105 | IMAGE-SENSING MODULE FOR REDUCING ITS WHOLE THICKNESS - An image-sensing module for reducing its whole thickness includes a substrate unit, a carrier unit, an image-sensing unit and a lens unit. The substrate unit includes a substrate body and a through opening passing through the substrate body. The carrier unit includes a carrier body disposed on the bottom surface of the substrate body and corresponding to the through opening. The image-sensing unit includes an image-sensing element disposed on the top surface of the carrier body and embedded in the through opening. The lens unit includes an opaque frame disposed on the top surface of the carrier body to surround the image-sensing element and a lens connected to the opaque frame and positioned above the image-sensing element. Hence, the whole thickness of the image-sensing module can be reduced due to the design of placing the substrate body, the image-sensing element and the opaque frame on the carrier body. | 11-21-2013 |
20130307106 | SOLID-STATE IMAGING DEVICE - According to one embodiment, a solid-state imaging device includes a first collecting element configured to collect lights which are incident on a first photoelectric conversion layer and a third photoelectric conversion layer; and a second collecting element having a larger collecting area than a collecting area of the first collecting element and configured to collect a light which is incident on a second photoelectric conversion layer. | 11-21-2013 |
20130307107 | BSI Image Sensor Chips with Separated Color Filters and Methods for Forming the Same - A device includes a semiconductor substrate having a front side and a backside. A plurality of image sensors is disposed at the front side of the semiconductor substrate. A plurality of clear color-filters is disposed on the backside of the semiconductor substrate. A plurality of metal rings encircles the plurality of clear color-filters. | 11-21-2013 |
20130307108 | OPTICAL ELEMENT AND PHOTODETECTOR - An optical element | 11-21-2013 |
20130307109 | SOLID-STATE IMAGE SENSOR AND IMAGING SYSTEM - A solid-state image sensor including photoelectric conversion elements, comprises a first insulating film arranged on a substrate and having openings arranged on the respective elements, insulator portions having a refractive index higher than that of the first insulating film and arranged in the respective openings, a second insulating film arranged on upper surfaces of the insulator portions and an upper surface of the first insulating film, and a third insulating film having a refractive index lower than that of the second insulating film and arranged in contact with an upper surface of the second insulating film, wherein letting λ be a wavelength of entering light, n be the refractive index of the second insulating film, and t be a thickness of the second insulating film in at least part of a region on the upper surface of the first insulating film, a relation t<λ/n is satisfied. | 11-21-2013 |
20130313668 | PHOTRONIC DEVICE WITH REFLECTOR AND METHOD FOR FORMING - A photronic device includes a substrate having an opening through the substrate. The photronic device further includes an insulating layer over the substrate including over the opening. The photronic device further includes an active layer over the insulating layer. The photronic device further includes a photoactive device formed in the active layer, wherein the photoactive device is over the opening. The photronic device further includes active electronic circuitry formed in the active layer. The photronic device further includes a reflective layer on the insulating layer in the opening. | 11-28-2013 |
20130313669 | AMINO ACID GENERATOR AND POLYSILOXANE COMPOSITION CONTAINING THE SAME - A coating film forming composition includes an amino acid generator including a protecting group that is eliminated to generate an amino acid. A coating film forming composition includes a component (A): the amino acid generator; a component (B): a hydrolyzable silane, a hydrolysis product thereof, a hydrolysis-condensation product thereof, or a mixture thereof; and a component (C): a solvent. | 11-28-2013 |
20130313670 | COLOR SENSOR AND ELECTRONIC DEVICE HAVING THE SAME - A color sensor with a plurality of optical sensors in which the number of terminals for connection with the outside can be reduced. The color sensor includes a plurality of optical sensors each provided with a photoelectric conversion element and an optical filter over a light-transmitting substrate. The optical filters in the plurality of optical sensors have light-transmitting characteristics different from each other. The plurality of optical sensors is mounted over an interposer including a plurality of terminal electrodes for electrical connection with an external device. The interposer includes a wiring having a plurality of branches for electrical connection between the terminal electrode for inputting a high power supply potential to the plurality of optical sensors and a wiring having a plurality of branches for electrical connection between the terminal electrode for inputting a low power supply potential to the plurality of optical sensors. | 11-28-2013 |
20130313671 | SUBSTRATE FOR A PHOTOVOLTAIC CELL - The subject of the invention is a substrate for photovoltaic cell comprising at least one sheet of float glass provided on a face of at least one electrode, characterized in that said glass has a chemical composition comprising the following constituents, in a weight content that varies within the limits defined below: | 11-28-2013 |
20130320471 | WAFER LEVEL OPTICAL SENSOR PACKAGE AND LOW PROFILE CAMERA MODULE, AND METHOD OF MANUFACTURE - A wafer-level camera sensor package includes a semiconductor substrate with an optical sensor on a front surface. Through-silicon-vias (TSV) extend through the substrate and provide I/O contact with the sensor from the back side of the substrate. A glass cover is positioned over the front surface, and the cover and substrate are embedded in a molding compound layer (MCL), the front surface of the MCL lying coplanar with the front of the cover, and the back surface lying coplanar with the back of the substrate. Surface-mount devices, electromagnetic shielding, and through-wafer-connectors can be embedded in the MCL. A redistribution layer on the back surface of the MCL includes bottom contact pads for mounting the package, and conductive traces interconnecting the contact pads, TSVs, surface-mount devices, shielding, and through-wafer-connectors. Anisotropic conductive adhesive is positioned on the front of the MCL for physically and electrically attaching a lens array. | 12-05-2013 |
20130320472 | BACKSIDE ILLUMINATION CMOS IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a backside illumination image sensor includes forming an epitaxial layer on a silicon (Si) substrate, and forming an inter-metal dielectric (IMD) on the epitaxial layer. The method includes forming a trench in one side region of the epitaxial layer, forming an insulating layer at a side wall and bottom of the trench, forming a color filter and microlens on the IMD, bonding a support wafer onto the IMD with the color filter and microlens formed therein, and/or removing the Si substrate. | 12-05-2013 |
20130320473 | SOLID-STATE IMAGING DEVICE, PRODUCTION METHOD OF THE SAME, AND IMAGING APPARATUS - A solid-state imaging device in which a pixel circuit formed on the first surface side of a semiconductor substrate is shared by a plurality of light reception regions and second surface side of the semiconductor substrate is the light incident side of the light reception regions. The second surface side regions of the light reception regions are arranged at approximately even intervals and the first surface side regions of the light reception regions e are arranged at uneven intervals. Respective second surface side regions and first surface side regions are joined in the semiconductor substrate so that the light reception regions extend from the second surface side to the first surface side of the semiconductor substrate. | 12-05-2013 |
20130320474 | PHOTO DETECTOR DEVICE, PHOTO SENSOR AND SPECTRUM SENSOR - A photodetector device includes: a first semiconductor region of a first conductivity type electrically connected to a first external electrode: a second semiconductor region of a second conductivity type formed on the first semiconductor region; a third semiconductor region of the first conductivity type formed on the second semiconductor region; and a plurality of fourth semiconductor regions of the second conductivity type formed on the second semiconductor region, each of the plurality of fourth semiconductor regions being surrounded by the third semiconductor region, including a second conductivity type impurity having a concentration higher than a concentration of the second semiconductor region, and electrically connected to a second external electrode. | 12-05-2013 |
20130320475 | SEMICONDUCTOR APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR APPARATUS, METHOD OF DESIGNING SEMICONDUCTOR APPARATUS, AND ELECTRONIC APPARATUS - A semiconductor device including a first material layer adjacent to a second material layer, a first via passing through the first material layer and extending into the second material layer, and a second via extending into the first material layer, where along a common cross section parallel to an interface between the two material layers, the first via has a cross section larger than that of the second via. | 12-05-2013 |
20130328145 | Integrated Optical Receiver Architecture For High Speed Optical I/O Applications - An integrated optical receiver architecture may be used to couple light between a multi-mode fiber (MMF) and silicon chip which includes integration of a silicon de-multiplexer and a high-speed Ge photo-detector. The proposed architecture may be used for both parallel and wavelength division multiplexing (WDM) based optical links with a data rate of 25 Gb/s and beyond. | 12-12-2013 |
20130328146 | TRANSVERSELY-ILLUMINATED HIGH CURRENT PHOTOCONDUCTIVE SWITCHES WITH GEOMETRY-CONSTRAINED CONDUCTIVITY PATH - A photoconductive switch having a wide bandgap semiconductor material substrate between opposing electrodes, with one of the electrodes having an aperture or apertures at an electrode-substrate interface for transversely directing radiation therethrough from a radiation source into a triple junction region of the substrate, so as to geometrically constrain the conductivity path to within the triple junction region. | 12-12-2013 |
20130328147 | CHIP PACKAGE AND METHOD FOR FORMING THE SAME - An embodiment of the invention provides a chip package which includes: a semiconductor substrate having a first surface and a second surface; a device region disposed in the semiconductor substrate; a dielectric layer disposed on the first surface of the semiconductor substrate; a conducting pad structure disposed in the dielectric layer and electrically connected to the device region, a carrier substrate disposed on the dielectric layer; and a conducting structure disposed in a bottom surface of the carrier substrate and electrically contacting with the conducting pad structure. | 12-12-2013 |
20130328148 | COVER FOR IMAGE SENSOR ASSEMBLY WITH LIGHT ABSORBING LAYER AND ALIGNMENT FEATURES - An image sensor assembly includes an image sensor die attached adjacent to a cavity and a lower surface in a preformed package having substantially vertical surfaces extending from the lower surface to an upper surface of the package. The image sensor die provides the light receiving surface for capturing the image. A light absorbing layer is applied to a cover such that the light absorbing layer prevents light from falling on the substantially vertical surfaces of the preformed package without preventing the passage of light that falls on the light receiving surface of the image sensor die. The light absorbing layer includes openings that provide a line-of-sight view of two opposing corners of at least one of the light receiving surface and the image sensor die to facilitate placing the cover over the upper surface of the package in registry with the image sensor die. | 12-12-2013 |
20130328149 | WAVELENGTH CONVERSION-TYPE PHOTOVOLTAIC CELL SEALING MATERIAL AND PHOTOVOLTAIC CELL MODULE USING THE SAME - The present invention provides a wavelength conversion-type photovoltaic cell sealing material, the sealing material including at least one light emitting layer containing a group of spherical phosphors, the group of spherical phosphors having a ratio of a median value D | 12-12-2013 |
20130334636 | BACK-ILLUMINATED IMAGE SENSOR AND FABRICATING METHOD THEREOF - A fabricating method of a back-illuminated image sensor includes the following steps. First, a silicon wafer having a first surface and a second surface is provided, wherein a number of trench isolations are formed in the first surface, and at least one image sensing member is formed between the trench isolations. Then, a first chemical mechanical polishing (CMP) process is performed to the second surface using the trench isolations as a polishing stop layer to thin the silicon wafer. Because the polishing rate of the silicon material in the silicon wafer is different with that of the isolation material of the trench isolations in the first CMP process, at least one dishing depression is formed in the second surface of the silicon wafer. Finally, a microlens is formed above the dishing depression, and a surface of the microlens facing the dishing depression is a curved surface. | 12-19-2013 |
20130334637 | CMOS SENSOR WITH BACKSIDE ILLUMINATION ELECTRONIC GLOBAL SHUTTER CONTROL - An apparatus comprising an image capture circuit and method for making the same. Electronic devices are formed on a first side of a substrate, each comprising a photo detector. A plurality of opaque shields are formed on a second side of the substrate corresponding to the electronic devices on the first side of the substrate and each directly opposite one of the electronic devices. | 12-19-2013 |
20130334638 | Apparatus and Method for Backside Illuminated Image Sensors - A backside illuminated image sensor comprises a photodiode and a first transistor located in a first substrate, wherein the first transistor is electrically coupled to the photodiode. The backside illuminated image sensor further comprises a plurality of logic circuits formed in a second substrate, wherein the second substrate is stacked on the first substrate and the logic circuit are coupled to the first transistor through a plurality of bonding pads. | 12-19-2013 |
20130334639 | PHOTODIODE WITH REDUCED DEAD-LAYER REGION - A photodiode structure having an illuminated front-side surface and a back-side surface includes a front-side doped layer having a first conductivity type, a back-side doped layer having the first conductivity type, a front-side active cell region made sensitive to light by the action of at least one plug region formed in the front-side doped layer having a second conductivity type, and a front-side inactive cell region substantially insensitive to light, wherein the first and second conductivity types are opposite conductivity types. | 12-19-2013 |
20130334640 | IMAGE SENSOR, IMAGE PROCESSING DEVICE INCLUDING THE SAME, AND METHOD OF FABRICATING THE SAME - An image sensor includes a dielectric layer including a reflector, a photo-electric conversion region on the dielectric layer, and a resonance layer on the photo-electric conversion region, the resonance layer including ribbed materials arranged in a concentric pattern. | 12-19-2013 |
20130334641 | SOLID-STATE IMAGE SENSOR, METHOD FOR MANUFACTURING THE SAME, AND CAMERA - A method for manufacturing a solid-state image sensor having a pixel region, a peripheral circuit region, and an intermediate region interposed between the pixel region and the peripheral circuit region, includes forming a high melting point metal compound in active regions of the peripheral circuit region and the intermediate region, forming an etch stop film on the high melting point metal compound formed in the active regions of the peripheral circuit region and the intermediate region, forming an interlayer insulating film on the etch stop film, and forming, by using the etch stop film, a contact plug to contact the high melting point metal compound in the active region of the peripheral circuit region. | 12-19-2013 |
20130334642 | SOLID-STATE IMAGING DEVICE, ELECTRONIC APPARATUS, AND METHOD FOR MANUFACTURING THE SAME - A solid-state imaging device includes photoelectric conversion elements on an imaging surface of a substrate, receiving light incident on a light receiving surface and performing photoelectric conversion to produce a signal charge. Electrodes are interposed between the photoelectric conversion elements and light blocking portions are provided above the electrodes and interposed between the photoelectric conversion elements. The light blocking portions include an electrode light blocking portion formed to cover the corresponding electrode, and a pixel isolation and light blocking portion protruding convexly from the upper surface of the electrode light blocking portion. The photoelectric conversion elements are arranged at first pitches on the imaging surface. The electrode light blocking portions and the pixel isolation and light blocking portions are arranged at second and third pitches on the imaging surface. At least the third pitch increases with distance from the center toward the periphery of the imaging surface. | 12-19-2013 |
20130341746 | Porous Si As CMOS Image Sensor ARC Layer - A semiconductor device is provided. The semiconductor device includes metallization layers supported by a substrate, a diode and a partially doped silicon layer disposed over the metallization layers, a buffer layer disposed over the diode and the partially doped silicon layer; and an anti-reflective coating disposed over the buffer layer, the anti-reflective coating formed from a porous silicon. | 12-26-2013 |
20130341747 | CHIP PACKAGE AND METHOD FOR FORMING THE SAME - An embodiment of the invention provides a chip package which includes: a chip including: a semiconductor substrate having a first surface; a device region formed in the semiconductor substrate; and a plurality of micro-lenses on the first surface and the device region; a cover substrate disposed on the chip, wherein the cover substrate is a transparent substrate; a spacer layer disposed between the chip and the cover substrate, wherein the spacer layer, the chip, and the cover substrate collectively surround a cavity in the device region; and at least one main lens on the cover substrate and in the cavity, wherein a width of the main lens is greater than that of each of the micro-lenses. | 12-26-2013 |
20130341748 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD OF SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS - A solid-state imaging device, includes: plural unit pixels including a photoelectric conversion portion converting incident light into an electrical signal, and a waveguide having a quadratic curve surface at an inner surface and introducing the incident light to the photoelectric conversion portion. | 12-26-2013 |
20130341749 | NANOWIRE STRUCTURED PHOTODIODE WITH A SURROUNDING EPITAXIALLY GROWN P OR N LAYER - An embodiment relates to a device comprising a substrate, a nanowire and a doped epitaxial layer surrounding the nanowire, wherein the nanowire is configured to be both a channel to transmit wavelengths up to a selective wavelength and an active element to detect the wavelengths up to the selective wavelength transmitted through the nanowire. Another embodiment relates to a device comprising a substrate, a nanowire and one or more photogates surrounding the nanowire, wherein the nanowire is configured to be both a channel to transmit wavelengths up to a selective wavelength and an active element to detect the wavelengths up to the selective wavelength transmitted through the nanowire, and wherein the one or more photogates comprise an epitaxial layer. | 12-26-2013 |
20140001588 | OPTICAL SENSORS DEVICES INCLUDING A HYBRID OF WAFER-LEVEL INORGANIC DIELECTRIC AND ORGANIC COLOR FILTERS | 01-02-2014 |
20140001589 | IMAGE SENSOR AND IMAGING DEVICE | 01-02-2014 |
20140001590 | IMAGE SENSOR DEVICES AND METHODS FOR MANUFACTURING THE SAME | 01-02-2014 |
20140015083 | IMAGE SENSOR AND FABRICATING METHOD THEREOF - An image sensor includes a substrate with a front side and a back side, the substrate having a sensor array region and a peripheral region defined thereon, a plurality of sensor device disposed in the sensor array region, a first metal layer disposed on the front sides within the peripheral region, a bonding pad disposed on the backside within the peripheral region, and at least a connecting element penetrating the substrate and substantially connect to the first metal layer and the bonding pad, wherein parts of the substrate is between the bonding pad and the first metal layer. | 01-16-2014 |
20140015084 | Method and Apparatus for Image Sensor Packaging - Methods and apparatus for packaging a backside illuminated (BSI) image sensor or a BSI sensor device with an application specific integrated circuit (ASIC) are disclosed. A bond pad array may be formed in a bond pad area of a BSI sensor where the bond pad array comprises a plurality of bond pads electrically interconnected, wherein each bond pad of the bond pad array is of a small size which can reduce the dishing effect of a big bond pad. The plurality of bond pads of a bond pad array may be interconnected at the same layer of the pad or at a different metal layer. The BSI sensor may be bonded to an ASIC in a face-to-face fashion where the bond pad arrays are aligned and bonded together. | 01-16-2014 |
20140015085 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC DEVICE - There is provided a solid-state imaging device including a semiconductor substrate, pixels each including a photoelectric conversion unit formed in the semiconductor substrate, a trench that is formed in the semiconductor substrate and separates the pixels that are adjacent, and a color filter that is formed above the photoelectric conversion unit of each of the pixels and buried in at least a part of the trench. | 01-16-2014 |
20140021574 | SOLID-STATE IMAGING DEVICE - According to one embodiment, provided are a first photoelectric conversion layer provided for a first wavelength band, a second photoelectric conversion layer provided for a second wavelength band, and a color separation element adapted to separate an incident light into a transmission light including the first wavelength band and a reflection light including the second wavelength band, wherein an angle of incidence of the incident light with respect to a reflection surface of the color separation element is set so that a vertically polarized light and a horizontally polarized light are included in the reflection light. | 01-23-2014 |
20140027872 | CIS Chips and Methods for Forming the Same - A device includes a semiconductor substrate, an image sensor at a front surface of the semiconductor substrate, and a plurality of dielectric layers over the image sensor. A color filter and a micro lens are disposed over the plurality of dielectric layers and aligned to the image sensor. A through via penetrates through the semiconductor substrate. A Redistribution Line (RDL) is disposed over the plurality of dielectric layers, wherein the RDL is electrically coupled to the through via. A polymer layer covers the RDL. | 01-30-2014 |
20140027873 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device and a manufacturing method thereof are provided which can suppress corrosion by chemicals in processes, while preventing generation of thermal stress on a mark. A semiconductor device includes a semiconductor layer with a front-side main surface and a back-side main surface opposed to the front-side main surface, a plurality of light receiving elements formed in the semiconductor layer for performing photoelectric conversion, a light receiving lens disposed above the back-side main surface for supplying light to the light receiving element, and a mark formed inside the semiconductor layer. The mark extends from the front-side main surface to the back-side main surface. The mark has a deeply located surface recessed toward the front-side main surface rather than the back-side main surface. The deeply located surface is formed of silicon. | 01-30-2014 |
20140027874 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solid-state imaging device includes: a light-receiving pixel part configured to be formed on a semiconductor substrate; a black-level reference pixel part configured to be formed on the semiconductor substrate; and a multilayer interconnect part configured to be provided over the semiconductor substrate. The multilayer interconnect part includes an insulating layer formed over the semiconductor substrate and metal interconnect layers formed as a plurality of layers in the insulating layer. The multilayer interconnect part has a first light-blocking film formed above an area between first metal interconnects of a first metal interconnect layer as one of the metal interconnect layers above the black-level reference pixel part, and a second light-blocking film that is connected to the first light-blocking film and is formed of a second metal interconnect layer over the first metal interconnect layer. | 01-30-2014 |
20140027875 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR PRODUCING PHOTOELECTRIC CONVERSION DEVICE - In this method for producing a photoelectric conversion device: an i-type non-crystalline layer and an n-type non-crystalline layer comprising a non-crystalline semiconductor film are formed on the light-receiving surface of a semiconductor substrate; an i-type non-crystalline layer and an n-type non-crystalline layer comprising a non-crystalline semiconductor film are formed on the back surface of the semiconductor substrate; a protective layer is formed on the n-type non-crystalline layer; an insulating layer is formed on the n-type non-crystalline layer; and in the state where the top of the n-type non-crystalline layer is covered by the protective layer, patterning is performed by eliminating a portion of the i-type non-crystalline layer, the n-type non-crystalline layer, and the insulating layer. | 01-30-2014 |
20140027876 | LIGHT SENSOR HAVING IR CUT AND COLOR PASS INTERFERENCE FILTER INTEGRATED ON-CHIP - A light sensor is described that includes an IR interference filter and at least one color interference filter integrated on-chip. The light sensor comprises a semiconductor device (e.g., a die) that includes a substrate. Photodetectors are disposed proximate to the surface of the substrate. An IR interference filter is disposed over the photodetectors. The IR interference filter is configured to filter infrared light from light received by the light sensor to at least substantially block infrared light from reaching the photodetectors. At least one color interference filter is disposed proximate to the IR interference filter. The color interference filter is configured to filter visible light received by the light sensor to pass light in a limited spectrum of wavelengths (e.g., light having wavelengths between a first wavelength and a second wavelength) to at least one of the photo detectors. | 01-30-2014 |
20140035078 | Substrate Connection Type Module Structure - The present invention provides a substrate connection type module structure comprising a substrate with a through hole structure and a first contact pad. A chip is configured on the through hole structure of the substrate, with a second contact pad and a sensing area. The first contact pad is coupled to the second contact pad via a wire. A second substrate is electrically connected to the first substrate. The second substrate and the chip are located at the same layer. A lens holder is disposed on the substrate, and a lens is located on the top of the lens holder. A transparent material is disposed within the lens holder. The lens is substantially aligning to the transparent material and the sensing area. | 02-06-2014 |
20140035079 | Window Type Camera Module Structure - The present invention provides a window type camera module structure comprising a first substrate. A chip is configured on the first substrate, with a first contact pad and a sensing area. A second substrate is disposed on the first substrate, with a through hole structure and a second contact pad, wherein the chip is disposed within the through hole structure. The first contact is coupled to the second contact pad via a wire. A lens holder is disposed on the second substrate, and a lens is located on the top of the lens holder. A transparent material is disposed on the lens holder or the second substrate. The lens is substantially aligning to the transparent material and the sensing area. | 02-06-2014 |
20140035080 | Wafer Level Camera Module Structure - The present invention provides a wafer level camera module structure comprising a chip with a sensing area. A TSV structure is formed by passing through from the top surface to the bottom surface of the chip. A transparent material is disposed on the chip, with at least one conductive via structure formed therein and a trace form thereon. A lens holder is disposed on the transparent material, and a lens is located on the top of the lens holder. The lens is substantially aligning to the transparent material and the sensing area. | 02-06-2014 |
20140035081 | Substrate Inside Type Module Structure - The present invention provides a module structure of substrate inside type comprising a first substrate with a concave structure. A chip is configured on the concave structure of the first substrate, with a first contact pad and a sensing area. A second substrate is disposed on the first substrate, with at least one through hole structure and a second contact pad. The first contact is coupled to the second contact pad via a wire. The second substrate includes a first portion embedded into the module structure, and a second portion extended to outside of the module structure. A lens holder is disposed on the second substrate, and a lens is located on the top of the lens holder. A transparent material is disposed within the lens holder or the second substrate. The lens is substantially aligning to the transparent material and the sensing area. | 02-06-2014 |
20140035082 | Elevated Photodiodes with Crosstalk Isolation - A device includes a plurality of isolation spacers, and a plurality of bottom electrodes, wherein adjacent ones of the plurality of bottom electrodes are insulated from each other by respective ones of the plurality of isolation spacers. A plurality of photoelectrical conversion regions overlaps the plurality of bottom electrodes, wherein adjacent ones of the plurality of photoelectrical conversion regions are insulated from each other by respective ones of the plurality of isolation spacers. A top electrode overlies the plurality of photoelectrical conversion regions and the plurality of isolation spacers. | 02-06-2014 |
20140035083 | Elevated Photodiode with a Stacked Scheme - A device includes an image sensor chip having formed therein an elevated photodiode, and a device chip underlying and bonded to the image sensor chip. The device chip has a read out circuit electrically connected to the elevated photodiode. | 02-06-2014 |
20140035084 | METHOD OF DIRECT TILING OF AN IMAGE SENSOR ARRAY - A method of making a tiled array of semiconductor dies includes aligning and flattening. One end of each semiconductor die has attached thereto a respective printed circuit board. The aligning aligns the semiconductor dies into the tiled array in such a way that the semiconductor dies rest on a vacuum plate and the one end of each die extends beyond an edge of the vacuum plate. The flattening flattens the semiconductor dies against the vacuum plate with a vacuum after the semiconductor dies are aligned. | 02-06-2014 |
20140035085 | PHOTOELECTRIC CONVERSION DEVICE AND MANUFACTURING METHOD THEREOF - A photoelectric conversion device is provided which is capable of improving the light condensation efficiency without substantially decreasing the sensitivity. The photoelectric conversion device has a first pattern provided above an element isolation region formed between adjacent two photoelectric conversion elements, a second pattern provided above the element isolation region and above the first pattern, and microlenses provided above the photoelectric conversion elements with the first and the second patterns provided therebetween. The photoelectric conversion device further has convex-shaped interlayer lenses in optical paths between the photoelectric conversion elements and the microlenses, the peak of each convex shape projecting in the direction from the electro-optical element to the microlens. | 02-06-2014 |
20140035086 | SOLID-STATE IMAGE SENSOR - A solid-state image sensor includes a semiconductor layer having photoelectric conversion portions, and a wiring structure arranged on a side of a first face of the semiconductor layer, and receives light from a side of a second face of the semiconductor layer. The wiring structure includes a reflection portion having a reflection surface reflecting light transmitted through the semiconductor layer from the second face toward the first face, toward the semiconductor layer, and an insulation film located between the reflection surface and the first face. The sensor includes a first dielectric film arranged to contact the first face, and a second dielectric film arranged between the insulation film and the first dielectric film and having a refractive index different from refractive indices of the first dielectric film and the insulation film. | 02-06-2014 |
20140042576 | PHOTOELECTRIC CONVERSION APPARATUS - A photoelectric conversion apparatus includes a lens array including a plurality of convex meniscus lenses. Each of the convex meniscus lenses is provided between a first member and a second member. The first member has a lower refractive index than each of the convex meniscus lenses and has convex surfaces conforming to respective concave surfaces of the convex meniscus lenses. The second member has a lower refractive index than each of the convex meniscus lenses and has concave surfaces conforming to respective convex surfaces of the convex meniscus lenses. The first member is provided between a group of the convex meniscus lenses and a group of the photoelectric conversion portions. | 02-13-2014 |
20140042577 | SOLID-STATE IMAGING DEVICE AND IMAGING APPARATUS - A first substrate has a plurality of photoelectric conversion units arranged in two dimensions. A second substrate has a plurality of photoelectric conversion units arranged in two dimensions. A plurality of photoelectric conversion units are arranged in a region of the second substrate corresponding to a region of the first substrate where one photoelectric conversion unit is arranged. The imaging signals based on signal charges stored in the photoelectric conversion units and the light field signals based on signal charges stored in the photoelectric conversion units are read. | 02-13-2014 |
20140042578 | SOLID-STATE IMAGING APPARATUS AND CAMERA USING THE SAME - A solid-state imaging device and one or more bare ICs disposed on a back face of a solid-state imaging apparatus. The bare ICs are sealed by a resin. A circuit board may be interposed between the solid-state imaging device and the bare ICs, or the solid-state imaging device and the ICs are directly bonded together. The IC chips may be disposed on the inner surface, mainly the ceiling surface, of a light-shielding case. The imaging apparatus may be within a package with a pinhole. | 02-13-2014 |
20140042579 | IMAGE SENSOR COMPRISING A DIGITAL-ALLOY MICROLENS ARRAY, AND MANUFACTURING METHOD THEREOF - The present invention relates to an image sensor comprising a microlens array, and to a manufacturing method thereof. The method of the present invention includes gradually increasing the aluminum composition ratio of a compound semiconductor as the latter gradually gets farther from a substrate, to enable a microlens-forming layer to grow, and making the oxidation rate of the region adjacent to the substrate slower and the oxidation rate of the region farther from the substrate faster, making the interface between the oxidized region and the unoxidized region into a lens shape after the completion of oxidation. The thus-made lens is integrated into an image sensor. The present invention reduces costs for manufacturing image sensors in which a microlens is integrated, increases the signal-to-noise ratio and resolution of the image sensor, and achieves improved sensitivity. | 02-13-2014 |
20140048898 | BACKSIDE ILLUMINATED CMOS IMAGE SENSOR - A backside illuminated (BSI) CMOS image sensor is disclosed. The BSI CMOS image sensor includes: a substrate having a front side and a back side, the substrate including a photodiode formed therein, the photodiode being proximate the back side of the substrate; a metal shielding layer covering the back side of the substrate, the metal shielding layer including an opening formed therein, the opening being arranged in correspondence with the photodiode; and a light-absorbing layer formed on each side face of the opening. The light-absorbing layer coated on the side faces of the opening prevents the occurrence of photon cross-talk and hence improves imaging quality of the BSI CMOS image sensor. | 02-20-2014 |
20140054736 | METHOD AND APPARATUS FOR REDUCING SIGNAL LOSS IN A PHOTO DETECTOR - Photonic structures and methods of formation are disclosed in which a photo detector interface having crystalline misfit dislocations is displaced with respect to a waveguide core to reduce effects of dark current on a detected optical signal. | 02-27-2014 |
20140054737 | SOLID-STATE IMAGING DEVICE AND METHOD FOR FABRICATING THE SAME - A solid-state imaging device includes: a substrate; an insulator layer formed on the substrate; a semiconductor layer formed on the insulator layer; and a silicon layer formed on the semiconductor layer. The silicon layer includes a plurality of pixels each including a photoelectric converter configured to convert light into signal charge, and a circuit configured to read the signal charge, and a refractive index of the insulator layer is lower than a refractive index of the semiconductor layer. | 02-27-2014 |
20140054738 | CURABLE RESIN COMPOSITION, OPTICAL MEMBER SET, METHOD OF PRODUCING THE SAME, AND SOLID STATE IMAGING DEVICE USING THE SAME - A curable resin composition, for forming a first optical member of an optical member set, the optical member having the first optical member and a second optical member covered with the first optical member, the first optical member being formed by curing a siloxane resin, comprising: a siloxane resin, a surfactant, and a solvent, the siloxane resin and the surfactant being contained in the solvent, the surfactant having a polyoxyalkylene structure, the siloxane resin being defined in 65% by mass to 100% by mass thereof having a particular polysilsesquioxane structure. | 02-27-2014 |
20140061833 | INFRARED MULTIPLIER FOR PHOTO-CONDUCTING SENSORS - Photo-conducting infrared sensors are provided including a substrate (e.g., silicon) with one or more trenches formed on a first surface. An infrared-reflective film can be deposited directly or indirectly onto and conforming in shape with the first surface of the substrate. A lead chalcogenide film can be deposited directly or indirectly over the top of the infrared-reflective film and conforming in shape with the first surface of the substrate. Accordingly, the infrared-reflective film is directly or indirectly sandwiched between the substrate and the lead chalcogenide film. | 03-06-2014 |
20140061834 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD AND DESIGNING METHOD THEREOF, AND ELECTRONIC DEVICE - A solid-state imaging device including pixel photododes on a light-receiving surface of a substrate; a first insulating film on the substrate covering a multilayer wiring on and in contact with the substrate. The first insulating film comprises material of a first refractive index lower than a refractive index of the substrate for at least bottom and top surface portions of the first insulating film. A second insulating film with a second refractive index higher than the first refractive index is on the first insulating film. A third insulating film with a third refractive index higher than the second refractive index is on the second insulating film. For each pixel, a color filter is on the third insulating film. | 03-06-2014 |
20140061835 | SEMICONDUCTOR LIGHT-DETECTING ELEMENT - Prepared is an n | 03-06-2014 |
20140061836 | COLOR FILTER ARRAY, IMAGERS AND SYSTEMS HAVING SAME, AND METHODS OF FABRICATION AND USE THEREOF - A pixel cell with a photosensitive region formed in association with a substrate, a color filter formed over the photosensitive region, the color filter comprising a first material layer and a second material layer formed in association with the first shaping material layer. | 03-06-2014 |
20140061837 | Image Sensor Including Multiple Lenses And Method Of Manufacture Thereof - A device includes an image sensing element. The device also includes a Silicon Dioxide (SiO | 03-06-2014 |
20140070348 | Methods and Apparatus for Sensor Module - Methods and apparatus for integrating a CMOS image sensor and an image signal processor (ISP) together using an interposer to form a system in package device module are disclosed. The device module may comprise an interposer with a substrate. An interposer contact is formed within the substrate. A sensor device may be bonded to a surface of the interposer, wherein a sensor contact is bonded to a first end of the interposer contact. An ISP may be connected to the interposer, by bonding an ISP contact in the ISP to a second end of the interposer contact. An underfill layer may fill a gap between the interposer and the ISP. A printed circuit board (PCB) may further be connected to the interposer by way of a solder ball connected to another interposer contact. A thermal interface material may be in contact with the ISP and the PCB. | 03-13-2014 |
20140070349 | Low Profile Image Sensor Package And Method - An image sensor package, and method of making same, that includes a printed circuit board having a first substrate with an aperture extending therethrough, one or more circuit layers, and a plurality of first contact pads electrically coupled to the one or more circuit layers. A sensor chip mounted to the printed circuit board and disposed at least partially in the aperture. The sensor chip includes a second substrate, a plurality of photo detectors formed on or in the second substrate, and a plurality of second contact pads formed at the surface of the second substrate which are electrically coupled to the photo detectors. Electrical connectors each electrically connect one of the first contact pads and one of the second contact pads. A lens module is mounted to the printed circuit board and has one or more lenses disposed for focusing light onto the photo detectors. | 03-13-2014 |
20140070350 | SENSOR SUBSTRATE, METHOD OF MANUFACTURING THE SAME AND SENSING DISPLAY PANEL HAVING THE SAME - A sensor substrate includes a blocking pattern disposed on a base substrate, a first electrode disposed on the base substrate and overlapping the blocking pattern , the first electrode including a plurality of first unit parts arranged in a first direction, each of the first unit parts including a plurality of lines connected to each other in a mesh-type arrangement, a color filter layer disposed on the base substrate, a plurality of contact holes defined in the color filter layer and exposing the first unit parts, and a bridge line between and connected to first unit parts adjacent to each other in the first direction, through the contact holes. | 03-13-2014 |
20140070351 | METHOD FOR MANUFACTURING OPTICAL WAVEGUIDE RECEIVER AND OPTICAL WAVEGUIDE RECEIVER - A method for manufacturing an optical waveguide receiver includes the steps of growing first and second stacked semiconductor layer sections, the second stacked semiconductor layer section including a core layer and a cladding layer; forming a first mask including first and second portions; etching the first and second stacked semiconductor layer sections by using the first mask, the first and second stacked semiconductor layer sections covered with the first portion being etched in a mesa structure, the second stacked semiconductor layer section covered with the second portion being etched in a terrace-shaped structure; removing the second portion from the first mask with the first portion left; selectively etching the cladding layer until exposing a surface of the core layer; and sequentially forming a first metal layer, an insulating film, and a second metal layer on the core layer exposed in the step of selectively etching the cladding layer. | 03-13-2014 |
20140077322 | Waveguide-Based Energy Converters, and Energy Conversion Cells Using Same - A layered waveguide stack radiant energy converter array having a plurality of superposed waveguides, each waveguide having a core layer having a radiant energy converter disposed therein, and two cladding layers disposed on opposing sides of the core. In some embodiments the conductive layers are electrically coupled to the converter and act as charge carriers for it, and in other wires are provided for individual converters. Each waveguide has at least one inlet for passage of radiant energy therethrough, the inlet extending between the cladding layers, such that radiant energy entering the waveguide impinges on at least two layers of the waveguide, the inlet further defining a minimum cutoff frequency for the energy to propagate in the waveguide. In some embodiments such as solar panels, energy is harvested. In other embodiments energy is detected such as for example is done in a camera focal plane sensor. In some embodiments the stack is used to emit light. | 03-20-2014 |
20140077323 | IMAGING SYSTEMS WITH BACKSIDE ILLUMINATED NEAR INFRARED IMAGING PIXELS - An imaging system may include an image sensor having backside illuminated near infrared image sensor pixels. Each pixel may be formed in a graded epitaxial substrate layer such as a graded n-type epitaxial layer. Each pixel may be separated from an adjacent pixel by an isolation trench formed in the graded epitaxial layer. The isolation trench may be a continuous isolation trench or may be formed from a combined front side isolation trench and backside isolation trench that are separated by a wall structure. A buried front side reflector may be provided that reflects light such as infrared light that has passed through a pixel back into the pixel, thereby effectively doubling the silicon absorption depth of the pixels. | 03-20-2014 |
20140077324 | SOLID-STATE IMAGE PICKUP DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGE PICKUP DEVICE, AND ELECTRONIC APPARATUS - A solid-state image pickup device includes: a plurality of pixels each including an organic photoelectric conversion layer; a sealing layer that covers the pixels; and a first lens section provided for each of the pixels and provided on a side, of the sealing layer, on which the organic photoelectric conversion layer is located. The first lens section is formed integrally with the sealing layer. | 03-20-2014 |
20140077325 | IMAGING PIXELS WITH IMPROVED PHOTODIODE STRUCTURES - A photodiodes may be formed on a substrate such as an imager substrate. The photodiode may include first and second layers in the substrate that form a p-n junction. The first layer may have a first doping type such as p-type doping, whereas the second layer may have a second, opposite doping type such as n-type doping. A counter-doping implant region may be provided that only partially overlaps with the second layer of the photodiode. The counter-doping implant region may have an opposite doping type to the second layer and may have a dopant concentration that is less than the dopant concentration of the second layer. The counter-doping implant region may extend into a third layer of the substrate that may have the same doping type of the second layer but at a lower concentration than the counter-doping implant region. | 03-20-2014 |
20140077326 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC EQUIPMENT - A solid-state imaging device includes a photoelectric transformation portion and a micro lens, the micro lens has a first refractive index layer which is a first refractive index and a second refractive index layer which is a second refractive index different from the first refractive index, wherein the micro lens is configured so that a vertical cross section, which is a surface perpendicular to the capturing surface, has a rectangular shape, wherein each of the first refractive index layer and the second refractive index layer are arranged adjacent to each other in a direction along the capturing surface, and an interface between the first refractive index layer and the second refractive index layer in the vertical cross section is formed so as to follow a direction perpendicular to the capturing surface. | 03-20-2014 |
20140084404 | IMAGING DEVICE - An imaging device includes: an R pixel that is made of an organic material and includes a photodiode on which an organic film color filter that transmits red light is formed; a G pixel that is made of an organic material and includes a photodiode on which an organic film color filter that transmits green light is formed; a B pixel that is made of an organic material and includes a photodiode on which an organic film color filter that transmits blue light is formed; and an FPF pixel including a photodiode on which a Fabry-Perot filter in which an intermediate layer interposed between dielectric layers is made of a material that transmits light is formed. The R pixel, the G pixel the B pixel, and the FPF pixel are periodically arranged. | 03-27-2014 |
20140084405 | IMAGING APPARATUS - An imaging apparatus comprising an optically transmissive first substrate which includes a first surface, a plurality of lenses which oppose the first surface and focus incident light from the opposite side to the first substrate, a light emitting layer on a surface of the first surface, and a plurality of light receiving elements which are arranged on the opposite side to the plurality of lenses so as to interpose the first substrate. | 03-27-2014 |
20140084406 | METHOD OF MANUFACTURING SOLID STATE IMAGING DEVICE, AND SOLID STATE IMAGING DEVICE - Disclosed herein is a method of manufacturing a solid state imaging device, including the steps of: forming a light receiving portion in a light receiving area of a semiconductor substrate; forming a pad portion in a pad area of the semiconductor substrate; forming a microlens material layer over the light receiving portion and the pad portion; providing the microlens material layer with a microlens corresponding to the light receiving portion; forming a low-reflection material layer on the microlens material layer; etching the microlens material layer and the low-reflection material layer over the pad portion to form an opening; and imparting hydrophilicity to a surface of the low-reflection material layer and an inside portion of the opening by a normal temperature oxygen radical treatment. | 03-27-2014 |
20140091415 | SOLID-STATE IMAGING APPARATUS, MANUFACTURING METHOD FOR THE SAME, AND ELECTRONIC APPARATUS - A solid-state imaging apparatus includes a semiconductor substrate, an upper layer film, and on-chip lenses. On the semiconductor substrate, a plurality of pixels are formed. The upper layer film is laminated on the semiconductor substrate. The on-chip lenses are formed on the upper layer film so as to correspond to the respective pixels. A pupil correction amount of one of the on-chip lenses is changed depending on a distance between a center of a pixel area and the on-chip lens, and depending on a film thickness of the upper layer film at a position of the on-chip lens on the upper layer film. | 04-03-2014 |
20140091416 | PHOTOELECTRIC CONVERSION APPARATUS AND MANUFACTURING METHOD FOR A PHOTOELECTRIC CONVERSION APPARATUS - A photoelectric conversion apparatus has multiple photoelectric converting units disposed in a semiconductor substrate, and isolation portions disposed in the semiconductor substrate. Each photoelectric converting unit includes a second semiconductor region, a third semiconductor region, disposed below the second semiconductor region and a fourth semiconductor region disposed below the third semiconductor region. Each isolation portion includes a fifth semiconductor region, placed deeper than the surface of the semiconductor substrate and at least extending laterally to the second semiconductor region, containing a first conductivity type impurity, and a sixth semiconductor region, below the fifth semiconductor region and at least extending laterally to the third semiconductor region, containing the first conductivity type impurity, and the diffusion coefficient of the impurity contained in the fifth semiconductor region is lower than the diffusion coefficient of the impurity contained in the sixth semiconductor region. | 04-03-2014 |
20140091417 | LOW REFRACTIVE INDEX COATING DEPOSITED BY REMOTE PLASMA CVD - A method of depositing a low refractive index coating on a photo-active feature on a substrate comprises forming a substrate having one or more photo-active features thereon and placing the substrate in a process zone. A deposition gas is energized in a remote gas energizer, the deposition gas comprising a fluorocarbon gas and an additive gas. The remotely energized deposition gas is flowed into the process zone to deposit a low refractive index coating on the substrate. | 04-03-2014 |
20140091418 | COLOR FILTER, CCD SENSOR, CMOS SENSOR, ORGANIC CMOS SENSOR, AND SOLID-STATE IMAGE SENSOR - A color filter includes: a red pixel in which a transmittance of a light having a wavelength of 400 nm is 15% or less, and a transmittance of a light having a wavelength of 650 nm is 90% or more; a green pixel in which a transmittance of a light having a wavelength of 450 nm is 5% or less, and a transmittance of a light having a wavelength within a range of from 500 nm to 600 nm is 90% or more; and a blue pixel in which a transmittance of a light having a wavelength of 450 nm is 85% or more, a transmittance of a light having a wavelength of 500 nm is from 10% to 50%, and a transmittance of a light having a wavelength of 700 nm is 10% or less. | 04-03-2014 |
20140091419 | OPTICAL FILTER, SOLID-STATE IMAGING ELEMENT, IMAGING DEVICE LENS AND IMAGING DEVICE - The present invention relates to an optical filter, a solid-state imaging element and an imaging device lens which contain a near infrared ray absorbing layer having a specific near infrared ray absorbing dye dispersed in a transparent resin having a refractive index of 1.54 or more, and also relates to an imaging device containing the solid-state imaging element or the imaging device lens. The near infrared ray absorbing layer has a transmittance of visible light of from 450 to 600 nm of 70% or more, a transmittance of light in a wavelength region of from 695 to 720 nm of not more than 10%, and an amount of change of transmittance of not more than −0.8 | 04-03-2014 |
20140097510 | PHOTODIODE AND METHOD FOR PRODUCING THE SAME, PHOTODIODE ARRAY, SPECTROPHOTOMETER AND SOLID-STATE IMAGING DEVICE - Provided is a photodiode having a high-concentration layer on its surface, in which the high-concentration layer is formed so that the thickness of a non-depleted region is larger than the roughness of an interface between silicon and an insulator layer, and is smaller than a penetration depth of ultraviolet light. | 04-10-2014 |
20140103476 | METHOD FOR MAKING IMAGE SENSORS USING WAFER-LEVEL PROCESSING AND ASSOCIATED DEVICES - A method of making image sensor devices may include forming a sensor layer including image sensor ICs in an encapsulation material, bonding a spacer layer to the sensor layer, the spacer layer having openings therein and aligned with the image sensor ICs, and bonding a lens layer to the spacer layer, the lens layer including lens in an encapsulation material and aligned with the openings and the image sensor ICs. The method may also include dicing the bonded-together sensor, spacer and lens layers to provide the image sensor devices. Helpfully, the method may use WLP to enhance production. | 04-17-2014 |
20140103477 | SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - A solid-state imaging device with a pixel region in which a plurality of pixels with photoelectric conversion films are arrayed and pixel isolation portions are interposed between the plurality of pixels. The photoelectric conversion film is a chalcopyrite-structure compound semiconductor composed of a copper-aluminum-gallium-indium-sulfur-selenium based mixed crystal or a copper-aluminum-gallium-indium-zinc-sulfur-selenium based mixed crystal, and is disposed on a silicon substrate in such a way as to lattice-match the silicon substrate concerned. The pixel isolation portion is a compound semiconductor subjected to doping concentration control or composition control so as to become a potential barrier between the photoelectric conversion films. | 04-17-2014 |
20140103478 | SOLID-STATE IMAGING DEVICE AND IMAGING APPARATUS - A solid-state imaging device includes: unit pixels each having a light-receiving element which is divided into line widths shorter than or equal to a wavelength of light; a plurality of light-transmissive films in a concentric structure; and an effective refractive index distribution. Among the light-transmissive films, a light-transmissive film closest to a center of the concentric structure has an outer edge in a shape of a true circle, and a light-transmissive film far from the center of the concentric structure has an outer edge in a shape of an oval, a ratio of a long axis to a short axis of the oval increases as the light-transmissive film is farther away from the center of the concentric structure, and a direction of the long axis of the oval is orthogonal to a vector which connects the center of the concentric structure and a center of the solid-state imaging device. | 04-17-2014 |
20140110805 | SILICON LIGHT TRAP DEVICES, SYSTEMS AND METHODS - Embodiments relate to buried structures for silicon devices which can alter light paths and thereby form light traps. Embodiments of the lights traps can couple more light to a photosensitive surface of the device, rather than reflecting the light or absorbing it more deeply within the device, which can increase efficiency, improve device timing and provide other advantages appreciated by those skilled in the art. | 04-24-2014 |
20140110806 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE - According to one embodiment, a solid-state imaging device includes a photoelectric conversion element, a fixed charge layer, a silicon nitride film, and a silicon oxide film. The photoelectric conversion element performs photoelectric conversion of converting incident light into the amount of charges corresponding to the amount of received light, and accumulates the charges. The fixed charge layer is formed on a light receiving surface side of the photoelectric conversion element, and holds negative fixed charges. The silicon nitride film is formed on a light receiving surface side of the fixed charge layer. The silicon oxide film is formed between the fixed charge layer and the silicon nitride film. | 04-24-2014 |
20140110807 | CAMERA MODULE - A camera module has a sensor chip including a sensor unit formed on a main surface around which sides are disposed. A lens chip is fixed to the sensor chip with a spacer unit and includes a lens unit corresponding to the sensor unit. A light shieldable layer covers a first side of the sensor chip and a side of the spacer unit. A first cutting surface includes a second side of the sensor chip and a side of the light shieldable layer on a same plane. | 04-24-2014 |
20140110808 | PHOTODIODE AND PHOTODIODE ARRAY - A photodiode array PDA | 04-24-2014 |
20140117479 | Chip Module Structure for Particles Protection - The present invention provides a chip module structure for particles protection. The structure includes a substrate. A chip is configured on the substrate, with a sensing area. A holder is disposed on the substrate, wherein the holder has a first rib. A transparent material is disposed on the holder, substantially aligning to the sensing area. A lens holder is disposed on the holder, and a lens is configured on the lens holder, substantially aligning to the transparent material and the sensing area. The lens has a second rib, wherein the second rib is disposed corresponding to the first rib for blocking particles entering into the chip module structure. | 05-01-2014 |
20140117480 | HOLDER ON CHIP MODULE STRUCTURE - The present invention provides a holder on chip module structure including a substrate. A chip is configured on the substrate, with a sensing area. A holder is disposed on the substrate, wherein a portion of the holder is directly contacted to the chip to reduce the tilt between the chip and the holder. A transparent material is disposed on the holder, substantially aligning to the sensing area. A lens holder is disposed on the holder, and a lens is configured on the lens holder, substantially aligning to the transparent material and the sensing area. | 05-01-2014 |
20140117481 | SOLID-STATE IMAGING APPARATUS AND IMAGING SYSTEM - A solid-state imaging apparatus comprising a semiconductor layer, a first region on a side of a first surface of the semiconductor layer, and a second region on a side of a second surface of the semiconductor layer, wherein photoelectric conversion portions are arrayed in the semiconductor layer, lens portions are arrayed in the first region, and an interconnection pattern and reflection portions are arrayed in the second region, in one of pixels of the apparatus, the apparatus has a structure in which sectional areas, on the second surface and on a reflection surface of the reflection portion, of the light beam traveling from the photoelectric conversion portion, are larger than a sectional area, in a portion between the photoelectric conversion portion and the reflection portion, of the light beam traveling from the photoelectric conversion portion. | 05-01-2014 |
20140117482 | METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - A solid-state imaging device with (a) a substrate, (b) a plurality of photoelectric conversion elements carried on the substrate, (c) a respective plurality of color filters respectively overlying the photoelectric conversion elements, and (d) a mixed color prevention layer between the color filters, the mixed color prevention layer having a reflectivity higher than any of the those of the color filters or an index of refraction lower than that of any of those of the color filters. | 05-01-2014 |
20140124887 | Module Structure with Partial Pierced Substrate - The present invention provides a module structure comprising a substrate with a partial pierced region. A main chip has a sensing area. At least one component is included, wherein the main chip, the at least one component and the substrate are located at the same level. A holder is disposed on the substrate. A transparent material is disposed on the holder, substantially aligning to the sensing area. A lens holder is disposed on the holder, and a lens is configured on the lens holder, substantially aligning to the transparent material and the sensing area. | 05-08-2014 |
20140124888 | Image Sensor and Method for Manufacturing the Same - An image sensor having a pixel region, a logic region, and an analog region, that includes a photodiode region in a substrate in the pixel region, an insulating layer on the substrate containing a zero wiring layer in the pixel region, a first wiring layer in the pixel region, the logic region, and the analog region, and a second wiring layer in the logic region and the analog region, a first trench in a portion of the insulating layer in the pixel region, second trenches in a bottom of the first trench to match to the photodiode region, color filter layers in respective second trenches, and microlenses on respective color filter layers. | 05-08-2014 |
20140131825 | Edge Illuminated Photodiodes - This invention comprises plurality of edge illuminated photodiodes. More specifically, the photodiodes of the present invention comprise novel structures designed to minimize reductions in responsivity due to edge surface recombination and improve quantum efficiency. The novel structures include, but are not limited to, angled facets, textured surface regions, and appropriately doped edge regions. | 05-15-2014 |
20140138784 | PHOTODETECTORS USEFUL AS AMBIENT LIGHT SENSORS AND METHODS FOR USE IN MANUFACTURING THE SAME - Photodetectors, methods for use in manufacturing photodetectors, and systems including photodetectors, are described herein. In an embodiment, a photodetector includes a plurality of photodiode regions, at least some of which are covered by an optical filter. A plurality of metal layers are located between the photodiode regions and the optical filter. The metal layers include an uppermost metal layer that is closest to the optical filter and a lowermost metal layer that is closest to the photodiode regions. One or more inter-level dielectric layers separate the metal layers from one another. Each of the metal layers includes one or more metal portions and one or more dielectric portions. The uppermost metal layer is devoid of any metal portions underlying the optical filter. | 05-22-2014 |
20140138785 | PIXEL ISOLATION ELEMENTS, DEVICES, AND ASSOCIATED METHODS - Light trapping pixels, devices incorporating such pixels, and various associated methods are provided. In one aspect, for example, a light trapping pixel device can include a light sensitive pixel having a light incident surface, a backside surface opposite the light incident surface, and a peripheral sidewall disposed into at least a portion of the pixel and extending at least substantially around the pixel periphery. The pixel can also include a backside light trapping material substantially covering the backside surface and a peripheral light trapping material substantially covering the peripheral sidewall. The light contacting the backside light trapping material or the peripheral light trapping material is thus reflected back toward the pixel. | 05-22-2014 |
20140138786 | HIGH DENSITY CAPACITOR INTEGRATED INTO FOCAL PLANE ARRAY PROCESSING FLOW - Methods and structures of photodetectors are described. The structure may include a readout integrated circuit substrate having an internally integrated capacitor. The structure may additionally include an external capacitor overlying the readout integrated circuit substrate. The external capacitor may be coupled with the internally integrated capacitor of the readout integrated circuit substrate, and configured to operate in parallel with the internally integrated capacitor of the readout integrated circuit substrate. The structure may also include a detector overlying the external capacitor. | 05-22-2014 |
20140138787 | Avalanche Photodetector Element - An avalanche photodetector element is disclosed for converting an optical signal to an electrical signal, comprising an input waveguide and a photodetector region, the photodetector region comprising at least one intrinsic region, at least one p-doped region and at least one n-doped region, the doped regions and the at least one intrinsic region forming at least one PIN-junction avalanche photodiode, the input waveguide and the photodetector region being arranged with respect to each other such that the optical signal conducted by the input waveguide is substantially conducted into the photodetector region to the PIN-junction avalanche photodiode, the PIN-junction avalanche photodiode converting the optical signal to an electrical signal, characterized in that the photodetector region comprises more than one p-doped region and/or n-doped region, whereby these p-doped regions and/or n-doped regions are physically arranged as an array. | 05-22-2014 |
20140145282 | IMAGE SENSOR AND PROCESS THEREOF - An image sensor includes a plurality of color filters and an anti-reflective layer. The color filters are located on a substrate. The anti-reflective layer is located between the substrate and the color filters, and parts of the anti-reflective layer corresponding to at least two of the color filters have different thicknesses. Moreover, an image sensing process including the following steps is also provided. An anti-reflective layer is formed on a substrate. A plurality of color filters is formed on the anti-reflective layer, wherein parts of the anti-reflective layer right below at least two of the color filters have different thicknesses. | 05-29-2014 |
20140145283 | Photodiode with Concave Reflector - A photodiode structure includes a photodiode and a concave reflector disposed below the photodiode. The concave reflector is arranged to reflect incident light from above back toward the photodiode. | 05-29-2014 |
20140145284 | PHOTODIODE FOR AN IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - A photodiode for an image sensor and a method of fabricating the photodiode are disclosed. The photodiode includes a substrate having a surface defined as a light-incident surface of the photodiode, wherein a plurality of convex structures are provided on the light-incident surface of the photodiode, namely, a non-planar light-incident surface which is capable of reducing the light reflection and hence improving the ability of the photodiode to capture incident light, thereby enabling an image sensor that incorporates the photodiode to have a higher fill factor and a better performance. | 05-29-2014 |
20140145285 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solid state imaging device includes a substrate having a plurality of pixels and a plurality of on-chip lenses arranged above the substrate, each on-chip lens having a lens surface formed by subjecting a transparent photosensitive film to exposure using a mask having a gradation pattern and development so that the lens surface serves to correct shading in accordance with the gradation pattern. | 05-29-2014 |
20140145286 | COLORED COMPOSITION, METHOD OF PRODUCING COLOR FILTER USING THE SAME, COLOR FILTER AND SOLID-STATE IMAGING DEVICE - The invention is directed to a colored composition containing a coloring agent and a resin, wherein a content of the coloring agent to a total solid content of the colored composition is 50% by weight or more and a solid content acid value of the resin is more than 80 mg KOH/g, and a method of producing a color filter including forming a first colored layer containing a first colored composition and patterning with dry etching so as to from a through-hole group in the first colored layer, wherein the first colored composition is the colored composition as defined herein. | 05-29-2014 |
20140145287 | SOLID-STATE IMAGE SENSOR - An image sensor includes a first pixel having a first color filter, a first reflection region which reflects light from the first color filter, and a first photoelectric conversion portion arranged in a semiconductor layer and located between the first color filter and the first reflection region, and a second pixel including a second color filter, a second reflection region which reflects light from the second color filter, and a second photoelectric conversion portion arranged in the semiconductor layer and located between the second color filter and the second reflection region. Wavelength corresponding to a maximum transmittance of the first color filter is shorter than wavelength corresponding to a maximum transmittance of the second color filter. An area of the first reflection region is smaller than area of the second reflection region. | 05-29-2014 |
20140151833 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device according to an exemplary embodiment includes a first substrate, a photoelectric conversion layer disposed above the first substrate, a second substrate which is different from the first substrate and disposed on the photoelectric conversion layer, and a nano pillar layer disposed above the second substrate in which the nano pillar layer includes a plurality of nano pillars which is spaced apart from each other, so as to easily absorb the light. | 06-05-2014 |
20140151834 | MEMS Infrared Sensor Including a Plasmonic Lens - A method of fabricating a semiconductor device includes forming an absorber on a substrate, and supporting a cap layer over the substrate to define a cavity between the substrate and the cap layer in which the absorber is located. The method further includes forming a lens layer on the cap layer. The lens layer is spaced apart from the cavity and defines a plurality of grooves and an opening located over the absorber. | 06-05-2014 |
20140151835 | BACKSIDE ILLUMINATED IMAGE SENSORS AND METHOD OF MAKING THE SAME - A backside illuminated image sensor includes a substrate with a substrate depth, where the substrate includes a pixel region and a peripheral region. The substrate further includes a front surface and a back surface. The backside illuminated image sensor includes a first isolation structure formed in the pixel region of the substrate, where a bottom of the first isolation structure is exposed at the back surface of the substrate. The backside illuminated image sensor includes a second isolation structure formed in the peripheral region of the substrate, where the second isolation structure has a depth less than a depth of the first isolation structure. The backside illuminated image sensor includes an implant region adjacent to at least a portion of sidewalls of each isolation structure in the pixel region. | 06-05-2014 |
20140151836 | OPTICAL SEMICONDUCTOR APPARATUS - The optical semiconductor apparatus includes, on an n-GaAs substrate, a surface-emitting semiconductor laser device and a photodiode integrated on the periphery of the laser device with an isolation region interposed there between. The laser device is composed of an n-DBR mirror, an active region, and a p-DBR mirror and includes a columnar layered structure with its sidewall covered with an insulating film. The photodiode is formed on the substrate and has a circular layered structure wherein an i-GaAs layer and a p-GaAs layer surrounds the laser device with an isolating region interposed between the i-GaAs and p-GaAs layers and the laser device. The diameter of the photodiode is smaller than the diameter of the optical fiber core optically coupled with the optical semiconductor apparatus. Since the laser device and the photodiode are monolithically integrated, the devices do not require optical alignment, and thus, facilitate optical coupling with an optical fiber. | 06-05-2014 |
20140151837 | IMAGE SENSOR - An image sensor includes an objective lens arranged on an optical axis; a substrate including a plurality of photoelectric conversion devices; and a micro lens layer including a plurality of micro lenses corresponding to each of the plurality of photoelectric conversion devices, respectively, wherein the plurality of micro lenses includes a central micro lens corresponding to a central portion of the objective lens, and an edge micro lens corresponding to an edge portion of the objective lens, and the plurality of micro lenses are configured such that focal lengths of the micro lenses increase from the central micro lens toward the edge micro lens. | 06-05-2014 |
20140159182 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE HAVING AN UNDULATING REFLECTIVE SURFACE OF AN ELECTRODE - A method for manufacturing a semiconductor device includes providing a substrate and a back electrode disposed between the substrate and an active semiconductor layer. The back electrode has a reflective layer that is reflective to at least one wavelength of light and includes a reflective surface having an undulating profile that includes peaks and valleys. The method includes depositing a filler layer onto the reflective layer of the back electrode. The filler layer at least partially fills one or more of the valleys of the reflective surface. The filler layer is transmissive to the at least one wavelength of light such that the at least one wavelength of light can pass through the filler layer to the reflective layer. The method includes depositing the active semiconductor layer onto the filler layer such that the filler layer and the back electrode are disposed between the substrate and the active semiconductor layer. | 06-12-2014 |
20140159183 | HIGH-EFFICIENCY BANDWIDTH PRODUCT GERMANIUM PHOTODETECTOR - A high-efficiency bandwidth product germanium photodetector includes a silicon substrate having an opening-down three-sided groove formed by etching; a metallic reflective mirror layer formed by plating along an internal periphery of the opening-down three-sided groove of the silicon substrate; a light absorbent layer between the metallic reflective mirror layer and a dielectric reflective mirror layer. The light absorbent layer can be p-i-n type or other types. By the use of the critical coupling of resonant cavity, all the incident lights can be completely obstructed in the cavity between the metallic reflective mirror layer and the dielectric reflective mirror layer to reach a critical coupling which means 100% absorption efficiency can be achieved without light leakage. Thus on the basis of the critical coupling, the trade-off between bandwidth and efficiency can be broken through to reach high responsivity and high bandwidth up to 50 GHz by decreasing the germanium layer thickness without sacrificing the light-switch-to-electro absorption efficiency. | 06-12-2014 |
20140159184 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor includes a substrate including a plurality of unit pixel regions, a color filter formed over the substrate so as to correspond to each of the unit pixel regions, and a light absorption unit formed in the substrate under the color filter. | 06-12-2014 |
20140167195 | OPTIMIZING GEOMETRIC FILL FACTOR IN PRISM-COUPLED WAVEGUIDE-FED SOLAR COLLECTOR - A prism coupled waveguide-fed solar collector array optimized for geometric fill factor. An integrated linear array of prisms is arranged with their input faces in a common plane. The exit faces of the prisms each feds a corresponding optical waveguide and detector. | 06-19-2014 |
20140167196 | OPTICAL MODULES INCLUDING FOCAL LENGTH ADJUSTMENT AND FABRICATION OF THE OPTICAL MODULES - Fabricating optical devices can include mounting a plurality of singulated lens systems over a substrate, adjusting a thickness of the substrate below at least some of the lens systems to provide respective focal length corrections for the lens systems, and subsequently separating the substrate into a plurality of optical modules, each of which includes one of the lens systems mounted over a portion of the substrate. Adjusting a thickness of the substrate can include, for example, micro-machining the substrate to form respective holes below at least some of the lens systems or adding one or more layers below at least some of the lens systems so as to correct for variations in the focal lengths of the lens systems. | 06-19-2014 |
20140175586 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor and a method for fabricating the image sensor are provided. The image sensor includes a substrate having a plurality of unit pixel regions. A light absorption layer is formed on the substrate. A plurality of overlapping color filters are formed on the light absorbing layer. | 06-26-2014 |
20140175587 | DEVICES AND METHODS FOR ABSORBING LIGHT - A light-absorbing device and method employ a series of photon-absorbing semiconductor substructures. A first semiconductor substructure provides first and second energy states. A difference between the first and second states being such as to cause an electron to be promoted from the first state to the second state upon absorption of a photon of a first energy. A second semiconductor substructure provides third and fourth energy states. The third state is arranged to receive the electron from the second state. A difference between the third and fourth states being such as to cause the electron to be promoted from the third state to the fourth state upon absorption of a subsequent photon of a second energy. The third state has a lower energy than the second state, such as to cause the electron to dissipate energy as it passes from the second state to the third state. | 06-26-2014 |
20140175588 | SUSPENSION AND ABSORBER STRUCTURE FOR BOLOMETER - A semiconductor device includes a substrate having an upper surface that defines a sensing region. A fixed beam structure is supported at a first level above the sensing region. The fixed beam structure includes fixed beam supports that extend upwardly from the upper surface of the substrate to position the fixed beam structure at the first level above the sensing region. An absorber structure is supported above the fixed beam structure at a second level above the sensing region. The absorber structure includes a pillar support that extends upwardly from the fixed beam structure to position the absorber structure at the second level above the sensing region. | 06-26-2014 |
20140175589 | LIGHT RECEIVING DEVICE - A light receiving element includes a core configured to propagate a signal light, a first semiconductor layer having a first conductivity type, the first semiconductor layer being configured to receive the signal light from the core along a first direction in which the core extends, an absorbing layer configured to absorb the signal light received by the first semiconductor layer, and a second semiconductor layer having a second conductivity type opposite to the first conductivity type. | 06-26-2014 |
20140183677 | OPTICAL SEMICONDUCTOR DEVICE - The present invention is intended to provide a compact and simple optical semiconductor device that reduces crosstalk (leakage current) between light receiving elements. According to the present invention, since a back surface electrode is a mirror-like thin film, crosstalk to an adjacent light receiving element can be suppressed, thereby reducing a detection error of a light intensity. By disposing a patterned back surface electrode or by disposing an ohmic electrode at the bottom of an insulating film over the whole back surface, contact resistance on the back surface can be reduced. By using the optical semiconductor elements with a two-dimensional arrangement and by using a mirror-like thin film as the back surface electrode, crosstalk can be reduced. By accommodating the optical semiconductor elements in the housing in a highly hermetic condition, the optical semiconductor elements can be protected from an external environment. | 07-03-2014 |
20140191349 | SOLID-STATE IMAGING APPARATUS AND METHOD OF MANUFACTURING THE SAME - The present invention provides a solid-state imaging apparatus which has hollow portions provided around each of color filters and achieves the prevention of the peeling of each of the color filters. The solid-state imaging apparatus having a plurality of light receiving portions provided on a semiconductor substrate includes: a plurality of color filters arranged correspondingly to each of the plurality of light receiving portions; and hollow portions formed around each of the plurality of color filters, wherein each of the color filters has one peripheral part contacting with adjacent one or more of the color filters. | 07-10-2014 |
20140191350 | IMAGE SENSOR CHIP PACKAGE AND FABRICATING METHOD THEREOF - An image sensor chip package is disclosed, which includes a substrate, an image sensor component formed on the substrate, a spacer formed on the substrate and surrounding the image sensor component, and a transparent plate. A stress notch is formed on a side of the transparent plate, and a breaking surface is extended from the stress notch. A method for fabricating the image sensor chip package is also disclosed. | 07-10-2014 |
20140191351 | MINIATURE PHASE-CORRECTED ANTENNAS FOR HIGH RESOLUTION FOCAL PLANE THz IMAGING ARRAYS - An imaging/detection device includes a hemispherical lens having a surface opposite a curvature of the hemispherical lens, where the hemispherical lens defines an optical axis. The imaging/detection device also includes a plurality of detectors arranged on a focal plane array that is positioned near the surface of the hemispherical lens. Each of the detectors respectively includes a diode and an antenna monolithically integrated with the diode. Additionally, at least one of the detectors is offset by a distance from the optical axis of the hemispherical lens and is configured such that a radiating pattern of the respective antenna is tilted by an angle and directed toward the optical axis of the hemispherical lens. A maximum direction of the radiating pattern of the respective antenna is related to the distance by which the detector is offset from the optical axis of the hemispherical lens. | 07-10-2014 |
20140197507 | BURIED WAVEGUIDE PHOTODETECTOR - A method of forming an integrated photonic semiconductor structure having a photodetector and a CMOS device may include forming the CMOS device on a first silicon-on-insulator region, forming a silicon optical waveguide on a second silicon-on-insulator region, and forming a shallow trench isolation (STI) region surrounding the silicon optical waveguide such that the shallow trench isolation electrically isolating the first and second silicon-on-insulator region. Within a first region of the STI region, a first germanium material is deposited adjacent a first side wall of the semiconductor optical waveguide. Within a second region of the STI region, a second germanium material is deposited adjacent a second side wall of the semiconductor optical waveguide, whereby the second side wall opposes the first side wall. The first and second germanium material form an active region that evanescently receives propagating optical signals from the first and second side wall of the semiconductor optical waveguide. | 07-17-2014 |
20140197508 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor comprises a substrate, a plurality of image sensing elements and a first inorganic optical layer, wherein the substrate has an active region; the image sensing elements are disposed in the active region; and the first inorganic optical layer covers the image sensing elements and has at least two adjacent edges for forming an angle greater than 90 degrees (90°). | 07-17-2014 |
20140197509 | PHOTOSENSITIVE IMAGING DEVICES AND ASSOCIATED METHODS - Backside illuminated photosensitive devices and associated methods are provided. In one aspect, for example, a backside-illuminated photosensitive imager device can include a semiconductor substrate having multiple doped regions forming a least one junction, a textured region coupled to the semiconductor substrate and positioned to interact with electromagnetic radiation, and a passivation region positioned between the textured region and the at least one junction. The passivation region is positioned to isolate the at least one junction from the textured region, and the semiconductor substrate and the textured region are positioned such that incoming electromagnetic radiation passes through the semiconductor substrate before contacting the textured region. Additionally, the device includes an electrical transfer element coupled to the semiconductor substrate to transfer an electrical signal from the at least one junction. | 07-17-2014 |
20140197510 | CONVERSION STRUCTURE, IMAGE SENSOR ASSEMBLY AND METHOD FOR FABRICATING CONVERSION STRUCTURE - An electromagnetic wave conversion structure consists of a substrate, a plurality of electromagnetic wave conversion units forming a two-dimensional array, a reflective film and a plurality of reflective layers. The substrate has a first surface and a second surface disposed opposite to the first surface. The second surface consists of a plurality of trenches formed in the body of the substrate. Each electromagnetic wave conversion units is disposed in each trench, is used to absorb first electromagnetic waves with a first wavelength and is used to emit second electromagnetic waves with a second wavelength. The first wavelength is shorter than the second wavelength. The reflective film covers the first surface of the substrate and is used to reflect the second electromagnetic wave. Each of the reflective layers is disposed on the sidewall of each trench of the corresponding electromagnetic wave conversion unit. | 07-17-2014 |
20140197511 | METHODS FOR FORMING BACKSIDE ILLUMINATED IMAGE SENSORS WITH FRONT SIDE METAL REDISTRIBUTION LAYERS - Methods for forming backside illuminated (BSI) image sensors having metal redistribution layers (RDL) and solder bumps for high performance connection to external circuitry are provided. In one embodiment, a BSI image sensor with RDL and solder bumps may be formed using a temporary carrier during manufacture that is removed prior to completion of the BSI image sensor. In another embodiment, a BSI image sensor with RDL and solder bumps may be formed using a permanent carrier during manufacture that partially remains in the completed BSI image sensor. A BSI image sensor may be formed before formation of a redistribution layer on the front side of the BSI image sensor. A redistribution layer may, alternatively, be formed on the front side of an image wafer before formation of BSI components such as microlenses and color filters on the back side of the image wafer. | 07-17-2014 |
20140203388 | OPTICAL SENSOR WITH INTEGRATED PINHOLE - An optical sensor includes a semiconductor substrate having a first conductive type. The optical sensor further includes a photodiode disposed on the semiconductor substrate and a metal layer. The photodiode includes a first semiconductor layer having the first conductive type and a second semiconductor layer, formed on the first semiconductor layer, including a plurality of cathodes having a second conductive type. The first semiconductor layer is configured to collect photocurrent upon reception of incident light. The cathodes are configured to be electrically connected to the first semiconductor layer and the second semiconductor layer is configured to, based on the collected photocurrent, to track the incident light. The metal layer further includes a pinhole configured to collimate the incident light, and the plurality of cathodes form a rotational symmetry of order n with respect to an axis of the pinhole | 07-24-2014 |
20140203389 | Solid-State Photodetector Pixel and Photodetecting Method - A pixel is formed in a semiconductor substrate (S) with a plane surface for use in a photodetector. It comprises an active region for converting incident light (In) into charge carriers, photogates (PGL, PGM, PGR) for generating a lateral electric potential (Φ(x)) across the active region, and an integration gate (IG) for storing charge carriers generated in the active region and a dump site (Ddiff). The pixel further comprises separation-enhancing means (SL) for additionally enhancing charge separation in the active region and charge transport from the active region to the integration gate (IG). The separation-enhancing means (SL) are for instance a shield layer designed such that for a given lateral electric potential (Φ(x)), the incident light (In) does not impinge on the section from which the charge carriers would not be transported to the integration gate (IG). | 07-24-2014 |
20140203390 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS - An solid-state imaging device includes a pixel region formed on a semiconductor substrate, an effective pixel region and a shielded optical black region in the pixel region, a multilayer wiring layer formed on a surface of the side opposite to a light incident side of the semiconductor substrate, a supporting substrate bonded to a surface of the multilayer wiring layer side, and an antireflection structure that is formed on the bonding surface side of the supporting substrate. | 07-24-2014 |
20140210028 | COLOR FILTER INCLUDING CLEAR PIXEL AND HARD MASK - Embodiments of an apparatus including a color filter arrangement formed on a substrate having a pixel array formed therein. The color filter arrangement includes a clear filter having a first clear hard mask layer and a second clear hard mask layer formed thereon, a first color filter having the first clear hard mask layer and the second hard mask layer formed thereon, a second color filter having the first clear hard mask layer formed thereon, and a third color filter having no clear hard mask layer formed thereon. Other embodiments are disclosed and claimed. | 07-31-2014 |
20140210029 | Backside Illumination Image Sensor Chips and Methods for Forming the Same - A die includes a first plurality of edges, and a semiconductor substrate in the die. The semiconductor substrate includes a first portion including a second plurality of edges misaligned with respective ones of the first plurality of edges. The semiconductor substrate further includes a second portion extending from one of the second plurality of edges to one of the first plurality of edges of the die. The second portion includes a first end connected to the one of the second plurality of edges, and a second end having an edge aligned to the one of the first plurality of edges of the die. | 07-31-2014 |
20140210030 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device includes: a photoelectric conversion section made of semiconductor; a color filter made of an inorganic material to which a metal ion is added; and a getter film formed between the photoelectric conversion section and the color filter and configured to trap the metal ion. | 07-31-2014 |
20140210031 | VARIABLE OPTICAL FILTER AND A WAVELENGTH-SELECTIVE SENSOR BASED THEREON - A variable optical filter is disclosed including a bandpass filter and a blocking filter. The bandpass filter includes a stack of alternating first and second layers, and the blocking filter includes a stack of alternating third and fourth layers. The first, second and fourth materials each comprise different materials, so that a refractive index of the first material is smaller than a refractive index of the second material, which is smaller than a refractive index of the fourth material; while an absorption coefficient of the second material is smaller than an absorption coefficient of the fourth material. The materials can be selected to ensure high index contrast in the blocking filter and low optical losses in the bandpass filter. The first to fourth layers can be deposited directly on a photodetector array. | 07-31-2014 |
20140210032 | SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - The present invention relates to a solid-state imaging device having good focusing properties, a method for manufacturing such a solid-state imaging device, and an electronic apparatus. The solid-state imaging device has a semiconductor substrate | 07-31-2014 |
20140210033 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - In a pixel unit of a solid-state imaging device, a semiconductor substrate is provided with a plurality of photodiodes, a first insulating film includes a recess in a portion above each of the photodiodes, a second insulating film embeds the recess, a plurality of color filters is formed on the second insulating film, the color filters each corresponding to one of the photodiodes, a partition is provided between adjacent ones of the color filters, the partition being a part of a third insulating film, and in an area outside of the pixel unit, (i) a conductive film at least partially covered by the third insulating film is formed on the second insulating film, and (ii) the third insulating film formed on the conductive film and on the second insulating film near the conductive film has a film thickness smaller than a film thickness of the partition. | 07-31-2014 |
20140217536 | POLARIZED LIGHT DETECTION SYSTEM - A polarized light detection system includes a detection apparatus, a power source, and a photoresistor. The detection apparatus, power source and photoresistor are electrically connected with wires to form a galvanic circle. The photoresistor includes a photosensitive material layer with a first surface and a second surface opposite to each other, a first electrode layer located on the first surface of the photosensitive material layer, and a second electrode layer located on the second surface of the photosensitive material layer. The first electrode layer includes a carbon nanotube film structure. | 08-07-2014 |
20140217537 | RE-ENTRANT MIRROR PHOTODETECTOR WITH WAVEGUIDE MODE FOCUSING - A photonic integrated circuit (I/C) includes a focusing sidewall or in-plane surface that redirects and focuses light from a waveguide to a photodetector structure. The focusing includes redirecting an optical signal to a width smaller than a width of the waveguide. The focusing of the light allows the photodetector structure to be outside a waveguide defined by parallel oxide structures. With the photodetector structure outside the waveguide, the contacts can be placed closer together, which reduces contact resistance. | 08-07-2014 |
20140217538 | SOLID-STATE IMAGE SENSOR AND CAMERA - A solid-state image sensor includes a structure having a semiconductor layer in which a plurality of photoelectric converters are arranged, a light blocking member arranged above a face of the structure and including a plurality of circular openings each corresponding to at least one of the photoelectric converters, a first layer configured to cover the light blocking member, and exposed portions of the face of the structure, that are formed by the plurality of circular openings, and a second layer arranged to cover the first layer and having a refractive index higher than that of the first layer, wherein an interface between the first layer and the second layer includes lens faces protruding toward the exposed portions. | 08-07-2014 |
20140217539 | SEMICONDUCTOR OPTOELECTRONICS DEVICES - A semiconductor device comprising a semiconductor substrate with a plurality of photo-diodes arranged in the semiconductor substrate with interconnect layers defining apertures at the photo-diodes and a first polymer which fills the gaps such as to cover the photo-diode. Further, layers of color filters are arranged on top the gap filling polymer layer opposite to the photo-diodes and a second polymer arranged on the interconnect layers covers and planarizes and passivates the color filter layers. On top of the planarizing polymer there is a plurality of micro-lenses opposite to the color filters, and a third polymer layer is deposited on the micro-lenses for passivating the micro-lenses. According to the invention the polymer materials are comprised of a siloxane polymer which gives thermally and mechanically stable, high index of refraction, dense dielectric films exhibiting high-cracking threshold, low pore volume and pore size. | 08-07-2014 |
20140231946 | WAVEGUIDE AVALANCHE PHOTODETECTORS - Devices comprised of end-on waveguide-coupled photodetectors are described. in embodiments of the invention, the pbotodetectors are avalanche photodiodes coupled end-on to a waveguide. The waveguide comprises an insulating trench proximate to the coupled photodetector. In embodiments of the invention, the avalanche photodiodes are silicin/germanium avalanche photodiodes. | 08-21-2014 |
20140231947 | SEMICONDUCTOR MODULE - A semiconductor module including a semiconductor chip having a light receiving device formed at a front thereof and light permeable cover having a front, a back, and a side. The light permeable cover is disposed opposite to the front of the semiconductor chip such that the front of the semiconductor chip is covered by the back of the light permeable cover. The permeable cover is provided at the outer circumferential region of the front thereof and at the side thereof with a light shielding layer. It is possible to prevent the incidence of unnecessary light from the side of the light permeable cover of a CSP and to easily adjust the distance between a lens and the front of the semiconductor chip within tolerance. | 08-21-2014 |
20140239430 | SOLID-STATE IMAGING DEVICE - According to one embodiment, a photoelectric converting layer, a charge accumulating layer, and a light collecting unit are provided. The photoelectric converting layer is formed at a back surface side of a semiconductor substrate. The charge accumulating layer is formed at a front surface side of the semiconductor substrate, and accumulates charges photoelectric-converted by the photoelectric converting layer. The light collecting unit makes light incident to the back surface side of the semiconductor substrate to be collected on the photoelectric converting layer not to be incident to the charge accumulating layer. | 08-28-2014 |
20140239431 | IMAGE SENSOR AND COMPUTING SYSTEM HAVING THE SAME - An image sensor includes a light receiving element, an anti-reflection layer, a high refractive pattern, a color filter, and a micro lens. The light receiving element is formed on a semiconductor substrate to generate charges responsive to incident light. The anti-reflection layer is formed on the semiconductor substrate. The high refractive pattern is formed on the anti-reflection layer in correspondence with the light receiving element. The color filter is formed on the anti-reflection layer while covering a top surface and lateral sides of the high refractive pattern. The micro lens is formed on the color filter. The image sensor provides an image having high quality. | 08-28-2014 |
20140246746 | OPTICAL-TO-ELECTRICAL CONVERTER UNIT AND SEMICONDUCTOR LIGHT-RECEIVING DEVICE - An optical-to-electrical converter unit includes a substrate having front and back surfaces; an optical waveguide unit; and an optical-to-electrical converter. The optical-to-electrical converter includes a light-receiving element optically coupled to the optical waveguide unit; a capacitance element including first and second conductive layers and an insulating layer disposed between the first and second conducive layers; an electrode pad electrically connected to the light-receiving element; a back electrode formed on the back surface of the substrate; and a via electrode extending from the front surface to the back surface of the substrate. The optical waveguide unit, the light-receiving element, the capacitance element, and the electrode pad are formed on the front surface. The first conductive layer of the capacitance element is electrically connected to the light-receiving element and the electrode pad. The second conductive layer of the capacitance element is electrically connected to the back electrode through the via electrode. | 09-04-2014 |
20140246747 | POLYMERIC BINDERS INCORPORATING LIGHT-DETECTING ELEMENTS AND RELATED METHODS - In accordance with certain embodiments, semiconductor dies are embedded within polymeric binder to form, e.g., light-emitting dies and/or composite wafers containing multiple light-emitting dies embedded in a single volume of binder. | 09-04-2014 |
20140252521 | Image Sensor with Improved Dark Current Performance - Provided is a semiconductor image sensor device. The image sensor device includes a semiconductor substrate having a first side and a second side opposite the first side. The semiconductor substrate contains a radiation-sensing region configured to sense radiation projected toward the substrate from the second side. A first layer is disposed over the second side of the semiconductor substrate. The first layer has a first energy band gap. A second layer is disposed over the first layer. The second layer has a second energy band gap. A third layer is disposed over the second layer. The third layer has a third energy band gap. The second energy band gap is smaller than the first energy band gap and the third energy band gap. | 09-11-2014 |
20140252522 | CAMERA MODULE - In a camera module, a planar part, which is for mitigating deformation of the surface of a second insulating portion on which an imaging device is mounted, is embedded in the second insulating portion of a substrate so as to face the imaging device mounted on the surface (top surface) of the second insulating portion. | 09-11-2014 |
20140252523 | Backside Structure and Methods for BSI Image Sensors - A back side image sensor and method of manufacture are provided. In an embodiment a bottom anti-reflective coating is formed over a substrate, and a metal shield layer is formed over the bottom anti-reflective coating. The metal shield layer is patterned to form a grid pattern over a sensor array region of the substrate, and a first dielectric layer and a second dielectric layer are formed to at least partially fill in openings within the grid pattern. | 09-11-2014 |
20140252524 | ARRAY OF MUTUALLY ISOLATED, GEIGER-MODE, AVALANCHE PHOTODIODES AND MANUFACTURING METHOD THEREOF - An embodiment of array of Geiger-mode avalanche photodiodes, wherein each photodiode is formed by a body of semiconductor material, having a first conductivity type and housing an anode region, of a second conductivity type, facing a top surface of the body, a cathode-contact region, having the first conductivity type and a higher doping level than the body, facing a bottom surface of the body, an insulation region extending through the body and insulating an active area from the rest of the body, the active area housing the anode region and the cathode-contact region. The insulation region is formed by a first mirror region of polycrystalline silicon, a second mirror region of metal material, and a channel-stopper region of dielectric material, surrounding the first and second mirror regions. | 09-11-2014 |
20140252525 | METHOD FOR FORMING STRUCTURE FOR REDUCING NOISE IN CMOS IMAGE SENSORS - A method and device is disclosed for reducing noise in CMOS image sensors. An improved CMOS image sensor includes a light sensing structure surrounded by a support feature section. An active section of the light sensing structure is covered by no more than optically transparent materials. A light blocking portion includes an opaque layer or a black light filter layer in conjunction with an opaque layer, covering the support feature section. The light blocking portion may also cover a peripheral portion of the light sensing structure. The method for forming the CMOS image sensors includes using film patterning and etching processes to selectively form the opaque layer and the black light filter layer where the light blocking portion is desired, but not over the active section. The method also provides for forming microlenses over the photosensors in the active section. | 09-11-2014 |
20140264685 | IMAGE SENSOR WITH STACKED GRID STRUCTURE - Among other things, one or more image sensors and techniques for guiding light towards a photodiode are provided. An image sensor comprises a metal grid configured to direct light towards a corresponding photodiode and away from other photodiodes. The image sensor also comprises a dielectric grid and a filler grid over the metal grid to direct light towards the corresponding photodiode and away from other photodiodes, where the filler grid has a different refractive index than the dielectric grid. In this way, crosstalk, otherwise resulting from detection of light by incorrect photodiodes, is mitigated. | 09-18-2014 |
20140264686 | SOLID-STATE IMAGING DEVICES - A solid-state imaging device is provided. The solid-state imaging device includes a semiconductor substrate containing a plurality of image sensors. A color filter including a plurality of color filter segments is disposed above the semiconductor substrate. Each of the color filter segments corresponds to one of the image sensors. Further, a plurality of partitions is disposed between the color filter segments. Each of the partitions is disposed between any two adjacent color filter segments. The partition has a height smaller than the height of the color filter segment, wherein the height of the partition is based on the bottom of the color filter segment to the top of the partition, and the height of the color filter segment is based on the bottom of the color filter segment to the top of the color filter segment. | 09-18-2014 |
20140264687 | IMAGE SENSOR WITH TRENCHED FILLER GRID - Among other things, one or more image sensors and techniques for forming such image sensors are provided. An image sensor comprises a photodiode array configured to detect light. A filler grid is formed over the photodiode array, such as over a dielectric grid. The filler grid comprises one or more filler structures, such as a first filler structure that provides a light propagation path to a first photodiode that is primarily through the first filler structure. In this way, signal strength decay of light along the light propagation path before detection by the first photodiode is mitigated. The image sensor comprises a reflective layer that channels light towards corresponding photodiodes. For example, a first reflective layer portion guides light towards the first photodiode and away from a second photodiode. In this way, crosstalk, otherwise resulting from detection of light by incorrect photodiodes, is mitigated. | 09-18-2014 |
20140264688 | SOLID STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a solid state imaging device includes a silicon substrate unit, a color filter layer, first, second and third optical layers. The silicon substrate unit includes imaging units provided in a plane parallel to a major surface. The color filter layer is apart from the silicon substrate unit. The color filter has a lower refractive index than the silicon substrate unit. The first optical layer has a lower first refractive index than the color filter layer and the silicon substrate unit, and is light transmissive. The second optical layer has a second refractive index higher than the first refractive index and lower than the refractive index of the silicon substrate unit, is light transmissive. The third optical layer has a third refractive index lower than the refractive index of the color filter layer and lower than the second refractive index, and is light transmissive. | 09-18-2014 |
20140264689 | OPTICAL SENSORS FOR DETECTING RELATIVE MOTION AND/OR POSITION AND METHODS AND SYSTEMS FOR USING SUCH OPTICAL SENSORS - An optical sensor, according to an embodiment of the present invention, includes a photodetector region and a plurality of slats over the photodetector region. In an embodiment, the slats are made up of a plurality of metal layers connected in a stacked configuration with a plurality of metal columns. The metal columns can be made of metal vias, metal contacts and/or metal plugs. In an embodiment, the slats are angled relative to a surface of the photodetector region, wherein the angling of the slats is achieved by the metal layers being laterally offset relative to one another and/or metal columns being laterally offset relative to one another. In an alternative embodiment, the slats are made of an opaque polymer material, such as an opaque photoresist. | 09-18-2014 |
20140264690 | SOLID STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING SOLID STATE IMAGING DEVICE - Certain embodiments provide a solid state imaging device including a plurality of pixels. Each of the pixels has a semiconductor layer which has a charge accumulating layer at a front surface thereof and a filter layer provided above a rear surface of the semiconductor layer. Transmissive wavelength bands of the filter layers included in the pixels are different from each other, and thicknesses which a plurality of the semiconductor layers included in the pixels and including a plurality of the charge accumulating layers have are different from each other. | 09-18-2014 |
20140264691 | Low Profile Image Sensor - A sensor package comprising a host substrate with opposing first and second surfaces, an aperture extending therethrough, circuit layers, and first contact pads. A second substrate at least partially in the aperture has opposing first and second surfaces, a plurality of photo detectors, second contact pads at the second substrate first surface and electrically coupled to the photo detectors, and trenches formed into the second substrate first surface, conductive traces extending from the second contact pads and into the trenches. A third substrate has a first surface mounted to the first surface of the second substrate. The third substrate includes a cavity formed into its first surface and positioned over the photo detectors. Electrical connectors connect the first contact pads and conductive traces. A lens module is mounted to the host substrate for focusing light through the third substrate and onto the photo detectors. | 09-18-2014 |
20140264692 | Low Profile Sensor Module And Method Of Making Same - A host substrate assembly includes a first substrate with opposing first and second surfaces, an aperture extending therethrough, circuit layers, and first contact pads electrically coupled to the circuit layers. A sensor chip includes a second substrate with opposing first and second surfaces, a plurality of photo detectors formed on or in the second substrate and configured to receive light incident on the second substrate first surface, and a plurality of second contact pads formed at the second substrate first or second surfaces and are electrically coupled to the photo detectors. A spacer is mounted to the second substrate first surface. A protective substrate is mounted to the spacer and disposed over the photo detectors. Electrically conductive conduits each extend through the spacer and are in electrical contact with one of the second contact pads. Electrical connectors electrically connect the first contact pads and the conduits. | 09-18-2014 |
20140264693 | Cover-Free Sensor Module And Method Of Making Same - A sensor package includes host substrate assembly includes a first substrate, circuit layers in the first substrate, and first contact pads electrically coupled to the circuit layers. A sensor chip includes a second substrate with opposing first and second surfaces, sensor(s) formed on or under the first surface of the second substrate, a plurality of second contact pads formed at the first surface of the second substrate and which are electrically coupled to the sensor(s), a plurality of holes each formed into the second surface of the second substrate and extends through the second substrate to one of the second contact pads, and conductive leads each extending from one of the second contact pads, through one of the plurality of holes, and along the second surface of the second substrate. A plurality of electrical connectors each electrically connect one of the first contact pads and one of the conductive leads. | 09-18-2014 |
20140264694 | SOLID STATE IMAGING DEVICE AND MANUFACTURING METHOD, AND ELECTRONIC APPARATUS - A solid state imaging device includes a substrate, in which the substrate includes a photoelectric conversion unit that generates a charge according to a light amount of incident light by a pixel unit, an accumulation unit that divides the charge of the pixel unit which is generated in the photoelectric conversion unit and accumulates the charge, a first element isolation unit that is formed at a boundary of the photoelectric conversion unit of the pixel unit, and a second element isolation unit that is formed at a boundary of the accumulation unit of a divided unit of the pixel. | 09-18-2014 |
20140264695 | Image Sensor and Method of Manufacturing the Same - An image sensor includes a semiconductor layer having a first surface and a second surface opposite to each other and including a photodiode and a hydrogen containing region adjacent the first surface. A crystalline anti-reflective layer is on the first surface of the semiconductor layer, and is configured to allow hydrogen atoms to penetrate into the first surface of the semiconductor layer. Driving transistors and wires are on the second surface of the semiconductor layer, and a color filter and a micro lens are on the anti-reflective layer. The hydrogen containing region contains hydrogen atoms that combine with defects at the first surface. | 09-18-2014 |
20140264696 | DIELECTRIC FILM FOR IMAGE SENSOR - Among other things, one or more image sensors and techniques for forming such image sensors are provided. An image sensor comprises a photodiode array configured to detect light. The image sensor comprises a calibration region configured to detect a color level for image reproduction, such as a black calibration region configured to detect a black level for an image detected by the photodiode array. The image sensor comprises a dielectric film that is formed over the photodiode array and the calibration region. The dielectric film is configured to balance stress between the photodiode and the calibration region in order to improve accuracy of the calibration region. | 09-18-2014 |
20140264697 | IMAGE PICKUP MODULE AND IMAGE PICKUP UNIT - An image pickup module includes: a wiring board including a first main surface on which chip electrodes are disposed and a second main surface on which the cable electrodes connected respectively to the chip electrodes via respective through wirings are disposed; an image pickup device chip including external electrodes bonded respectively to the chip electrodes; and a cable including conductive wires bonded respectively to the cable electrodes, in which all of the cable electrodes are disposed in a region not facing a region where the chip electrodes are disposed. | 09-18-2014 |
20140284745 | SOLID-STATE IMAGING DEVICE - A solid-state imaging device includes a pixel chip, a logic chip and one or more shielding layers. The one or more shielding layers are arranged between or within the pixel chip and/or the logic chip to shield or reduce the effect of electromagnetic interference, radiation generated noise, or electromagnetic waves generated in one portion of the solid-state imaging device from affecting another portion of the solid-state imaging device. | 09-25-2014 |
20140284746 | SOLID STATE IMAGING DEVICE AND PORTABLE INFORMATION TERMINAL - A solid state imaging device according to an embodiment includes: an imaging element including a plurality of pixels; a bonding layer formed to be in contact with the imaging element; a first microlens array formed to be in contact with the bonding layer, and including a plurality of first microlenses with a refractive index higher than a refractive index of the bonding layer; and a main lens located above the first microlens array. | 09-25-2014 |
20140284747 | OPTICAL MEMBER SET AND SOLID-STATE IMAGING ELEMENT USING THE SAME - An optical member set which has a first optical member formed by curing a curable resin composition, and a second optical member which is covered by the first optical member, in which the first optical member has a refractive index of 1.25 to 1.45 and the second optical member has a refractive index of 1.65 to 1.95. | 09-25-2014 |
20140284748 | LIGHT SENSOR HAVING TRANSPARENT SUBSTRATE AND DIFFUSER FORMED THEREIN - A light sensor is described that includes a glass substrate having a diffuser formed therein and at least one color filter integrated on-chip (i.e., integrated on the die of the light sensor). In one or more implementations, the light sensor comprises a semiconductor device (e.g., a die) that includes a semiconductor substrate. At least one photodetector (e.g., photodiode, phototransistor, etc.) is formed in the substrate proximate to the surface of the substrate. The color filter is configured to filter light received by the light sensor to pass light in a limited spectrum of wavelengths (e.g., light having wavelengths between a first wavelength and a second wavelength) to the photodetector. A glass substrate is positioned over the substrate and includes a diffuser. The diffuser is configured to diffuse light incident on the diffuser and to pass the diffused light to the at least one color filter for further filtering. | 09-25-2014 |
20140291790 | ENCAPSULATION OF BACKSIDE ILLUMINATION PHOTOSENSITIVE DEVICE - An encapsulation of backside illumination photosensitive device including a circuit sub-mount, a backside illumination photosensitive device, a plurality of conductive terminals, and a heat dissipation structure is provided. The backside illumination photosensitive device includes an interconnection layer and a photosensitive device array, wherein the interconnection layer is located on the circuit sub-mount, and between the photosensitive device array and the circuit sub-mount. The conductive terminals are located between the interconnection layer and the circuit sub-mount to electrically connect the interconnection layer and the circuit sub-mount. The heat dissipation structure is located under the interconnection layer, and the heat dissipation structure and the photosensitive device array are respectively located at two opposite sides of the interconnection layer. | 10-02-2014 |
20140291791 | SOLID STATE IMAGING APPARATUS AND ELECTRONIC DEVICE - Provided is a solid state imaging apparatus including a transparent substrate formed of a birefringent material having a high refractive index in a direction vertical to a light receiving surface and a low refractive index in a direction parallel to the light receiving surface, the transparent substrate being disposed on the light receiving surface, and an electronic device including the solid state imaging apparatus. | 10-02-2014 |
20140291792 | TRANSPARENT ELECTRODE APPARATUS, METHOD, AND APPLICATIONS - A shaped electrode on a light transmitting substrate utilizes total internal reflection to provide improved transmission of electromagnetic radiation (‘light’) compared to standard electrode designs that involve flat electrode surfaces. Redirection of incident light by a tilted or otherwise shaped contact or material added on the contact provides otherwise reflected light to an open surface region. Optional plasmon mediated focusing of incident p-polarized light may be realized. | 10-02-2014 |
20140299954 | SOLID-STATE IMAGE PICKUP ELEMENT AND IMAGE PICKUP APPARATUS - Disclosed herein is a solid-state image pickup element, including: a photoelectric conversion region; a transistor; an isolation region of a first conductivity type configured to isolate the photoelectric conversion region and the transistor from each other; a well region of the first conductivity type having the photoelectric conversion region, the transistor, and the isolation region of the first conductivity type formed therein; a contact portion configured to supply an electric potential used to fix the well region to a given electric potential; and an impurity region of the first conductivity type formed so as to extend in a depth direction from a surface of the isolation region of the first conductivity type in the isolation region of the first conductivity type between the contact portion and the photoelectric conversion region, and having a sufficiently higher impurity concentration than that of the isolation region of the first conductivity type. | 10-09-2014 |
20140299955 | SLOPED STRUCTURE, METHOD FOR MANUFACTURING SLOPED STRUCTURE, AND SPECTRUM SENSOR - A method for manufacturing a sloped structure is disclosed. The method includes the steps of: (a) forming a sacrificial film above a substrate; (b) forming a first film above the sacrificial film, the first film having a first portion connected to the substrate, a second portion located above the sacrificial film, a third portion located between the first portion and the second portion, and a thin region in a portion of the third portion or in a boundary section between the second portion and the third portion and having a thickness smaller than the first portion; (c) removing the sacrificial film; and (d) bending the first film in the thin region, after the step (c), thereby sloping the second portion of the first film with respect to the substrate. | 10-09-2014 |
20140306307 | BACKSIDE NANOSCALE TEXTURING TO IMPROVE IR RESPONSE OF SILICON SOLAR CELLS AND PHOTODETECTORS - The absorption coefficient of silicon for infrared light is very low and most solar cells absorb very little of the infrared light energy in sunlight. Very thick cells of crystalline silicon can be used to increase the absorption of infrared light energy but the cost of thick crystalline cells is prohibitive. The present invention relates to the use of less expensive microcrystalline silicon solar cells and the use of backside texturing with diffusive scattering to give a very large increase in the absorption of infrared light. Backside texturing with diffusive scattering and with a smooth front surface of the solar cell results in multiple internal reflections, light trapping, and a large enhancement of the absorption of infrared solar energy. | 10-16-2014 |
20140306308 | Wafer-Level Array Cameras And Methods For Fabricating The Same - A wafer-level array camera includes (i) an image sensor wafer including an image sensor array, (ii) a spacer disposed on the image sensor wafer, and (iii) a lens wafer disposed on the spacer, wherein the lens wafer includes a lens array. A method for fabricating a plurality of wafer-level array cameras includes (i) disposing a lens wafer, including a plurality of lens arrays, on an image sensor wafer, including a plurality of image sensor arrays, to form a composite wafer and (ii) dicing the composite wafer to form the plurality of wafer-level array cameras, wherein each of the plurality of wafer-level array cameras includes a respective one of the plurality of lens arrays and a respective one of the plurality of image sensor arrays. | 10-16-2014 |
20140306309 | PHOTOELECTRIC CONVERSION APPARATUS - A photoelectric conversion apparatus includes a semiconductor substrate having a photoelectric conversion portion. An insulator is provided on the semiconductor substrate. The insulator has a hole corresponding to the photoelectric conversion portion. A waveguide member is provided in the hole. An in-layer lens is provided on a side of the waveguide member farther from the semiconductor substrate. A first intermediate member is provided between the waveguide member and the in-layer lens. The first intermediate member has a lower refractive index than the in-layer lens. | 10-16-2014 |
20140306310 | SOLID-STATE IMAGING APPARATUS - A solid-state imaging apparatus includes: a solid-state imaging device photoelectrically converting light taken by a lens; and a light shielding member shielding part of light incident on the solid-state imaging device from the lens, wherein an angle made between an edge surface of the light shielding member and an optical axis direction of the lens is larger than an incident angle of light to be incident on an edge portion of the light shielding member. | 10-16-2014 |
20140306311 | SOLID-STATE IMAGING ELEMENT - Provided is a solid-state imaging element for effectively reducing a dark current. The solid-state imaging element includes a substrate | 10-16-2014 |
20140312443 | BUTT-COUPLED BURIED WAVEGUIDE PHOTODETECTOR - A method of forming an integrated photonic semiconductor structure having a photodetector and a CMOS device may include forming the CMOS device on a first silicon-on-insulator region, forming a silicon optical waveguide on a second silicon-on-insulator region, and forming a shallow trench isolation (STI) region surrounding the silicon optical waveguide such that the shallow trench isolation electrically isolates the first and second silicon-on-insulator region. Within the STI region, a germanium material is deposited adjacent an end facet of the semiconductor optical waveguide. The germanium material forms an active region that receives propagating optical signals from the end facet of the semiconductor optical waveguide. | 10-23-2014 |
20140312444 | SOLID-STATE IMAGING DEVICE, PRODUCTION METHOD OF THE SAME, AND IMAGING APPARATUS - A solid-state imaging device in which a pixel circuit formed on the first surface side of a semiconductor substrate is shared by a plurality of light reception regions and second surface side of the semiconductor substrate is the light incident side of the light reception regions. The second surface side regions of the light reception regions are arranged at approximately even intervals and the first surface side regions of the light reception regions e are arranged at uneven intervals. Respective second surface side regions and first surface side regions are joined in the semiconductor substrate so that the light reception regions extend from the second surface side to the first surface side of the semiconductor substrate. | 10-23-2014 |
20140312445 | LIGHT RECEIVING ELEMENT WITH OFFSET ABSORBING LAYER - A light receiving element includes a core configured to propagate a signal light, a first semiconductor layer having a first conductivity type, the first semiconductor layer being configured to receive the signal light from the core along a first direction in which the core extends, an absorbing layer configured to absorb the signal light received by the first semiconductor layer, and a second semiconductor layer having a second conductivity type opposite to the first conductivity type. | 10-23-2014 |
20140312446 | SEMICONDUCTOR STRUCTURE ABLE TO RECEIVE ELECTROMAGNETIC RADIATION, SEMICONDUCTOR COMPONENT AND PROCESS FOR FABRICATING SUCH A SEMICONDUCTOR STRUCTURE - A semiconducting structure configured to receive electromagnetic radiation and transform the received electromagnetic radiation into an electric signal, the semiconductor structure including a semiconducting support within a first surface defining a longitudinal plane, a first zone with a first type of conductivity formed in the support with a second zone with a second type of conductivity that is opposite of the first type of conductivity to form a semiconducting junction. A mechanism limiting lateral current includes a third zone formed in the support in lateral contact with the second zone, the third zone having the second type of conductivity for which majority carriers are electrons. The third zone has a sufficient concentration of majority carriers to have an increase in an apparent gap due to a Moss-Burstein effect. | 10-23-2014 |
20140319636 | Motion Sensing Device - A motion sensing device for sensing infrared rays includes a substrate; an optical module, including a first spacer layer, coupled to the substrate; a first glass layer, formed on the first spacer layer; a second spacer layer, formed on the first glass layer; a second glass layer, formed on the second spacer layer; a third spacer layer, formed on the second glass layer; a first lens, bonding on a first side of the second glass layer; and a second layer, bonding on a second side relative to the first side of the second glass layer; and a coating layer, covered on the optical layer for shielding the infrared rays, wherein the coating layer does not cover the first lens. | 10-30-2014 |
20140319637 | PHOTODETECTOR - A photodetector | 10-30-2014 |
20140327099 | NANOMETER-SCALE LEVEL STRUCTURES AND FABRICATION METHOD FOR DIGITAL ETCHING OF NANOMETER-SCALE LEVEL STRUCTURES - A ramped etalon cavity structure and a method of fabricating same. A bi-layer stack is deposited on a substrate. The bi-layer stack includes a plurality of bi-layers. Each bi-layer of the plurality of bi-layers includes an etch stop layer and a bulk layer. A three dimensional photoresist structure is formed by using gray-tone lithography. The three dimensional photoresist is plasma etched into the bi-layer stack, thereby generating an etched bi-layer stack. The etched bi-layer stack is chemically etched with a first chemical etchant to generate a multiple-step structure on the substrate, wherein the first chemical etchant stops at the etch stop layer. | 11-06-2014 |
20140332918 | ENHANCING THE PERFORMANCE OF LIGHT SENSORS THAT RECEIVE LIGHT SIGNALS FROM AN INTEGRATED WAVEGUIDE - The light sensor and waveguide are positioned on a base such that a light signal guided by the waveguide is received at the light sensor. The waveguide includes a taper configured such that a ratio of a width of the waveguide at a first location in the taper:the width of the waveguide at a second location in the taper is greater than 1.2:1 where a length of the taper between the first location and the second location is less than 60 μm. | 11-13-2014 |
20140339662 | OPTICAL COMMUNICATION DEVICE - An optical communication device includes a planar optical waveguide, a substrate and an optical-electric element. The planar optical waveguide includes a first side surface and a light guide portion formed in the planar optical waveguide. The substrate includes a first sidewall and a second sidewall facing away from the first sidewall. The first sidewall is substantially parallel with the second sidewall. The substrate defines a light guide hole running through both the first sidewall and the second sidewall. The first sidewall is connected to the first side surface, with the light guide hole aligning with the light guide portion. The optical-electric element includes an optical surface. The optical-electric element is assembled to the second sidewall, with the optical surface aligning with the light guide hole and the light guide portion. | 11-20-2014 |
20140339663 | Sensor Arrangement with a Silicon-Based Optical Sensor and a Substrate for Functional Layer Systems - A sensor arrangement with a silicon-based optical sensor, particularly color sensors for colorimetric applications is disclosed. The invention aims to find a novel possibility for suppressing interference ripples occurring in optical sensors when adding substrates with optically functional coatings which permits a simple production without complicated adaptation layers. The sensor passivation is composed of a combination of thin SiO | 11-20-2014 |
20140339664 | Optical Devices and Opto-electronic Modules and Methods for Manufacturing The Same - The optical device comprises a first substrate (SI) comprising at least one optical structure ( | 11-20-2014 |
20140339665 | IMAGE SENSOR - An image sensor having a plurality of photoelectric conversion elements that receive light and convert the light to electric charges, color filter layers having different spectral characteristics, each being provided corresponding to each of the photoelectric conversion elements, and a partition wall having a lower refractive index than that of the color filter layers provided at the boundary of each color filter layer. The image sensor is formed such that a space of the partition wall on the light exit side is narrower than a space of the partition wall on the light incident side. | 11-20-2014 |
20140339666 | POLARIZED LIGHT DETECTING DEVICE AND FABRICATION METHODS OF THE SAME - Described herein is a device operable to detect polarized light comprising: a substrate; a first subpixel; a second subpixel adjacent to the first subpixel; a first plurality of features in the first subpixel and a second plurality of features in the second subpixel, wherein the first plurality of features extend essentially perpendicularly from the substrate and extend essentially in parallel in a first direction parallel to the substrate and the second plurality of features extend essentially perpendicularly from the substrate and extend essentially in parallel in a second direction parallel to the substrate; wherein the first direction and the second direction are different; the first plurality of features and the second plurality of features react differently to the polarized light. | 11-20-2014 |
20140339667 | SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - A semiconductor device having a first semiconductor section including a first wiring layer at one side thereof; a second semiconductor section including a second wiring layer at one side thereof, the first and second semiconductor sections being secured together with the respective first and second wiring layer sides of the first and second semiconductor sections facing each other; a conductive material extending through the first semiconductor section to the second wiring layer of the second semiconductor section and by means of which the first and second wiring layers are in electrical communication; and an opening, other than the opening for the conductive material, which extends through the first semiconductor section to the second wiring layer. | 11-20-2014 |
20140346628 | SOLD-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS - A solid-state imaging device includes a semiconductor layer on which a plurality of pixels are arranged along a light-receiving surface being a main surface of the semiconductor layer, photoelectric conversion units provided for the respective pixels in the semiconductor layer, and a trench element isolation area formed by providing an insulating layer in a trench pattern formed on a light-receiving surface side of the semiconductor layer, the trench element isolation area being provided at a position displaced from a pixel boundary between the pixels. | 11-27-2014 |
20140346629 | IMAGING ELEMENT - An imaging element includes: a plurality of photoelectric converting elements that receive irradiation of light and convert the light into electrical charges; and a color filter layer which has a red filter, a green filter, and a blue filter which are respectively provided for the photoelectric converting elements. Partition walls having a lower refractive index than those of the red filter, the green filter, and the blue filter are provided only around the peripheries of the red filters. | 11-27-2014 |
20140353787 | IMAGE SENSOR AND PROCESS THEREOF - An image sensor including a microlens, a substrate, a first dielectric layer, a second dielectric layer and a color filter is provided. The microlens receives light; the substrate includes a light sensing element in a light sensing area for receiving light incident to the microlens. The first dielectric layer and the second dielectric layer are stacked on the substrate from bottom to top, wherein the second dielectric layer has a recess on the first dielectric layer and in an optical path between the microlens and the light sensing element. The color filter is disposed in the recess. Moreover, the present invention also provides an image sensing process for forming said image sensor. | 12-04-2014 |
20140353788 | SEMICONDUCTOR OPTICAL PACKAGE AND METHOD - Embodiments of the present disclosure are directed to optical packages having a package body that includes a light protection coating on at least one surface of a transparent material. The light protection coating includes one or more openings to allow light to be transmitted to the optical device within the package body. In one embodiment, the light protection coating and the openings allow substantially perpendicular radiation to be directed to the optical device within the package body. In one exemplary embodiment the light protection coating is located on an outer surface of the transparent material. In another embodiment, the light protection coating is located on an inner surface of the transparent material inside of the package body. | 12-04-2014 |
20140353789 | Sensor Package With Exposed Sensor Array And Method Of Making Same - A packaged sensor assembly and method of forming that includes a first substrate having opposing first and second surfaces and a plurality of conductive elements each extending between the first and second surfaces. A second substrate comprises opposing front and back surfaces, one or more detectors formed on or in the front surface, and a plurality of contact pads formed at the front surface which are electrically coupled to the one or more detectors. A third substrate is mounted to the front surface to define a cavity between the third substrate and the front surface, wherein the third substrate includes a first opening extending from the cavity through the third substrate. The back surface is mounted to the first surface. A plurality of wires each extend between and electrically connecting one of the contact pads and one of the conductive elements. | 12-04-2014 |
20140353790 | METHOD OF FABRICATING A SEMICONDUCTOR DEVICE - Provided is a semiconductor device having a backside illuminated image sensor and a method of forming same. The method includes providing a first substrate and a second substrate, forming metal interconnections on a first surface of the first substrate, forming a filling insulating layer filling spaces between sides of the metal interconnections and covering upper surfaces of the metal interconnections, forming a buffer insulating layer softer than the filling insulating layer on the filling insulating layer, forming a capping insulating layer denser than the buffer insulating layer on the buffer insulating layer, and bonding a surface of the capping insulating layer to a surface of the second substrate. | 12-04-2014 |
20140367816 | PHOTODETECTOR DEVICE HAVING LIGHT-COLLECTING OPTICAL MICROSTRUCTURE - A opto-electronic device includes a semiconductor device and a non-imaging optical concentrator on a surface of the semiconductor device. The semiconductor device has a substrate and a photodetector formed on a surface of the substrate. The non-imaging optical concentrator has a peripheral surface extending around a central region of the active area of the photodetector. The non-imaging optical concentrator redirects at least a portion of incoming light into the active area. | 12-18-2014 |
20140367817 | SOLID-STATE IMAGING APPARATUS AND METHOD FOR MANUFACTURING THE SAME - The present invention reduces color mixture (cross talk) and the degradation of sensitivity in a peripheral region of a pixel area to achieve a reduction of sensitivity irregularity in the pixel area. A solid-state imaging apparatus having a pixel area including a plurality of photoelectric conversion elements includes: a semiconductor substrate in which the plurality of photoelectric conversion elements are formed; a plurality of air gap formed layers which are arranged above the semiconductor substrate, and correspond to the photoelectric conversion elements in the plurality of photoelectric conversion elements, respectively; and air gaps arranged between the air gap formed layers in the plurality of air gap formed layers, respectively, wherein the air gap in a peripheral region B of the pixel area has a width larger than the air gap in a central region A of the pixel area. | 12-18-2014 |
20140367818 | IMAGE SENSOR - An image sensor includes a package having a window; a sensor chip facing the window, the sensor chip having a pixel region, the sensor chip having an electrode; a read-out circuit disposed farther from the window than the sensor chip, the read-out circuit having a read-out electrode connected to the electrode of the sensor chip; and a shielding plate disposed outside the pixel region of the sensor chip. The shielding plate is configured to block transmission of light. | 12-18-2014 |
20140367819 | PHOTO DETECTOR DEVICE, PHOTO SENSOR AND SPECTRUM SENSOR - A photodetector device includes: a first semiconductor region of a first conductivity type electrically connected to a first external electrode: a second semiconductor region of a second conductivity type formed on the first semiconductor region; a third semiconductor region of the first conductivity type formed on the second semiconductor region; and a plurality of fourth semiconductor regions of the second conductivity type formed on the second semiconductor region, each of the plurality of fourth semiconductor regions being surrounded by the third semiconductor region, including a second conductivity type impurity having a concentration higher than a concentration of the second semiconductor region, and electrically connected to a second external electrode. | 12-18-2014 |
20140367820 | Methods of Manufacturing and Using a Photodiode with Concave Reflector - A photodiode structure includes a photodiode and a concave reflector disposed below the photodiode. The concave reflector is arranged to reflect incident light from above back toward the photodiode. | 12-18-2014 |
20140367821 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - There is provided a solid-state imaging device including plural pixel regions, each including a pixel having a photoelectric conversion unit, a color filter, and a microlens that condenses the incident light to the photoelectric conversion unit; a first light shielding portion that has a first end face at the side of the microlens, and a second end face opposite to the first end face, and that is formed at each side portion of each pixel region of the plurality of the pixel regions; and a second light shielding portion that has a first end face at the side of the microlens, and a second end face opposite to the first end face, and that is formed at each corner portion of the pixel region, in which a distance from a surface of the pixel to the first end face is short compared to the first light shielding portion. | 12-18-2014 |
20140374862 | CMOS Image Sensor With Integrated Silicon Color Filters - A color photosensor array has photosensors of a first type having a thick overlying silicon layer, photosensors of a second type having a thin overlying silicon layer, and photosensors of a third type having no overlying silicon layer; the photosensors of the first type having peak sensitivity in the red, the photosensors of the second type having peak sensitivity in the green. In particular embodiments, color correction circuitry is provided to enhance color saturation. | 12-25-2014 |
20140374863 | IMAGE PICKUP APPARATUS, METHOD OF DESIGNING THE SAME, AND METHOD OF MANUFACTURING THE SAME - An image pickup apparatus includes a plurality of types of pixels, each of which includes a conversion element configured to convert light into a charge and one of a plurality of types of filters configured to transmit light in different wavelength bands. A type of pixel of the plurality of types of pixels further includes a lightguide configured to guide light entering the pixel to the conversion element. Another type of pixel of the plurality of types of pixels includes no structure corresponding to the lightguide. | 12-25-2014 |
20140374864 | LIGHT-GUIDE UNIT AND IMAGE SENSOR - A light guide unit includes, a first light guide that takes a light incident upon one end surface and emits a light from other end surface and from a light emitter on a side surface of the first light guide, and a second light guide that takes the light emitted from the other end surface of the first light guide then incident upon one end surface of the second light guide, and emits the light from a light emitter on a side surface of the second light guide. The first light guide includes a first mating member, and the second light guide includes a second mating member engaging with a first alignment member such that the side surface of the first light guide having the light emitter and the side surface of the second light guide having the light emitter are flush with each other. | 12-25-2014 |
20150008553 | IMAGE SENSOR HAVING 3D PHOTOELECTRIC CONVERSION DEVICE - An image sensor includes a transfer gate formed over a substrate including front and back sides, a photoelectric conversion area formed in the substrate on one side of the transfer gate, a trench formed in the photoelectric conversion area and having a trench entrance located on the back side of the substrate, and a color filter formed over the backside of the substrate. | 01-08-2015 |
20150008554 | SOLID-STATE IMAGING APPARATUS AND ELECTRONIC APPARATUS - A solid-state imaging apparatus includes a phase difference detection pixel including a photoelectric conversion section that is formed on a semiconductor substrate and configured to photoelectrically convert incident light, a waveguide configured to guide the incident light to the photoelectric conversion section, and a light-shielding section that is formed in vicinity of an opening of the waveguide and configured to shield a part of the incident light that enters the waveguide. | 01-08-2015 |
20150008555 | SOLID STATE IMAGING APPARATUS, PRODUCTION METHOD THEREOF AND ELECTRONIC DEVICE - A solid state imaging apparatus includes an insulation structure formed of an insulation substance penetrating through at least a silicon layer at a light receiving surface side, the insulation structure having a forward tapered shape where a top diameter at an upper portion of the light receiving surface side of the silicon layer is greater than a bottom diameter at a bottom portion of the silicon layer. Also, there are provided a method of producing the solid state imaging apparatus and an electronic device including the solid state imaging apparatus. | 01-08-2015 |
20150014802 | APPARATUS AND METHOD FOR FABRICATING A LIGHT GUIDING GRID - A light guide grid can include a grid structure having a plurality of intersecting grid lines, each grid line having a width w, and a plurality of openings for photosensor elements between intersecting grid lines. The grid structure has a diagonal grid width between two adjacent ones of the plurality of openings in a diagonal direction. The diagonal grid width has a value exceeding approximately √3 w. An image sensor can include a light guide grid having a grid structure as described above and further include a micro-lens such as a sinking micro-lens and a color filter. A method of fabricating a light guide grid can include forming a grid above at least one photo sensor, the grid having intersecting grid lines of width w and a diagonal grid width in a diagonal direction having a value exceeding approximately √3 w. | 01-15-2015 |
20150014803 | SHALLOW TRENCH TEXTURED REGIONS AND ASSOCIATED METHODS - Photosensitive devices and associated methods are provided. In one aspect, for example, a photosensitive imager device can include a semiconductor layer having multiple doped regions forming a least one junction, a textured region coupled to the semiconductor layer and positioned to interact with electromagnetic radiation. The textured region can be formed from a series of shallow trench isolation features. | 01-15-2015 |
20150014804 | Thin Active Layer Fishbone Photodiode With A Shallow N+ Layer and Method of Manufacturing the Same - The present invention is directed toward a detector structure, detector arrays, and a method of detecting incident radiation. The present invention comprises a photodiode array and method of manufacturing a photodiode array that provides for reduced radiation damage susceptibility, decreased affects of crosstalk, reduced dark current (current leakage) and increased flexibility in application. | 01-15-2015 |
20150021728 | Dielectric Structure for Color Filter Array - An integrated circuit device in which an array of photodiodes are formed at the surface of a semiconductor substrate. A dielectric structure comprising multiple layers of dielectric is formed over the photodiodes. An array of color filters is formed over the photodiodes and within the dielectric structure. An interface between two layers of the dielectric structure is aligned with the bases of the color filters. The interface provides an etch stops that allows the depths of the trenches in which the color filters are formed to be well controlled. | 01-22-2015 |
20150021729 | SOLID STATE IMAGING APPARATUS AND METHOD OF PRODUCING THE SAME - There is provided a solid state imaging apparatus, including: an optical film layer on which a solid state image sensor is mounted; a multifunctional chip laminated at a periphery of the solid state image sensor in the optical film layer being electrically contacted with the optical film layer via a metal body; a sealing resin layer for sealing the periphery where the multifunctional chip is laminated on the optical film layer; and a concave structure for blocking a flow of the sealing resin in a liquid state when the sealing resin layer is formed at the periphery of the sealing resin layer. Also, a method of producing the solid state imaging apparatus is also provided. | 01-22-2015 |
20150021730 | CAMERA MODULE - A camera module includes an image sensor, a packaging substrate, a lens holder, and a lens unit. The image sensor is positioned on a surface of the packaging substrate. The lens holder includes a base portion and a holding portion connected to the base portion. The base portion includes a number of protrusions protruding from a bottom surface thereof away from the holding portion. The lens holder is connected to the packaging substrate with the protrusions in contact with the packaging substrate. The lens unit is received in the lens holder and optical aligned with the image sensor. | 01-22-2015 |
20150035100 | SOLID STATE IMAGING DEVICE AND METHOD OF MANUFACTURING SOLID STATE IMAGING DEVICE - A solid state imaging device includes a semiconductor layer, and a light shielding portion. The semiconductor layer has multiple photoelectric conversion elements. The light shielding portion is provided in the semiconductor layer, and has a light shielding member whose interface with the semiconductor layer is covered by an insulating film. The light shielding portion includes a light shielding region and an element isolation region. The light shielding region is provided in the semiconductor layer on the side close to the light receiving surface of the photoelectric conversion element for shielding light incident on the photoelectric conversion element from a specific direction. The element isolation region is formed to project in the depth direction of the semiconductor layer from the light shielding region toward a portion between the multiple photoelectric conversion elements in order to electrically and optically isolate the multiple photoelectric conversion elements from one another. | 02-05-2015 |
20150035101 | SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SOLID-STATE IMAGING DEVICE - According to one embodiment, a solid-state imaging device is provided. The solid-state imaging device includes a photoelectric conversion element, a first anti-reflection film, an intermediate film, and a second anti-reflection film. The photoelectric conversion element is disposed corresponding to each of a plurality of colored lights. The first anti-reflection film is disposed on a photo-receiving surface side of the photoelectric conversion element. The intermediate film is disposed on a photo-receiving surface side of the first anti-reflection film. The second anti-reflection film is disposed on a photo-receiving surface side of the intermediate film. At least one of the first anti-reflection film, the intermediate film, and the second anti-reflection film has different film thicknesses for respective colored lights to be received. | 02-05-2015 |
20150035102 | METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE - According to one embodiment, the method of manufacturing a solid-state imaging device includes: forming a plurality of photoelectric conversion elements by two-dimensionally arranging semiconductor areas of a second conductivity type at a semiconductor layer of a first conductivity type in a matrix pattern; forming the photoelectric conversion elements in a rectangular shape in plan view, the photoelectric conversion elements being formed by forming a grid-like trench in plan view so as to partition the semiconductor layer; forming the photoelectric conversion element formed into the rectangular shape in plan view into a convex polygonal shape in plan view whose number of corners is larger than the number of corners of a rectangular; and forming an element isolation area including a light shielding member at a trench coated with an insulating film after coating an inner peripheral surface of the trench with the insulating film. | 02-05-2015 |
20150035103 | SOLID STATE IMAGING DEVICE - According to one embodiment, a solid state imaging device includes a semiconductor substrate comprising a first surface and a second surface opposite the first surface; a circuit at a side of the first surface of the semiconductor substrate; a pixel in the semiconductor substrate and converting light from a side of the second surface into electric charge; and an element at a side of the second surface of the semiconductor substrate. The pixel includes a photo diode in the semiconductor substrate at the side of the first surface, and the photo diode includes a diffusion layer in an impurity region in the semiconductor substrate at the side of the first surface. | 02-05-2015 |
20150035104 | SOLID-STATE IMAGING APPARATUS, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A solid-state imaging apparatus includes an imaging region in which pixels are arranged, a connection region that surrounds the imaging region and includes an electrode pad, and an in-layer lens that is formed in the imaging region for each of the pixels. The in-layer lens is formed of a coating-type high-refractive-index material. The connection region includes an opening that is formed such that an upper surface of the electrode pad is exposed from the high-refractive-index material applied to the electrode pad. | 02-05-2015 |
20150035105 | IMAGE PICKUP ELEMENT, IMAGING APPARATUS, MANUFACTURING APPARATUS FOR IMAGE PICKUP ELEMENT, AND MANUFACTURING METHOD FOR IMAGE PICKUP ELEMENT - Provided is an image pickup element, including: condenser lenses made of a resin containing fine metal particles; photoelectric conversion elements formed in a silicon substrate and each configured to photoelectrically convert incident light that enter from an outside through corresponding one of the condenser lenses; and a protective film made of a silicon compound, the protective film being formed between the condenser lenses and the silicon substrate. | 02-05-2015 |
20150035106 | BACK SIDE ILLUMINATION IMAGE SENSOR WITH LOW DARK CURRENT - An integrated circuit includes a back side illuminated image sensor formed by a substrate supporting at least one pixel, an interconnect part situated above a front side of the substrate and an anti-reflective layer situated above a back side of the substrate. The anti-reflective layer may be formed of a silicon nitride layer. An additional layer is situated above the anti-reflective layer. The additional layer is formed of one of amorphous silicon nitride or hydrogenated amorphous silicon nitride, in which the ratio of the number of silicon atoms per cubic centimeter to the number of nitrogen atoms per cubic centimeter is greater than 0.7. | 02-05-2015 |
20150035107 | COLOR IMAGE SAMPLING AND RECONSTRUCTION - An image capture apparatus that includes an array of color filters for green, red, and magenta colors arranged over a semiconductor substrate in the manner of a primary color Bayer pattern except a magenta color replaces the blue color. Light passing through the magenta color filter is integrated separately in a magenta pixel for a shallow photodiode signal and a deep photodiode signal in a first photodiode and a deeper second photodiode in the substrate, respectively. A mezzanine photodiode may be disposed between the first and second photodiodes and held at a fixed voltage level or reset multiple times during charge integration. A red pixel value for the magenta pixel is a function of the deep photodiode signal and an adjacent red pixel's red pixel signal. A minimum exists in its derivative with respect to the former at a value of the former that varies with the latter. | 02-05-2015 |
20150035108 | HIGH DENSITY CAPACITOR INTEGRATED INTO FOCAL PLANE ARRAY PROCESSING FLOW - Methods and structures of photodetectors are described. The structure may include a readout integrated circuit substrate having an internally integrated capacitor. The structure may additionally include an external capacitor overlying the readout integrated circuit substrate. The external capacitor may be coupled with the internally integrated capacitor of the readout integrated circuit substrate, and configured to operate in parallel with the internally integrated capacitor of the readout integrated circuit substrate. The structure may also include a detector overlying the external capacitor. | 02-05-2015 |
20150041936 | PASSIVATION OF BACK-ILLUMINATED IMAGE SENSOR - A method for forming a back-illuminated image sensor includes forming a higher doped crystalline layer on a crystalline substrate, growing a lower doped crystalline layer on the higher doped crystalline layer and forming a photodiode and component circuitry from the lower doped crystalline layer. Metallization structures are formed to make connections to and between components. The crystalline substrate is removed to expose the higher doped crystalline layer. An optical component structure is provided on an exposed surface of the higher doped crystalline layer to receive light therein such that the higher doped crystalline layer provides a passivation layer for the photodiode and the component circuitry. | 02-12-2015 |
20150041937 | NANOWIRE STRUCTURED COLOR FILTER ARRAYS AND FABRICATION METHOD OF THE SAME - Color filter array devices and methods of making color filter array devices are disclosed herein. A color filter array may include a substrate having a plurality of pixels thereon, one or more nanowires associated with each of the plurality of pixels, wherein each of the one or more nanowires extends substantially perpendicularly from the substrate, and an optical coupler associated with each of the one or more nanowires. A method of making a color filter array may include, making an array of nanowires, wherein each of the nanowires extend substantially perpendicularly from a substrate, disposing a transparent polymer material to substantially encapsulate the nanowires, removing the nanowires from the substrate, providing a pixel array comprising a plurality of pixels, wherein a hard polymer substantially covers an image plane of the pixel array, disposing the array of nanowires on the pixel array, and removing the transparent polymer encapsulating the nanowires. | 02-12-2015 |
20150041938 | PASSIVATION OF BACK-ILLUMINATED IMAGE SENSOR - A method for forming a back-illuminated image sensor includes forming a higher doped crystalline layer on a crystalline substrate, growing a lower doped crystalline layer on the higher doped crystalline layer and forming a photodiode and component circuitry from the lower doped crystalline layer. Metallization structures are formed to make connections to and between components. The crystalline substrate is removed to expose the higher doped crystalline layer. An optical component structure is provided on an exposed surface of the higher doped crystalline layer to receive light therein such that the higher doped crystalline layer provides a passivation layer for the photodiode and the component circuitry. | 02-12-2015 |
20150041939 | IMAGE SENSOR HAVING LENS TYPE COLOR FILTER AND METHOD FOR FABRICATING THE SAME - The image sensor includes lens-type color filters having a uniform shape for a plurality of pixels. The image sensor includes a plurality of pixels formed in a substrate, a plurality of color filter housings formed over outer boundaries of the respective pixels, and a plurality of color filters filled in spaces defined by the respective color filter housings, wherein the clock filter housings surround edges of the respective color filters with a given curvature. | 02-12-2015 |
20150041940 | IMAGE SENSOR AND ELECTRONIC DEVICE INCLUDING THE SAME - An image sensor includes a semiconductor substrate integrated with at least one first photo-sensing device sensing light in a first wavelength region and at least one second photo-sensing device sensing light in a second wavelength region shorter than the first wavelength region, a photoelectric device including a pair of electrodes facing each other and a light absorption layer between the electrodes, the photoelectric device selectively absorbing light in a third wavelength region between the first wavelength region and the second wavelength region, and a nanostructural body between the semiconductor substrate and the photoelectric device, the nanostructural body including at least two parts having different optical paths. | 02-12-2015 |
20150041941 | SOLID-STATE IMAGING DEVICE - According to one embodiment, a solid-state imaging device including a semiconductor substrate having a light receiving portion, a color filter layer and a selective reflection layer. The color filter layer includes a color filter portion and is provided above a first main surface of the semiconductor substrate. The color filter portion has a transmission band for transmitting light of a predetermined wavelength band and absorbs light outside the transmission band. The selective reflection layer is provided between the first main surface of the semiconductor substrate and the color filter layer so as to contact with the color filter portion. The selective reflection layer has substantially the same refraction index as the color filter portion with respect to light within the transmission band. The refraction index of the selective reflection layer is substantially different from that of the color filter portion with respect to light outside the transmission band. | 02-12-2015 |
20150041942 | SOLID-STATE IMAGE PICKUP DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A solid-state image pickup device, including: a plurality of pixels; a separation structure provided along a boundary line adjacent to the plurality of pixels; the separation structure includes a groove provided from a back surface of the semiconductor substrate to a depth corresponding to a wavelength, the groove being positioned along the boundary line, a first separation layer provided in the groove, and a second separation layer provided above the first separation layer and corresponding to the boundary line, the second separation layer being connected to the first separation layer; and methods including the same. | 02-12-2015 |
20150041943 | METHOD FOR FABRICATING A THICK MULTILAYER OPTICAL FILTER WITHIN AN INTEGRATED CIRCUIT, AND INTEGRATED CIRCUIT COMPRISING A THICK MULTILAYER OPTICAL FILTER - A multilayer optical filter is provided for an integrated circuit including a substrate and a metallization layer interconnection part. The optical filter is formed from a first filter part located within the interconnection part and positioned over a photosensitive region of the substrate. The optical filter further includes a second filter part positioned above the first filter part and the interconnection part. The first and second filter parts each include a metal layer. The first and second filter parts are separated from each other as a function of a wavelength in vacuum of an optical signal to be filtered and received by the photosensitive region. | 02-12-2015 |
20150041944 | IMAGE SENSOR, FABRICATING METHOD THEREOF, AND DEVICE COMPRISING THE IMAGE SENSOR - Image sensor, fabricating method thereof, and device comprising the image sensor are provided, which comprises a substrate in which a photoelectric transformation device is formed, an interconnection structure formed on the substrate and including multiple intermetal dielectric layers and multiple metal interconnections placed in the multiple intermetal dielectric layers, the interconnection structure defining a cavity aligned corresponding to the photoelectric transformation device, a moisture absorption barrier layer conformally formed on a top of the interconnection structure and in the cavity; and a light guide unit formed on the moisture absorption barrier layer and including light transmittance material filling the cavity, wherein the moisture absorption barrier layer is formed with a uniform thickness on both sides and a bottom of the cavity and on a top surface of the multiple intermetal dielectric layer. | 02-12-2015 |
20150048467 | Structure of Dielectric Grid with a Metal Pillar for Semiconductor Device - An image sensor device and a method for manufacturing the image sensor device are provided. An image sensor device includes a substrate, sensor elements disposed at a front surface of the substrate, and a dielectric grid disposed over a back surface of the substrate. The dielectric grid includes a first dielectric layer as a bottom portion, a metal pillar, as a core portion of a upper portion, disposed over the first dielectric layer and a second dielectric layer wrapping around the metal pillar. The image sensor device also includes a stack of layers disposed over the back surface of the substrate. Refractive index of each layers increases from top layer to bottom layer. The image sensor device also includes a color filter and a microlens disposed over the back surface of the substrate. | 02-19-2015 |
20150048468 | SOLID-STATE IMAGING DEVICE - According to one embodiment, a solid-state imaging device includes a first light-receiving portion and a first light guide layer. The first light-receiving portion is formed in the surface of a semiconductor substrate. The first light guide layer is formed to correspond to a portion above the first light-receiving portion, and has an inverse tapered shape in which the width becomes larger from an upper surface a lower surface. The inverse tapered shape ranges from the upper surface the lower surface. | 02-19-2015 |
20150048469 | IMAGE SENSOR - An image sensor is provided. The image sensor comprises a substrate including a first surface and a second surface which are opposite to the first surface and a photoelectric converting element formed therein, a graphene layer formed on the first surface of the substrate to be flat, and a plurality of micro lenses which is formed on the graphene layer. | 02-19-2015 |
20150048470 | ELECTROMAGNETIC RADIATION MICRO DEVICE, WAFER ELEMENT AND METHOD FOR MANUFACTURING SUCH A MICRO DEVICE - The invention refers to an electromagnetic radiation sensor micro device for detecting electromagnetic radiation, which device comprises a substrate and a cover at least in part consisting of an electromagnetic radiation transparent material, and comprising a reflection reducing coating and providing a hermetic sealed cavity and an electromagnetic radiation detecting unit arranged within the cavity. The reflection reducing coating is arranged in form of a multi-layer thin film stack, which comprises a first layer and a second layer arranged one upon the other. The first layer has a first refractive index and the second layer has a second refractive index different from the one of said first layer. First and second layer are of such layer thickness that for a certain wavelength there is destructive interference. The invention also refers to a wafer element as well as method for manufacturing such a device. | 02-19-2015 |
20150054103 | IMAGING SYSTEMS WITH BAFFLE GRIDS - An imaging system may include a camera module with an image sensor having an array of image sensor pixels. The image sensor may include a substrate having an array of photodiodes, an array of microlenses formed over the array of photodiodes, and an array of color filter elements interposed between the array of microlenses and the array of photodiodes. A grid of baffles may be formed over the array of image pixels and may be configured to block stray light from striking the image pixels. The baffles may extend above the microlens array and may be tilted at an angle with respect to the optical axis of the image sensor. The angle at which each baffle is tilted may be proportional to the chief ray angle of an associated microlens. Baffles may be formed from a light-blocking material such as metal, photoresist, carbon, graphite, or other suitable material. | 02-26-2015 |
20150054104 | IMAGING SYSTEMS HAVING LENS SUBSTRATES WITH TOTAL INTERNAL REFLECTION MITIGATION STRUCTURES - Imaging systems may include camera modules that include multiple image sensor pixel arrays. A transparent lens substrate may be formed over the image pixel arrays. Lenses may be formed in the lens substrate such that each lens transmits light to a corresponding image sensor pixel array. Total internal reflection mitigation structures such as groove structures may be formed in one or more surfaces of the lens substrate between each of the lenses. The groove structures may include concentric ring shaped grooves in a surface of the lens substrate so that each lens is surrounded by a respective group of concentric ring shaped grooves. The groove structures may have a depth, angle, shape, and spacing that prevents total internal reflection of image light between the lenses so that high incident angle image light incident on a given pixel array is not captured by an adjacent pixel array. | 02-26-2015 |
20150054105 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS - A solid-state imaging device which includes, a photoelectric conversion film provided on a second surface side which is the opposite side to a first surface on which a wiring layer of a semiconductor substrate is formed, performs photoelectric conversion with respect to light in a predetermined wavelength region, and transmits light in other wavelength regions; and a photoelectric conversion layer which is provided in the semiconductor substrate, and performs the photoelectric conversion with respect to light in other wavelength regions which has transmitted the photoelectric conversion film, in which input light is incident from the second surface side with respect to the photoelectric conversion film and the photoelectric conversion layer. | 02-26-2015 |
20150054106 | DUAL-FACING CAMERA ASSEMBLY - Embodiments of the invention relate to a camera assembly including a rear-facing camera and a front-facing camera operatively coupled together (e.g., bonded, stacked on a common substrate). | 02-26-2015 |
20150061061 | PHOTO DIODE AND METHOD OF FORMING THE SAME - A method for forming a photo diode is provided. The method includes: forming a first pair of electrodes and a second pair of electrodes over a substrate by using a conductive layer; forming a dielectric layer over the substrate; patterning the dielectric layer over the substrate; forming a photo conversion layer over the substrate; and forming a color filter layer over the photo conversion layer, wherein at least a portion of the dielectric layer separates a first portion of the color filter layer corresponding to a first pixel from a second portion of the color filer layer corresponding to a second pixel, and a refractive index of the dielectric layer is lower than a refractive index of the color filter layer, wherein the first pair of electrodes corresponds to the first pixel and the second pair of electrodes corresponds to the second pixel. | 03-05-2015 |
20150061062 | MECHANISMS FOR FORMING IMAGE-SENSOR DEVICE WITH DEEP-TRENCH ISOLATION STRUCTURE - Embodiments of mechanisms of for forming an image-sensor device are provided. The image-sensor device includes a substrate having a front surface and a back surface. The image-sensor device also includes a radiation-sensing region operable to detect incident radiation that enters the substrate through the back surface. The image-sensor device further includes a doped isolation region formed in the substrate and adjacent to the radiation-sensing region. In addition, the image-sensor device includes a deep-trench isolation structure formed in the doped isolation region. The deep-trench isolation structure includes a trench extending from the back surface and a negatively charged film covering the trench. | 03-05-2015 |
20150061063 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor may include a substrate having photoelectric conversion regions respectively formed on a plurality of pixels and charge trap regions overlapping with the respective photoelectric conversion regions and having depths or thicknesses that are different, for each of the respective pixel. | 03-05-2015 |
20150061064 | IMAGING APPARATUS AND ELECTRONIC SYSTEM - An imaging apparatus includes: a lens group formed of one or more lens elements; and an imaging device having a light receiving surface on which the lens group forms an image of an object, wherein the light receiving surface of the imaging device is a curved surface that is concave toward the lens group, and the light receiving surface of the imaging device has an aspheric shape so shaped that a tangential angle that is an angle between a tangential line circumscribing an edge of the light receiving surface and a plane perpendicular to an optical axis of the lens group is smaller than the tangential angle provided when the light receiving surface has a spherical shape. | 03-05-2015 |
20150061065 | OPTICAL SENSING OF NEARBY SCENES WITH TESSELLATED PHASE ANTI-SYMMETRIC PHASE GRATINGS - An array of diffraction-pattern generators employ phase anti-symmetric gratings to projects near-field spatial modulations onto a closely spaced array of photoelements. Each generator in the array of generators produces point-spread functions with spatial frequencies and orientations of interest. The generators are arranged in an irregular mosaic with little or no short-range repetition. Diverse generators are shaped and placed with some irregularity to reduce or eliminate spatially periodic replication of ambiguities to facilitate imaging of nearby scenes. | 03-05-2015 |
20150061066 | IMAGING APPARATUS - Provided is an imaging apparatus having a plurality of light receiving parts for each one microlens in order for capturing a three-dimensional image, while being capable of obtaining a more natural image when creating a two-dimensional image. The imaging apparatus includes: a microlens array ( | 03-05-2015 |
20150069564 | IMAGING DEVICE, APPARATUS AND METHOD FOR PRODUCING THE SAME AND ELECTRONIC APPARATUS - Solid-state imaging devices, methods to produce the solid-state imaging devices, and electronic apparatuses including the solid-state imaging devices, where the solid-state imaging devices include a semiconductor substrate including a light receiving surface; a plurality of photoelectric conversion parts provided within the semiconductor substrate; and a plurality of reflection portions provided in the semiconductor substrate on a side of the photoelectric conversion parts that is opposite from the light receiving surface; where each of the reflection portions includes a reflection plate and a plurality of metal wirings, and where the plurality of metal wirings are disposed in a same layer of the semiconductor substrate as the reflection plate. | 03-12-2015 |
20150076637 | PHOTO DIODE AND METHOD OF FORMING THE SAME - A method for forming a photo diode is provided. The method includes: forming a first bottom electrode corresponding to a first pixel and a second bottom electrode corresponding to a second pixel over a substrate; forming a dielectric layer over the substrate; patterning the dielectric layer over the substrate; forming a photo conversion layer over the substrate; and forming a top electrode over the photo conversion layer; forming a color filter layer over the top electrode, wherein at least a portion of the dielectric layer separates a first portion of the color filter layer corresponding to a first pixel from a second portion of the color filer layer corresponding to a second pixel, and a refractive index of the dielectric layer is lower than a refractive index of the color filter layer. | 03-19-2015 |
20150076638 | MECHANISMS FOR FORMING BACKSIDE ILLUMINATED IMAGE SENSOR DEVICE STRUCTURE - Embodiments of mechanisms of a backside illuminated image sensor device structure are provided. The backside illuminated image sensor device structure includes a substrate having a frontside and a backside and a pixel array formed in the frontside of the substrate. The backside illuminated image sensor device structure further includes an antireflective layer formed over the backside of the substrate, and the antireflective layer is made of silicon carbide nitride. | 03-19-2015 |
20150076639 | OPTICAL SHIELD IN A PIXEL CELL PLANARIZATION LAYER FOR BLACK LEVEL CORRECTION - A pixel array includes a plurality of photodiodes disposed in a semiconductor layer and arranged in the pixel array. A color filter layer is disposed proximate to the semiconductor layer. Light is to be directed to at least a first one of the plurality of photodiodes through the color filter layer. An optical shield layer is disposed proximate to the color filter layer. The color filter layer is disposed between the optical shield layer and the semiconductor layer. The optical shield layer shields at least a second one of the plurality of photodiodes from the light. | 03-19-2015 |
20150076640 | OPTICAL MODULE - To suppress appearance of a ghost. | 03-19-2015 |
20150076641 | Avalanche Photodiodes with Defect-assisted Silicon Absorption Regions - An avalanche photodiode with a defect-assisted silicon absorption region. An example includes a substrate; a layer of silicon on the substrate, the layer of silicon including a positively-doped region, a negatively-doped region, and an absorption region between the positively-doped and negatively-doped regions, the absorption region including defects in its crystal structure; and contacts in electrical communication with the positively-doped and negatively-doped regions to receive a bias potential. | 03-19-2015 |
20150076642 | PHOTODETECTION DEVICE AND SENSOR PACKAGE - A photodetection device of the present invention includes a semiconductor substrate which is defined such that a first light-receiving portion and a second light-receiving portion are spaced from one another, and an optical filter which is formed on the semiconductor substrate, and includes a first filter which is disposed so as to cover the first light-receiving portion, to selectively allow an optic element in a first wavelength band to transmit through, and a second filter which is disposed so as to cover the second light-receiving portion, to selectively allow an optic element in a second wavelength band different from the first wavelength band, to transmit through, and the optical filter has a filter laminated structure which is defined such that edge portions of the first filter and the second filter overlap one another on a boundary region between the first light-receiving portion and the second light-receiving portion. | 03-19-2015 |
20150076643 | SOLID-STATE IMAGING APPARATUS, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A solid-state imaging apparatus includes a plurality of phase difference detection pixels configured adjacent to one another; and an isolation structure arranged so as to isolate light entering each of light-receiving units of the plurality of phase difference detection pixels, in which the isolation structure is formed so as to have a inclined side wall surface whose cross section is tapered. | 03-19-2015 |
20150076644 | METHOD FOR MANUFACTURING SOLID-STATE IMAGE SENSOR - A method for manufacturing a solid-state image sensor, comprising preparing a substrate including a pixel region where a plurality of pixels are provided and a peripheral region, forming a structure including a wiring layer and an interlayer insulation film on the pixel region and the peripheral region, forming a first wiring pattern only on the structure located in the peripheral region, forming a protective film covering the first wiring pattern and the structure, forming a second wiring pattern on a convex portion of the protective film formed by steps between an upper surface of the first wiring pattern and the structure so that an end of the second wiring pattern is located away from the pixel region than an end of the first wiring pattern in a state that the protective film covers the first wiring pattern, and forming an optical system. | 03-19-2015 |
20150084143 | WAVEGUIDE-COUPLED MSM-TYPE PHOTODIODE - A waveguide-coupled MSM-type photodiode of the present invention comprises a structure in which a semiconductor light-absorbing layer and an optical waveguide core layer are adjacent and optically coupled to each other, has formed metal-semiconductor-metal (MSM) junctions which are arranged at an interval on the semiconductor light-absorbing layer, and is characterized in that of the MSM electrodes arranged at the interval, a voltage is set so that a reverse bias is applied to those MSM electrodes that are arranged on a light incidence side. | 03-26-2015 |
20150084144 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING A SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - Disclosed is a solid-state imaging device including a plurality of pixels and a plurality of on-chip lenses. The plurality of pixels are arranged in a matrix pattern. Each of the pixels has a photoelectric conversion portion configured to photoelectrically convert light incident from a rear surface side of a semiconductor substrate. The plurality of on-chip lenses are arranged for every other pixel. The on-chip lenses are larger in size than the pixels. Each of color filters at the pixels where the on-chip lenses are present has a cross-sectional shape whose upper side close to the on-chip lens is the same in width as the on-chip lens and whose lower side close to the photoelectric conversion portion is shorter than the upper side. | 03-26-2015 |
20150084145 | OPTICAL SEMICONDUCTOR ELEMENT AND METHOD OF MANUFACTURING THE SAME - A disclosed optical semiconductor element includes: a semiconductor substrate having a first main surface and a second main surface in which a plurality of first grooves are formed; a first optical waveguide defined by portions of the semiconductor substrate between the first grooves and having side faces defined by the first grooves; and a photoelectric converter configured to transmit or receive an optical signal propagating through the first optical waveguide. Moreover, the first grooves define part of a guide hole. | 03-26-2015 |
20150084146 | BACKSIDE ILLUMINATION IMAGE SENSOR AND IMAGE-CAPTURING DEVICE - A backside illumination image sensor that includes a semiconductor substrate with a plurality of photoelectric conversion elements and a read circuit formed on a front surface side of the semiconductor substrate, and captures an image by outputting, via the read circuit, electrical signals generated as incident light having reached a back surface side of the semiconductor substrate is received at the photoelectric conversion elements includes: a light shielding film formed on a side where incident light enters the photoelectric conversion elements, with an opening formed therein in correspondence to each photoelectric conversion element; and an on-chip lens formed at a position set apart from the light shielding film by a predetermined distance in correspondence to each photoelectric conversion element. The light shielding film and an exit pupil plane of the image forming optical system achieve a conjugate relation to each other with regard to the on-chip lens. | 03-26-2015 |
20150091115 | IMAGING DEVICES WITH PARTITIONS IN PHOTOELECTRIC CONVERSION LAYER - An imaging device is provided. The imaging device includes a substrate containing a first photodiode and a second photodiode formed thereon. A photoelectric conversion layer including a first zone and a second zone is disposed above the substrate. Further, an insulating partition is disposed between the first zone and the second zone of the photoelectric conversion layer. A first electrode is disposed under the first zone and a second electrode is disposed under the second zone of the photoelectric conversion layer. In addition, an electrical interconnection is disposed on the photoelectric conversion layer. | 04-02-2015 |
20150091116 | PROCESS FOR FORMING A STACK OF DIFFERENT MATERIALS, AND DEVICE COMPRISING THIS STACK - A stack of layers defines a filter and is formed by copper on hydrogenated silicon nitride supported by a carrier. The filter includes a layer of hydrogenated silicon nitride, a layer of silicon oxide on the layer of hydrogenated silicon nitride and a layer of copper on the layer of silicon oxide. The layer of hydrogenated silicon nitride may have, in a vicinity of its upper side, a ratio of a number of silicon atoms per cubic centimeter to a number of nitrogen atoms per cubic centimeter lower than 0.8 (or even lower than 0.6), with a number of silicon-hydrogen bonds smaller than or equal to 6×10 | 04-02-2015 |
20150091117 | X-RAY SENSOR AND METHOD OF MANUFACTURING THE SAME - This invention relates to an X-ray sensor having flexible properties and to a method of manufacturing the same. This X-ray sensor includes an array substrate including a semiconductor layer having a light-receiving element; a scintillator panel bonded to the array substrate and including a scintillator layer; a first polymer layer attached to an outer surface of the array substrate by a first adhesive layer; a second polymer layer attached to an outer surface of the scintillator panel by a second adhesive layer; and a third adhesive layer disposed between the array substrate and the scintillator panel so as to attach the array substrate and the scintillator panel to each other. | 04-02-2015 |
20150091118 | PACKAGE-ON-PACKAGE ASSEMBLY WITH WIRE BONDS TO ENCAPSULATION SURFACE - A method of making a microelectronic package includes forming a dielectric encapsulation layer on an in-process unit having a substrate having a first surface and a second surface remote therefrom. A microelectronic element is mounted to the first surface of the substrate, and a plurality of conductive elements exposed at the first surface, at least some of which are electrically connected to the microelectronic element. Wire bonds have bases joined to the conductive elements and end surfaces remote from the bases and define an edge surface extending away between the base and the end surface. The encapsulation layer is formed to at least partially cover the first surface and portions of the wire bonds with unencapsulated portions of the wire bonds being defined by at least one of the end surface or a portion of the edge surface that is uncovered thereby. | 04-02-2015 |
20150091119 | COLOR FILTER INCLUDING CLEAR PIXEL AND HARD MASK - Embodiments of an apparatus including a color filter arrangement formed on a substrate having a pixel array formed therein. The color filter arrangement includes a clear filter having a first clear hard mask layer and a second clear hard mask layer formed thereon, a first color filter having the first clear hard mask layer and the second hard mask layer formed thereon, a second color filter having the first clear hard mask layer formed thereon, and a third color filter having no clear hard mask layer formed thereon. Other embodiments are disclosed and claimed. | 04-02-2015 |
20150097256 | SEMICONDUCTOR DEVICES INCLUDING AVALANCHE PHOTODETECTOR DIODES INTEGRATED ON WAVEGUIDES AND METHODS FOR FABRICATING THE SAME - Semiconductor devices and methods for fabricating semiconductor devices are provided. In one example, a method for fabricating a semiconductor device includes etching a trench into a waveguide layer in a detector region of a semiconductor substrate. An avalanche photodetector diode is formed about the trench. Forming the avalanche photodetector diode includes forming a multiplication region in the waveguide layer laterally adjacent to the trench. An absorption region is formed at least partially disposed in the trench. | 04-09-2015 |
20150097257 | INTEGRATED WAVEGUIDE STRUCTURE WITH PERFORATED CHIP EDGE SEAL - An integrated waveguide structure with perforated chip edge seal and methods of manufacture are disclosed herein. The structure includes a guard ring structure surrounding an active region of an integrated circuit chip. The structure further includes a gap in the guard ring structure which is located at a predetermined level of the integrated circuit chip. The structure further includes a waveguide structure formed on a substrate of the integrated circuit chip. The structure further includes a fiber optic optically coupled to the waveguide structure through the gap formed in the guard ring structure. | 04-09-2015 |
20150097258 | SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - A semiconductor device includes a wiring layer that includes at least one low-dielectric rate interlayer insulating film layer; a guard ring that is formed by placing in series a wire and a via so as to be in contact with a through electrode, in a portion in which the through electrode passing through the wiring layer is formed; and the through electrode that is formed by being buried inside the guard ring. | 04-09-2015 |
20150102442 | SOLID-STATE IMAGING DEVICE, METHOD OF FORMING MICROLENS IN SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - A solid-state imaging device comprises an imaging pixel located in a light receiving region, the imaging pixel being a component of a unit pixel that is one of a plurality of unit pixels arranged in an array direction. A phase difference detection pixel is located in the light receiving region and is a component of the unit pixel, and has a corresponding photodiode with an upper surface. A first microlens corresponds to the imaging pixel, and a second microlens corresponding to the phase difference detection pixel. The second microlens has a first bottom surface in the array direction and a second bottom surface in a direction diagonal to the array direction, the second bottom surface being closer to the upper surface of the photodiode than the first bottom surface. | 04-16-2015 |
20150102443 | Infrared Sensor Device and Method for Producing an Infrared Sensor Device - An infrared sensor device includes at least one sensor element formed in a semiconductor substrate, an SOI wafer that defines a gap below and around the sensor element, and a suspension device that is configured to suspend the sensor element in the SOI wafer. The sensor element is substantially arranged below the suspension device, thereby achieving a high sensitivity, low thermal capacity, low thermal coupling to the substrate and a high image refresh rate. | 04-16-2015 |
20150102444 | LIGHT SENSORS HAVING DIELECTRIC OPTICAL COATING FILTERS - Light sensors including dielectric optical coatings to shape their spectral responses, and methods for fabricating such light sensors in a manner that accelerates lift-off processes and increases process margins, are described herein. In an embodiment, a light sensor includes a photodetector sensor region formed in a semiconductor substrate, a dielectric optical coating filter covering the photodetector sensor region, and dummy dielectric optical coating features beyond the photodetector sensor region, wherein the dummy dielectric optical features include one or more dummy corners, dummy islands and/or dummy rings. Alternatively, or additionally, the dielectric optical coating filter includes chamfered corners, which improves the thermal reliability of the dielectric optical coating. | 04-16-2015 |
20150102445 | ALIGNMENT MARKS AND ALIGNMENT METHODS FOR ALIGNING BACKSIDE COMPONENTS TO FRONTSIDE COMPONENTS IN INTEGRATED CIRCUITS - An imaging system may include an imager integrated circuit with frontside components such as imaging pixels and backside components such as color filters and microlenses. The imager integrated circuit may be mounted to a carrier wafer with alignment marks. Bonding marks on the carrier wafer and the imager integrated circuit may be used to align the carrier wafer accurately to the imager integrated circuit. The alignment marks on the carrier wafer may be read, by fabrication equipment, to align backside components of the imager integrated circuit, such as color filters and microlenses, with backside components of the imager integrated circuit, such as photodiodes. | 04-16-2015 |
20150102446 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - A solid-state imaging device includes a plurality of photoelectric conversion portions each provided in a semiconductor substrate and receives incident light through a light sensing surface, and a pixel separation portion provided to electrically separate a plurality of pixels. At least a pinning layer and a light shielding layer are provided in an inner portion of a trench provided on a side portion of each of the photoelectric conversion portions in an incident surface side, the trench includes a first trench and a second trench formed to be wider than the first trench in a portion shallower than the first trench, the pinning layer is formed in an inner portion of the first trench to cover an inside surface of the second trench, and the light shielding layer is formed to bury an inner portion of the second trench at least via the pinning layer. | 04-16-2015 |
20150108596 | METHOD PROVIDING AN EPITAXIAL PHOTONIC DEVICE HAVING A REDUCTION IN DEFECTS AND RESULTING STRUCTURE - A method of forming a photonic device and resulting structure are described in which the photonic device is epitaxially grown over a substrate surface vertically, and laterally over trench isolation regions formed in the substrate surface. | 04-23-2015 |
20150108597 | IMAGE CAPTURING LENS ASSEMBLY, IMAGE CAPTURING DEVICE AND MOBILE TERMINAL - An image capturing lens assembly includes, in order from an object side to an image side, a first lens element, a second lens element and a third lens element. The first lens element with positive refractive power has a convex object-side surface and a convex image-side surface, wherein the surfaces of the first lens element are aspheric. The second lens element with positive refractive power has a concave object-side surface and a convex image-side surface, wherein the surfaces of the second lens element are aspheric. The third lens element with negative refractive power has a concave image-side surface in a paraxial region thereof, wherein the image-side surface of the third lens element has at least one convex shape in an off-axis region thereof, and the surfaces of the third lens element are aspheric. The image capturing lens assembly has a total of three lens elements with refractive power. | 04-23-2015 |
20150108598 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - There is provided a solid-state imaging device including: a semiconductor substrate that is formed with a photodiode for each pixel; a light shielding film that is laminated on the semiconductor substrate on a side of a light irradiated surface which is irradiated with light, and is formed to include an opening corresponding to a spot in which at least the photodiode is arranged; and a photoelectric conversion film that is laminated to cover the light irradiated surface of the semiconductor substrate and the light shielding film, and is configured to generate an electrical charge by absorbing light. The photoelectric conversion film is formed of a material which has higher light absorptivity than light absorptivity of the semiconductor substrate. | 04-23-2015 |
20150108599 | SEMICONDUCTOR APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR APPARATUS, METHOD OF DESIGNING SEMICONDUCTOR APPARATUS, AND ELECTRONIC APPARATUS - A semiconductor device including a first material layer adjacent to a second material layer, a first via passing through the first material layer and extending into the second material layer, and a second via extending into the first material layer, where along a common cross section parallel to an interface between the two material layers, the first via has a cross section larger than that of the second via. | 04-23-2015 |
20150115382 | Image Sensor Comprising Reflective Guide Layer and Method of Forming the Same - Various structures of image sensors are disclosed, as well as methods of forming the image sensors. According to an embodiment, a structure comprises a substrate comprising photo diodes, an oxide layer on the substrate, recesses in the oxide layer and corresponding to the photo diodes, a reflective guide material on a sidewall of each of the recesses, and color filters each being disposed in a respective one of the recesses. The oxide layer and the reflective guide material form a grid among the color filters, and at least a portion of the oxide layer and a portion of the reflective guide material are disposed between neighboring color filters. | 04-30-2015 |
20150115383 | OPTICAL DEVICE AND SOLID-STATE IMAGE SENSING DEVICE - According to one embodiment, an optical device includes a plurality of optical elements arrange in array. At least of the optical elements includes an optical layer constituted by a plurality of patterns. The plurality of patterns are formed by a layered body including metal layers and a dielectric layer interlayered between the metal layers, and formed as a plurality of regularly-arranged loop-like patterns with a density decreasing from the center toward the periphery of the loop. | 04-30-2015 |
20150115384 | LIGHT RECEIVING DEVICE - A light receiving device includes an optical substrate disposed over a light receiving surface. In the optical substrate, a first optical multilayer film is formed on an incident surface, a second optical multilayer film is formed on a surface opposite the incident surface, and a third optical multilayer film is formed on the light receiving surface. Light of two wavelength regions separated from each other is transmitted, and light of wavelength regions other than the two wavelength regions is blocked. The two wavelength regions include a first wavelength region on the short wavelength side and a second wavelength region on the long wavelength side. At least a predetermined proportion of light of the second wavelength region is transmitted, and the transmittance of light of the first wavelength region is limited within a predetermined range less than the predetermined proportion. | 04-30-2015 |
20150115385 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND CAMERA WITH ALTERNATELY ARRANGED PIXEL COMBINATIONS - A solid-state imaging device includes a semiconductor substrate; and a pixel unit having a plurality of pixels on the semiconductor substrate, wherein the pixel unit includes first pixel groups having two or more pixels and second pixel groups being different from the first pixel groups, wherein a portion of the pixels in the first pixel groups and a portion of the pixels in the second pixel groups share a floating diffusion element. | 04-30-2015 |
20150123225 | BACKSIDE ILLUMINATOR IMAGE SENSOR DEVICE WITH SHIELDING LAYER - A backside illuminated image sensor device with a shielding layer and a manufacturing method thereof are provided. In the backside illuminated image senor device, a patterned conductive shielding layer is formed on a dielectric layer on a backside surface of a semiconductor substrate and surrounding a pixel array on a front side surface of the semiconductor substrate. | 05-07-2015 |
20150123226 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor includes a photoelectric conversion region formed in a substrate, an interlayer insulation layer formed over a front side of the substrate, a carbon-containing layer doped with impurities and formed over a back side of the substrate, and a color filter and a micro-lens formed over the carbon-containing layer. | 05-07-2015 |
20150123227 | IMAGE PICKUP ELEMENT, IMAGE PICKUP DEVICE, MANUFACTURING DEVICE AND METHOD - There is provided an image pickup element including a non-planar layer having a non-planar light incident surface in a light receiving region, and a microlens of an inorganic material which is provided on a side of the light incident surface of the non-planar layer, and collects incident light. | 05-07-2015 |
20150123228 | SOLID-STATE IMAGING UNIT AND ELECTRONIC APPARATUS - There is configured a solid-state imaging unit including: a semiconductor base | 05-07-2015 |
20150123229 | SOLID-STATE IMAGING DEVICE, LAYOUT DATA GENERATING DEVICE AND LAYOUT DATA GENERATING METHOD - A solid-state imaging device includes pixels respectively having photoelectric conversion units and arranged in matrix in basic pattern units, and an optical member arranged on the incidence side of incident light than the pixels and having constituent elements respectively corresponding to the pixels. The pixels include first, second and third wavelength range light pixels. Each basic pattern is comprised of a combined arrangement pattern of the wavelength range light pixels. Misregistration constituent elements with the occurrence of misregistration exist in the constituent elements. The misregistration increases toward the misregistration constituent elements separated from a center position of a pixel array of the pixels. The misregistration of the misregistration constituent element for the first wavelength range light pixel and that of the misregistration constituent element for the third wavelength range light pixel are smaller and larger than that of the misregistration constituent element for the second wavelength range light pixel, respectively. | 05-07-2015 |
20150123230 | IMAGE SENSOR INCLUDING A PHOTONIC CRYSTAL, AN OPERATING METHOD THEREOF, AND A DATA PROCESSING SYSTEM INCLUDING THE IMAGE SENSOR - An image sensor including: a plurality of pixels, wherein a first pixel of the pixels includes: a first photoelectric conversion element; and a first microlens overlapping the first photoelectric conversion element, wherein the first microlens reflects wavelengths of a first region of visible light and allows wavelengths of second and third regions of visible light to pass through to the first photoelectric conversion element. | 05-07-2015 |
20150123231 | SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF - A manufacturing method of a semiconductor structure includes the following steps. A wafer structure having a silicon substrate and a protection layer is provided. An electrical pad on the protection layer is exposed through the concave region of the silicon substrate. An isolation layer is formed on the sidewall of the silicon substrate surrounding the concave region and a surface of the silicon substrate facing away from the protection layer. A redistribution layer is formed on the isolation layer and the electrical pad. A passivation layer is formed on the redistribution layer. The passivation layer is patterned to form a first opening therein. A first conductive layer is formed on the redistribution layer exposed through the first opening. A conductive structure is arranged in the first opening, such that the conductive structure is in electrical contact with the first conductive layer. | 05-07-2015 |
20150123232 | OPTICAL SENSORS FOR DETECTING RELATIVE MOTION AND/OR POSITION AND METHODS AND SYSTEMS FOR USING SUCH OPTICAL SENSORS - An optical sensor, according to an embodiment of the present invention, includes a photodetector region and a plurality of slats over the photodetector region. In an embodiment, the slats are made of an opaque polymer material, such as an opaque photoresist. In an embodiment, the slats are angled relative to a surface of the photodetector region. | 05-07-2015 |
20150130000 | CHIP PACKAGE STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A chip package structure includes a nanometer deposition layer and a chip having an electrical connection circuit, a photo sensing region and a plurality of electrical connection pads. The electrical connection pads and the photo sensing region are formed on the upper surface of the chip. The photo sensing region is covered with the nanometer deposition layer, which exposes the electrical connection pads. The nanometer deposition layer is used to provide electrical insulation, isolation and protection. The method for manufacturing the chip package structure includes cleaning the wafer with the chips, forming the nanometer deposition layer, and scribing the wafer to separate the chips. The present invention replaces the process of mold filling by directly forming the nanometer deposition layer so as to simplify the manufacturing steps, reduce the cost and facilitate the production, thereby shrinking the size of the chip package. | 05-14-2015 |
20150130001 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THEREOF - An image sensor is provided including a substrate, an array of photosensitive units, a grid and a plurality of color filters. In the image sensor, the grid has a first portion and a second portion disposed on the first portion. The second portion of the grid can cause reflection or refraction of incident lights targeted for one image sensor element back into the same image sensor element, so as to avoid crosstalk occurred. Further, a method for manufacturing the image sensor also provides herein. | 05-14-2015 |
20150130002 | IMAGE SENSOR AND METHOD FOR MANUFACTURING THEREOF - An image sensor is provided including a substrate, an array of photosensitive units, a grid, a light-tight layer and a plurality of color filters. In the image sensor, the grid has a top surface, and the light-tight layer is disposed on the top surface of the grid. Due to the light-tight layer on the grid, an incident light entering into the grid can be blocked by the light-tight layer, so that the crosstalk effect is reduced significantly. Further, a method for manufacturing the image sensor also provides herein. | 05-14-2015 |
20150130003 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor includes a substrate including photoelectric conversion regions, a magnetic layer disposed on a back side of the substrate and suitable for generating a magnetic field, and color filters and microlenses disposed on the magnetic layer. | 05-14-2015 |
20150130004 | SOLID-STATE IMAGE PICKUP DEVICE - A portion on the light exit end surface side of a fiber optic plate includes a first portion and a second portion. The first portion corresponds to a peripheral portion of a semiconductor photodetecting element. The second portion corresponds to a thin portion of the semiconductor photodetecting element and projects more toward the semiconductor photodetecting element than the first portion. A height of a step made between the first portion and the second portion of the fiber optic plate is lower than a height of a step made between the thin portion and the peripheral portion of the semiconductor photodetecting element. The semiconductor photodetecting element and the fiber optic plate are fixed by a resin, in a state in which the first portion and the peripheral portion are in contact and in which the second portion and the thin portion are separated. | 05-14-2015 |
20150130005 | IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - Example embodiments disclose an image sensor and a fabricating method thereof. An image sensor may include a semiconductor layer with a light-receiving region and a light-blocking region, the semiconductor layer including photoelectric conversion devices, a light-blocking layer on a surface of the semiconductor layer, color filters on the semiconductor layer and the light-blocking layer, and micro lenses on the color filters. The color filters are absent from an interface region between the light-receiving region and the light-blocking region. | 05-14-2015 |
20150130006 | SOLID-STATE IMAGE SENSOR - An image sensor includes first to fourth microlenses. A first height difference between a first valley between the first and second microlenses and tops of the first and second microlenses is larger than a second height difference between a second valley between the third and fourth microlenses and tops of the third and fourth microlens, a first angle formed by a tangent in an outermost portion of the first microlens, which contacts the first valley and a plane perpendicular to the normal is equal to or smaller than a second angle formed by a tangent in an outermost portion of the third microlens, which contacts the second valley and the plane. | 05-14-2015 |
20150130007 | SEMICONDUCTOR DEVICE, AND METHOD FOR FORMING THE SAME - A semiconductor device is improved in performance by preventing the generation of color mixing in its pixels which form an image pickup device. In a region between adjacent pixels, which is a region for separating regions where respective color filters of the pixels from each other, septum walls are formed. The septum walls are each made of an insulator film smaller in refractive index than the color filters, and an insulator film which is formed to cover side walls of the insulator film and is larger in refractive index than the color filters. In this way, a light ray radiated into the upper surface of each of the septum walls can be prevented from invading the pixels adjacent to the wall. | 05-14-2015 |
20150130008 | NEAR-INFRARED ABSORPTIVE COMPOSITION, NEAR-INFRARED CUT FILTER USING NEAR-INFRARED ABSORPTIVE COMPOSITION, METHOD FOR MANUFACTURING NEAR-INFRARED CUT FILTER, AND CAMERA MODULE AND METHOD FOR MANUFACTURING CAMERA MODULE - Provided is a near-infrared absorptive compositions capable of reducing unevenness in the coated surface profile and variation in near-infrared absorptive ability when the near-infrared absorptive compositions are formed into films. The near-infrared absorptive composition comprises a copper complex and a solvent, wherein the near-infrared absorptive composition has a solid content of 10 to 90% by mass and the solvent has a boiling point of 90 to 200° C. | 05-14-2015 |
20150137295 | TWO COLOR DETECTOR LEVERAGING RESONANT CAVITY ENHANCEMENT FOR PERFORMANCE IMPROVEMENT - Methods and structures for providing single-color or multi-color photo-detectors leveraging cavity resonance for performance benefits. In one example, a radiation detector ( | 05-21-2015 |
20150137296 | Color Filter Array and Micro-Lens Structure for Imaging System - A color filter array and micro-lens structure for imaging system and method of forming the color filter array and micro-lens structure. A micro-lens material is used to fill the space between the color filters to re-direct incident radiation, and form a micro-lens structure above a top surface of the color filters. | 05-21-2015 |
20150137297 | METHODS OF FORMING IMAGING DEVICE LAYERS USING CARRIER SUBSTRATES - An array of color filter elements may be formed over an array of photodiodes in an integrated circuit for an imaging device using a carrier substrate. The carrier substrate may have a planar surface with a release layer. A layer of color filter material may be applied to the release layer. The carrier substrate may then be flipped and the layer of color filter material may be bonded to the integrated circuit. Heat may be applied to activate the release layer and the carrier substrate may be removed at the interface between the release layer and the color filter material. The layer of color filter material may be patterned either before bonding the layer of color filter material or after the carrier substrate is removed. A layer of microlenses may be formed over the array of color filter elements using a carrier substrate. | 05-21-2015 |
20150137298 | LIGHT DETECTION DEVICE - A semiconductor light detection element has a plurality of channels, each of which consists of a photodiode array including a plurality of avalanche photodiodes operating in Geiger mode, quenching resistors connected in series to the respective avalanche photodiodes, and signal lines to which the quenching resistors are connected in parallel. A mounting substrate is configured so that a plurality of electrodes corresponding to the respective channels are arranged on a third principal surface side and so that a signal processing unit for processing output signals from the respective channels is arranged on a fourth principal surface side. In a semiconductor substrate, through-hole electrodes electrically connected to the signal lines are formed for the respective channels. The through-hole electrodes and the electrodes are electrically connected through bump electrodes. | 05-21-2015 |
20150145082 | BACKSIDE-ILLUMINATED PHOTODETECTOR STRUCTURE AND METHOD OF MAKING THE SAME - A backside-illuminated photodetector structure comprising a first reflecting region, a second reflecting region and a semiconductor region. The semiconductor region is between the first reflecting region and the second reflecting region. The semiconductor region comprises a first doped region and a second doped region. | 05-28-2015 |
20150145083 | Structure Of Dielectric Grid For A Semiconductor Device - An image sensor device and a method for manufacturing the image sensor device are provided. An image sensor device includes a pixel region and a non-pixel region in a substrate. In the pixel region there is a plurality of sensor elements. The non-pixel region is adjacent to the pixel region and has no sensor element. Dielectric grids are disposed in the pixel region with a first dielectric trench between two adjacent dielectric grids. The first dielectric trench aligns to a respective sensor element. Second dielectric trenches are disposed in the non-pixel region. | 05-28-2015 |
20150145084 | Diffraction Grating with Multiple Periodic Widths - An integrated circuit includes a substrate, a plurality of photo detectors formed in the substrate, and a diffraction grating having multiple sections disposed over the plurality of photo detectors. Each section of the diffraction grating has a respective periodic width for a respective target wavelength. The diffraction grating has at least two different target wavelengths. The diffraction grating is interlaced with filters. The filters in each section of the diffraction grating are configured to pass a respective electromagnetic wave with the respective target wavelength. | 05-28-2015 |
20150145085 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor includes a substrate including a pixel array region and a logic region where a surface of the pixel array region is higher than a surface of the logic region, and a light shielding pattern formed over the substrate of the logic region and having a surface on substantially the same plane as a surface of the substrate. | 05-28-2015 |
20150145086 | OPTICAL COMMUNICATION DEVICE, RECEPTION APPARATUS, TRANSMISSION APPARATUS, AND TRANSMISSION AND RECEPTION SYSTEM - An optical communication device, reception apparatus, transmission apparatus and transmission and reception system are disclosed. The optical communication device includes a drive circuit substrate. A first through via extends through the drive circuit substrate and is configured to electrically connect an optical element disposed on a first surface side of the drive circuit substrate to a drive circuit disposed on a second surface side of the drive circuit substrate. A positioning element is attached to an interposer substrate and is configured to align optical axes of a first lens that is attached to a lens substrate and that faces a second lens that is disposed on the first surface side of the drive circuit substrate. A second through via extends through the interposer substrate and electrically connects the drive circuit to a signal processing circuit disposed on a signal processing substrate positioned above the interposer substrate. | 05-28-2015 |
20150145087 | MANUFACTURING METHOD FOR PHOTOELECTRIC CONVERSION APPARATUS AND PHOTOELECTRIC CONVERSION APPARATUS - A manufacturing method for a photoelectric conversion apparatus in which a microlens is arranged for multiple electric charge accumulation regions formed on a semiconductor substrate, includes forming a first impurity region of a first conductive type on the semiconductor substrate; and forming a second impurity region of a second conductive type that is opposite the first conductive type in a part of the first impurity region to isolate the first impurity region into multiple regions such that each of the multiple electric charge accumulation regions includes isolated first impurity regions. | 05-28-2015 |
20150145088 | IMAGE SENSOR AND FABRICATING METHOD THEREOF - A method of fabricating an image sensor is provided. The method may include preparing a substrate with first to third pixel regions, coating a first color filter layer on the substrate, sequentially forming a first sacrificial layer and a first protection layer to cover the first color filter layer, forming a first photoresist pattern on the first protection layer to be overlapped with the first pixel region, performing a first dry etching process using the first photoresist pattern as an etch mask to the first sacrificial layer and the first protection layer to form a first color filter, a first sacrificial pattern, and a first protection pattern sequentially stacked on the first pixel region, and selectively removing the first sacrificial pattern to separate the first protection pattern from the first color filter. | 05-28-2015 |
20150145089 | SOLID-STATE IMAGE SENSOR, METHOD OF MANUFACTURING THE SAME AND CAMERA - An image sensor includes a semiconductor layer having first and second faces, and a wiring structure arranged on a side of the first face, wherein photoelectric converters are arranged in the semiconductor layer and light is incident on the second face. The wiring structure includes reflection portions having reflection regions and arranged for at least some of the photoelectric converters, absorbing portions arranged around the reflection regions, an insulator portion arranged to surround the absorbing portions, and an interlayer insulating film arranged between the first face and a group of the reflection portions, the light absorbing portions, and the insulator portion, and a reflectance of the light absorbing portions is smaller than a reflectance of the reflection regions, and a light transmittance of the light absorbing portions is smaller than a light transmittance of the insulator portion. | 05-28-2015 |
20150294997 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor includes: a first inter-layer dielectric layer formed over a front side of a substrate including photoelectric conversion regions; isolation structures each of which penetrates through the first inter-layer dielectric layer and has a portion buried in the substrate; first metal lines formed over the first inter-layer dielectric layer to correspond to the photoelectric conversion regions; and an optical filter and a light condenser formed over a back side of the substrate. | 10-15-2015 |
20150295001 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME - An image sensor includes: a substrate including a photoelectric conversion region; a charge control layer overlapping with the photoelectric conversion region that is formed over the substrate; an inter-layer dielectric layer including lines that are formed over the charge control layer; and color filters and a light condensing pattern formed over the inter-layer dielectric layer to correspond to the photoelectric conversion region. | 10-15-2015 |
20150295002 | PIXEL STRUCTURE OF CMOS IMAGE SENSOR AND MANUFACTURING METHOD THEREOF - A pixel structure of a CMOS image sensor pixel structure and a manufacturing method thereof. The structure comprises a photosensitive element ( | 10-15-2015 |
20150303226 | PHOTOELECTRIC CONVERSION ELEMENT AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a photoelectric conversion element including a step of forming a layer containing an organic material and particles dispersed in the organic material on a member including a photoelectric conversion portion and a step of roughening a surface of the layer by dry etching. | 10-22-2015 |
20150303227 | SEMICONDUCTOR DEVICE, SOLID-STATE IMAGE SENSOR, METHODS OF MANUFACTURING THE SAME, AND CAMERA - A method of manufacturing a semiconductor device includes forming a silicon compound layer containing nitrogen on a substrate where a silicide layer and an element isolating portion have been formed, forming an opening in the silicon compound layer, and forming an interlayer insulating film which covers the silicon compound layer and the opening. The opening is formed to lie within an area of the silicon compound layer that overlaps the element isolating portion. | 10-22-2015 |
20150303320 | SEMICONDUCTOR STRUCTURE COMPRISING AN ABSORBING AREA PLACED IN A FOCUSING CAVITY - A semiconducting structure configured to receive electromagnetic radiation, a method for manufacturing such a structure, and a semiconductor component, the semiconductor structure including: a first semiconducting area of a first type of conductivity, a second semiconducting area of a second type of conductivity opposite to the first type of conductivity, the second area being in contact with the first area to form a semiconducting junction. The second area includes a portion for which a concentration of majority carriers is at least ten times less than a concentration of majority carriers of the first area. The second area and its portion are essentially made in a first cavity configured to focus in the first cavity at least one portion of the electromagnetic radiation. | 10-22-2015 |
20150311238 | IMAGE SENSORS INCLUDING DEPOSITED NEGATIVE FIXED CHARGE LAYERS ON PHOTOELECTRIC CONVERSION REGIONS AND METHODS OF FORMING THE SAME - A method of forming an image sensor can be provided by forming a respective photoelectric conversion region in each of a plurality of unit pixel regions of a substrate and depositing a material configured to provide a negative fixed charge layer on the photoelectric conversion region. | 10-29-2015 |
20150311239 | CMOS IMAGE SENSOR INCLUDING INFRARED PIXELS HAVING IMPROVED SPECTRAL PROPERTIES, AND METHOD OF MANUFACTURING SAME - The present invention relates to a CMOS image sensor including an infrared pixel with enhanced spectral characteristics in which a stepped portion is formed between color filters of RGB pixels and a filter of an infrared pixel, and a manufacturing method thereof. A stepped portion is formed between color filters and an infrared filter according to respective pixels and the thicknesses of the filters are arbitrarily adjusted regardless of the characteristics of material in the formation of the color filters and the infrared filter, so that crosstalk characteristics are improved. | 10-29-2015 |
20150311241 | STACK TYPE IMAGE SENSOR - A stack type image sensor may include: a first chip including a via isolation trench penetrating a first substrate, a via isolation layer including an insulation material in the via isolation trench, a first conductive layer on the first substrate, and a first insulation layer; a second chip including a second conductive layer on a second substrate, and a second insulation layer contacting the first insulation layer; a first via trench penetrating the first substrate to expose the second conductive layer with respect to the trench; and a first through via formed in the first via trench, and including a third conductive layer insulated from the first substrate by the via isolation layer, the third conductive layer electrically connecting the first conductive layer to the second conductive layer. The third conductive layer may be formed in the via isolation trench. | 10-29-2015 |
20150311244 | NEAR-INFRARED-ABSORBING COMPOSITION, NEAR-INFRARED CUT-OFF FILTER USING SAME, CAMERA MODULE, AND MANUFACTURING METHOD THEREFOR - A near-infrared-absorbing composition includes a copper compound and a compound having a partial structure represented by Formula (1) described below and the content of the copper compound is in a range of 3×10 | 10-29-2015 |
20150311247 | METHOD AND APPARATUS FOR FORMING BACK SIDE ILLUMINATED IMAGE SENSORS WITH EMBEDDED COLOR FILTERS - A semiconductor image sensor includes a substrate having a first side and a second side that is opposite the first side. An interconnect structure is disposed over the first side of the substrate. A plurality of radiation-sensing regions is located in the substrate. The radiation-sensing regions are configured to sense radiation that enters the substrate from the second side. The radiation-sensing regions are separated by a plurality of gaps. A plurality of radiation-blocking structures is disposed over the second side of the substrate. Each of the radiation-blocking structures is aligned with a respective one of the gaps. A plurality of color filters are disposed in between the radiation-blocking structures. | 10-29-2015 |
20150311248 | BACK SIDE ILLUMINATED IMAGE SENSOR WITH DEEP TRENCH ISOLATION STRUCTURES AND SELF-ALIGNED COLOR FILTERS - A semiconductor image sensor includes a substrate having a first side and a second side that is opposite the first side. An interconnect structure is disposed over the first side of the substrate. A plurality of radiation-sensing regions is located in the substrate. The radiation-sensing regions are configured to sense radiation that enters the substrate from the second side. A plurality of isolation structures are each disposed between two respective radiation-sensing regions. The isolation structures protrude out of the second side of the substrate. | 10-29-2015 |
20150311376 | OPTICAL SENSOR AND MANUFACTURING METHOD THEREOF - Some embodiments of the present disclosure provide an optical sensor. The optical sensor includes a semiconductive block having a front side and a back side, a wave guide region, and a light sensing region. The wave guide region is positioned over the back side of the semiconductive block and having a core layer. The wave guide region is configured to guide an incident light. The light sensing region is positioned in the semiconductive block, having a multi-junction photodiode. The light sensing region is configured to sense emission lights from the wave guide region. | 10-29-2015 |
20150318318 | IMAGE SENSOR HAVING IMPROVED LIGHT UTILIZATION EFFICIENCY - An image sensor includes a first pixel row including a plurality of first pixels configured to sense first wavelength light, the first wavelength light having a first wavelength, a second pixel row adjacent to the first pixel row, the second pixel row including a plurality of second pixels configured to sense second wavelength light and a plurality of third pixels configured to sense third wavelength light, the plurality of second pixels and the plurality of third pixels being alternately arranged, the second wavelength light having a second wavelength and the third wavelength light having a third wavelength and a plurality of first color separation elements in the plurality of second pixels, respectively, the plurality of separation elements configured to change a spectrum distribution of incident light. | 11-05-2015 |
20150318320 | SOLID-STATE IMAGING DEVICES - A solid-state imaging device is provided. The solid-state imaging device includes a substrate containing a plurality of photoelectric conversion elements. A color filter layer is disposed above the photoelectric conversion elements. A light shielding layer is disposed between the color filter layer and substrate. The light-shielding layer has a plurality of first light shielding partitions extended along a first direction and a plurality of second light shielding partitions extended along a second direction perpendicular to the first direction. The first light shielding partitions have different dimensions along the second direction and the second light shielding partitions have different dimensions along the first direction. | 11-05-2015 |
20150318321 | SOLID-STATE IMAGING APPARATUS - A solid-state imaging apparatus includes: a solid-state imaging device photoelectrically converting light taken by a lens; and a light shielding member shielding part of light incident on the solid-state imaging device from the lens, wherein an angle made between an edge surface of the light shielding member and an optical axis direction of the lens is larger than an incident angle of light to be incident on an edge portion of the light shielding member. | 11-05-2015 |
20150318408 | Edge Illuminated Photodiodes - This invention comprises plurality of edge illuminated photodiodes. More specifically, the photodiodes of the present invention comprise novel structures designed to minimize reductions in responsivity due to edge surface recombination and improve quantum efficiency. The novel structures include, but are not limited to, angled facets, textured surface regions, and appropriately doped edge regions. | 11-05-2015 |
20150318415 | FULLY INTEGRATED CMOS-COMPATIBLE PHOTODETECTOR WITH COLOR SELECTIVITY AND INTRINSIC GAIN - A metal-semiconductor-metal photodetecting device and method of manufacturing a metal-semiconductor-metal photodetecting device that includes a p-type silicon substrate with an oxide layer disposed on the p-type silicon substrate. Schotty junctions are disposed adjacent to the oxide layer on the p-type silicon substrate and a plasmonic grating disposed on the oxide layer. The plasmonic grating provides wavelength range selectability for the photodetecting device. | 11-05-2015 |
20150325607 | SOLID-STATE IMAGING APPARATUS AND METHOD FOR MANUFACTURING THE SAME - Certain embodiments provide a solid-state imaging apparatus including a first impurity layer, a second impurity layer, a third impurity layer, and an electrode. The first impurity layer is a photoelectric conversion layer, and is formed to have a constant depth on a semiconductor substrate. The second impurity layer is formed on a surface of the first impurity layer, to have a depth which becomes shallower toward a direction from the first impurity layer to the third impurity layer. The third impurity layer is formed in a position spaced apart from the first impurity layer and the second impurity layer on the surface of the semiconductor substrate. The electrode can transport electric charges from the first impurity layer to the third impurity layer, and is formed between the second impurity layer and the third impurity layer, on the surface of the semiconductor substrate. | 11-12-2015 |
20150325612 | IMAGE SENSING DEVICE - An image sensing device includes: a semiconductor substrate with a photo-sensing element; a passive layer disposed over the semiconductor substrate, having a first refractive index; a color pattern disposed over the passive layer, wherein the color pattern aligns to the photo-sensing element and has a color selected from the group consisting of red (R), green (G), blue (B), and white (W), and a second refractive index; and an electromagnetic wave guiding element disposed in at least one of the color pattern and the passive layer, having a third refractive index, and the third refractive index is greater than the first refractive index or the second refractive index, and a first difference between the third refractive index and the first refractive index is at least 0.2, and a second difference between the third refractive index and the second refractive index is at least 0.2. | 11-12-2015 |
20150325615 | IMAGING APPARATUS - An imaging apparatus includes a light emitting element layer, an optically transmissive first substrate and a light receiving element. The light emitting element layer faces a plurality of lenses. The optically transmissive first substrate includes a first surface with the light emitting element layer being disposed on the first surface. The light receiving element is arranged on an opposite side to the light emitting element layer so as to interpose the first substrate. | 11-12-2015 |
20150325616 | FRONT SIDE ILLUMINATED SEMICONDUCTOR STRUCTURE WITH IMPROVED LIGHT ABSORPTION EFFICIENCY AND MANUFACTURING METHOD THEREOF - There is provided a front side illuminated (FSI) semiconductor structure with improved light absorption efficiency which is configured to provide a reflecting layer on a bottom of the FSI semiconductor structure to enhance the light absorption efficiency, wherein the reflecting layer is manufactured in the packaging process or the semiconductor process. | 11-12-2015 |
20150325618 | CMOS IMAGE SENSOR INCLUDING COLOR MICROLENS, AND METHOD FOR MANUFACTURING SAME - The present invention relates to a CMOS image sensor including a color microlens, in which the color characteristics of a microlens are improved by replacing a microlens made of a transparent material with a material having characteristics similar to those of a color filter, and a manufacturing method thereof. In accordance with the CMOS image sensor including a color microlens and the manufacturing method thereof according to the present invention, color characteristics is improved. Since formation processes of a color filter and a microlens are performed at one time, additional processes for planarization and step difference adjustment are not necessary, so that an entire process is simplified. In the progress of light, since there is no interface between materials, reflection, refraction and the like are reduced, so that it is possible to increase light efficiency. | 11-12-2015 |
20150325736 | GERMAINIUM PIN PHOTODIODE FOR INTEGRATION INTO A CMOS OR BICMOS TECHNOLOGY - A diode comprising a light-sensitive germanium region which is totally embedded in silicon and forms with the silicon a lower interface and lateral interfaces, wherein the lateral interfaces do not extend perpendicularly, but obliquely to the lower interface and therefore produce a faceted form. | 11-12-2015 |
20150333093 | BIASED BACKSIDE ILLUMINATED SENSOR SHIELD STRUCTURE - Presented herein is a device comprising an image sensor having a plurality of pixels disposed in a substrate and configured to sense light through a back side of the substrate and an RDL disposed on a front side of the substrate and having a plurality of conductive elements disposed in one or more dielectric layers. A sensor shield is disposed over the back side of the substrate and extending over the image sensor. At least one via contacts the sensor shield and extends from the sensor shield through at least a portion of the RDL and contacts at least one of the plurality of conductive elements. | 11-19-2015 |
20150333099 | PHOTODIODE AND FILTER CONFIGURATION FOR HIGH DYNAMIC RANGE IMAGE SENSOR - An image sensor pixel includes a first photodiode, a second photodiode, a first microlens, a second microlens, and a filter. The first and second photodiode are disposed adjacent to each other in a semiconductor material. The first photodiode has a first full well capacity that is substantially equal to a second full well capacity of the second photodiode. The first microlens is disposed over the first photodiode and the second microlens is disposed over the second photodiode. The first microlens is substantially identical to the first microlens. The filter is disposed between the second microlens and the second photodiode to reduce an intensity of the image light incident upon the second photodiode. The filter does not substantially affect the image light directed toward the first photodiode. | 11-19-2015 |
20150334324 | SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME - In some embodiments in accordance with the present disclosure, an image sensor is provided. The image sensor includes a substrate having a body. The body includes a first surface and a second surface opposite to the first surface. A through via is configured to extend from the first surface to the second surface. An intermediate layer is disposed over the body and configured to cover the through via. An image sensing device is disposed over the intermediate layer. In addition, a lens structure is disposed over the substrate, the intermediate layer and the image sensing device. In certain embodiments, the image sensing device is curved. In some embodiments, the image sensing device includes a semiconductor chip having a CMOS image sensing array. | 11-19-2015 |
20150340395 | PHOTODETECTOR ELEMENT FOR INFRARED LIGHT RADIATION, AND PHOTODETECTOR INCLUDING SUCH A PHOTODETECTOR ELEMENT - A photodetector element for infrared light radiation of a given wavelength, in a medium that is at least partially transparent to the infrared light radiation to be detected. The photodetector includes a layer of a partially absorbent semiconductor and a periodic structure placed at a distance from and in the near field of the semiconductor layer and exciting propagation modes parallel to the semiconductor layer, of the infrared light radiation to be detected. There is a perimetric electrical contact that frames the outline of the photodetector element and extends perpendicularly relative to the planes defined by the semiconductor layer and the periodic structure, which makes contact with said semiconductor layer, and that also forms an optical mirror for the modes excited by the periodic structure. | 11-26-2015 |
20150340397 | IMAGE SENSOR PACKAGE - Provided is an image sensor package that includes a transparent protection cover for protecting a plurality of unit pixels each including a microlens. The image sensor package includes a substrate which has a first surface and a second surface that are opposite to each other, and includes a sensor array region including a plurality of unit pixels formed in the first surface and a pad region including a pad arranged in the vicinity of the sensor array region, a plurality of microlenses formed on the plurality of unit pixels, respectively, at least two transparent material layers covering the plurality of microlenses, and a transparent protection cover attached onto the plurality of microlenses with the at least two transparent material layers interposed therebetween. | 11-26-2015 |
20150340522 | ELECTRONIC DEVICE INCLUDING HORIZONTAL TYPE DIODE USING 2D MATERIAL AND METHOD OF MANUFACTURING THE SAME - According to example embodiments, an electronic device includes a substrate, an insulating layer on the substrate, and a diode layer on the insulating layer. The diode layer includes a two dimensional (2D) material layer. The 2D material layer includes an N-type region and a P-type region. According to example embodiments, a method of manufacturing an electronic device includes forming an insulating film on a substrate, forming a 2D material layer on the insulating film, and dividing the 2D material layer into an N-type region and a P-type region. | 11-26-2015 |
20150349010 | IMAGE SENSOR - An image sensor is formed by a pixel array including a plurality of pixels. Certain ones of the pixels include, above their active areas, a first optical grating formed of periodically spaced apart parallel strips separated from the active area by a first insulator. Those pixels further include, in another metal level, a second optical grating formed of periodically spaced apart parallel strips separated from the first grating by a second insulator. The second optical grating is laterally shifted with respect to the first grating in a direction orthogonal to a longitudinal direction of the parallel strips. | 12-03-2015 |
20150349015 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device having an improved performance is disclosed. In the semiconductor device, an insulating film part is formed over a main surface of a semiconductor substrate so as to cover a photodiode, a concave portion is formed in the upper surface of the insulating film part in a portion that overlaps the center of the photodiode, and a transmission film is formed over the insulating film part so as to close the concave portion. A space is formed by the concave portion and the transmission film, and the space is arranged to overlap the center of the photodiode in plan view. | 12-03-2015 |
20150349150 | High Speed Photosensitive Devices and Associated Methods - High speed optoelectronic devices and associated methods are provided. In one aspect, for example, a high speed optoelectronic device can include a silicon material having an incident light surface, a first doped region and a second doped region forming a semiconductive junction in the silicon material, and a textured region coupled to the silicon material and positioned to interact with electromagnetic radiation. The optoelectronic device has a response time of from about 1 picosecond to about 5 nanoseconds and a responsivity of greater than or equal to about 0.4 A/W for electromagnetic radiation having at least one wavelength from about 800 nm to about 1200 nm. | 12-03-2015 |
20150357367 | IMAGING DETECTOR HAVING AN INTEGRATED WIDE BANDGAP LAYER AND METHOD OF MANUFACTURING THEREOF - A substrate-removed, surface passivated, and anti-reflective (AR) coated detector assembly is provided. The assembly has an AR coating or passivation layer which includes a wide bandgap thin-film dielectric/passivation layer integrated therein. The wide bandgap thin-film dielectric/passivation layer is positioned proximal to a back interface of a substrate-removed detector assembly. A method of manufacturing the detector assembly includes etching a backside of a partially-removed-substrate detector assembly to obtain an etched detector assembly removed from a substrate. A wide bandgap layer is deposited, in a vacuum chamber, on the etched detector assembly without utilizing an adhesive layer. Additional anti-reflective coating layers are deposited, in the same vacuum chamber, on the wide bandgap layer to form an anti-reflective coating layer with the wide bandgap layer integrated therein. The wide bandgap layer is positioned proximal to an interface portion between the anti-reflective coating layer and the detector assembly. | 12-10-2015 |
20150357484 | ELECTRONIC DEVICE COMPRISING AN OPTICAL SENSOR CHIP - An electronic device includes a substrate plate with a traversing passage. An electronic component, mounted to the substrate plate, includes an integrated circuit chip with an optical sensor and an opaque protective plate mounted above the sensor. The electronic component is mounted with the chip facing the substrate plate such that the protective plate is engaged with the traversing passage. Electrical connection elements extend between the chip and the substrate plate. An internal block of encapsulation material extends into the traversing passage of the substrate plate between the chip and the substrate plate so as to embed the electrical connection elements. | 12-10-2015 |
20150364511 | PHOTOELECTRIC CONVERSION APPARATUS, MANUFACTURING METHOD THEREOF, AND IMAGE PICKUP SYSTEM - A light guide portion includes a low refractive index portion and a high refractive index portion. The low refractive index portion has a refractive index equal to or lower than that of an insulating layer. The high refractive index portion has a refractive index higher than that of the low refractive index portion. The low refractive index portion is located above a separating portion, and is sandwiched by the high refractive index portion in a first direction. A width in the first direction of the low refractive index portion at a first position distant from the separating portion in a second direction is narrower than a width in the first direction of the low refractive index portion at a second position closer to the separating portion than the first position in the second direction. | 12-17-2015 |
20150364520 | IMAGER HAVING A REDUCED DARK CURRENT THROUGH AN INCREASED BULK DOPING LEVEL - The disclosure relates to an image sensor comprising a substrate region in a semiconductor material; an active layer in contact with the substrate region; and a photodiode array formed in the active layer. The substrate region has a doping level such that the resistivity of the substrate region is less than 6 mOhm•cm. | 12-17-2015 |
20150364521 | STACKED TYPE IMAGE SENSOR INCLUDING COLOR SEPARATION ELEMENT AND IMAGE PICKUP APPARATUS INCLUDING THE STACKED TYPE IMAGE SENSOR - A stacked type image sensor with improved optical characteristics, which may result from a color separation element, and an image pickup apparatus including this image sensor. The stacked type image sensor includes first and second light sensing layers arranged in a stacked manner, and color separation elements positioned between the first and second light sensing layers. Accordingly, the first light sensing layer absorbs and detects light of a first wavelength band, and the second light sensing layer detects light of second and third wavelength bands separated by the color separation elements. | 12-17-2015 |
20150371958 | IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME - In an imaging device having a waveguide, a surface of an insulating film covering a seal ring is prevented from getting rough. A pixel region, a peripheral circuit region, and a seal region are defined over a semiconductor substrate. After formation of a pad electrode in the peripheral circuit region and a seal ring in the seal ring region, a TEOS film is so formed as to cover the pad electrode and the seal ring. A pattern of a photoresist for exposing a portion of the TEOS film covering the pad electrode and the seal ring, respectively, is formed and etching treatment is subjected to the exposed TEOS film. Then, after the pattern of the photoresist has been formed, a second waveguide holding hole is formed in the pixel region by performing etching treatment. | 12-24-2015 |
20150372030 | FORMATION OF BURIED COLOR FILTERS IN A BACK SIDE ILLUMINATED IMAGE SENSOR WITH AN ONO-LIKE STRUCTURE - A semiconductor image sensor includes a substrate having a first side and a second side that is opposite the first side. An interconnect structure is disposed over the first side of the substrate. A plurality of radiation-sensing regions is located in the substrate. The radiation-sensing regions are configured to sense radiation that enters the substrate from the second side. A plurality of light-blocking structures is disposed over the second side of the substrate. A passivation layer is coated on top surfaces and sidewalls of each of the light-blocking structures. A plurality of spacers is disposed on portions of the passivation layer coated on the sidewalls of the light-blocking structures. | 12-24-2015 |
20150372033 | FORMATION OF BURIED COLOR FILTERS IN A BACK SIDE ILLUMINATED IMAGE SENSOR USING AN ETCHING-STOP LAYER - A semiconductor image sensor includes a substrate having a first side and a second side that is opposite the first side. An interconnect structure is disposed over the first side of the substrate. A plurality of radiation-sensing regions is located in the substrate. The radiation-sensing regions are configured to sense radiation that enters the substrate from the second side. A buffer layer is disposed over the second side of the substrate. A plurality of elements is disposed over the buffer layer. The elements and the buffer layer have different material compositions. A plurality of light-blocking structures is disposed over the plurality of elements, respectively. The radiation-sensing regions are respectively aligned with a plurality of openings defined by the light-blocking structures, the elements, and the buffer layer. | 12-24-2015 |
20150372037 | SOLID-STATE IMAGE SENSOR AND ITS MANUFACTURING METHOD, CURABLE COMPOSITION FOR FORMING INFRARED CUT-OFF FILTERS, AND CAMERA MODULE - A solid-state image sensor includes a semiconductor substrate, photoelectric conversion elements arranged on a light receiving surface side of the semiconductor substrate and making up pixels, and a filter layer disposed on a light incidence side of the photoelectric conversion elements so as to correspond to the photoelectric conversion elements. The filter layer includes at least red color filters, green color filters, blue color filters and infrared cut-off filters. The infrared cut-off filters are each arranged next to at least one of the red color filters, the green color filters and the blue color filters. The solid-state image sensor suppresses occurrence of deterioration of the spectral characteristics of an adjacent color filter of any of three primary colors. | 12-24-2015 |
20150372039 | IMAGING APPARATUS - An imaging apparatus includes an image sensor and an image fiber that guides light incident from a focusing optical system toward the image sensor. The image sensor has such configuration that the distance in a first direction between two intersections is between ¼ times and ¾ times a pixel pitch of the image sensor, where one intersection is an intersection between a straight line passing through the center of the first sensor pixel and parallel to the first direction and a straight line connecting the center of the target pixel and the center of the adjacent pixel and the other intersection is an intersection between a straight line passing through the center of the second sensor pixel and parallel to the first direction and a straight line connecting the center of the target pixel and the center of the adjacent pixel. | 12-24-2015 |
20150372040 | Pixel Isolation Elements, Devices and Associated Methods - Light trapping pixels, devices incorporating such pixels, and various associated methods are provided. In one aspect, for example, a light trapping pixel device can include a light sensitive pixel having a light incident surface, a backside surface opposite the light incident surface, and a peripheral sidewall disposed into at least a portion of the pixel and extending at least substantially around the pixel periphery. The pixel can also include a backside light trapping material substantially covering the backside surface and a peripheral light trapping material substantially covering the peripheral sidewall. The light contacting the backside light trapping material or the peripheral light trapping material is thus reflected back toward the pixel. | 12-24-2015 |
20150372041 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD OF SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, AND ELECTRONIC DEVICE - A solid-state imaging device has a sensor substrate having a pixel region on which photoelectric converters are arrayed; a driving circuit provided on a front face side that is opposite from a light receiving face as to the photoelectric converters on the sensor substrate; an insulation layer, provided on the light receiving face, and having a stepped construction wherein the film thickness of the pixel region is thinner than the film thickness in a periphery region provided on the outside of the pixel region; a wiring provided to the periphery region on the light receiving face side; and on-chip lenses provided to positions corresponding to the photoelectric converters on the insulation layer. | 12-24-2015 |
20150372042 | Elevated Photodiode with a Stacked Scheme - A device includes an image sensor chip having formed therein an elevated photodiode, and a device chip underlying and bonded to the image sensor chip. The device chip has a read out circuit electrically connected to the elevated photodiode. | 12-24-2015 |
20150372045 | Backside Illumination Image Sensor Chips and Methods for Forming the Same - A die includes a first plurality of edges, and a semiconductor substrate in the die. The semiconductor substrate includes a first portion including a second plurality of edges misaligned with respective ones of the first plurality of edges. The semiconductor substrate further includes a second portion extending from one of the second plurality of edges to one of the first plurality of edges of the die. The second portion includes a first end connected to the one of the second plurality of edges, and a second end having an edge aligned to the one of the first plurality of edges of the die. | 12-24-2015 |
20150372164 | PHOTODETECTOR ARRANGEMENT - According to embodiments of the present invention, a photodetector arrangement is provided. The photodetector arrangement includes a plurality of germanium-based photodetectors, each germanium-based photodetector configured to receive an optical signal and to generate an electrical signal in response to the received optical signal, and an electrode arrangement arranged to conduct the electrical signals. | 12-24-2015 |
20150380360 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device and a manufacturing method thereof are provided which can suppress corrosion by chemicals in processes, while preventing generation of thermal stress on a mark. A semiconductor device includes a semiconductor layer with front-side main surface and a back-side main surface opposed, to the front-side main surface, a plurality of light receiving elements formed in the semiconductor layer for performing photoelectric conversion, a light receiving lens disposed above the back-side main surface for supp_ying light to the light receiving element, and a mark formed inside the semiconductor layer. The mark extends from the front-side main surface to the back-side main surfaces The mark has a deeply located surface recessed toward the front-side main surface rather than the back-side main surface. The deeply located surface is formed of silicon. | 12-31-2015 |
20150380453 | PHOTODETECTOR AND IMAGE SENSOR INCLUDING THE SAME - A photodetector may have a structure including conductive patterns and an intermediate layer interposed between the conductive patterns. A length L of at least one side of the second conductive pattern that overlaps the first conductive pattern and the intermediate layer satisfies the equation L=λ/2n | 12-31-2015 |
20150380895 | OPTOELECTRONIC PACKAGE - A optoelectronic package includes an inner package with a dielectric substrate having at least a first dielectric level with a photodetector (PD) die on a die attach area, first routing connecting a first contact to a first external bond pad (FEBP), and second routing connecting a second contact to a second external bond pad (SEBP). An outer package (OP) includes a ceramic substrate including a light source die on a base portion in direct line of sight with the PD including a first electrode and second electrode. A first wire bond connects the FEBP to a first terminal, a second wire bond connects the SEBP to a second terminal, a third wire bond connects the first electrode to a third terminal, and a fourth wire bond connects the second electrode to a fourth terminal. | 12-31-2015 |
20160005775 | PHOTODETECTOR AND METHOD OF FORMING THE PHOTODETECTOR ON STACKED TRENCH ISOLATION REGIONS - Disclosed are structures and methods of forming the structures so as to have a photodetector isolated from a substrate by stacked trench isolation regions. In one structure, a first trench isolation region is in and at the top surface of a substrate and a second trench isolation region is in the substrate below the first. A photodetector is on the substrate aligned above the first and second trench isolation regions. In another structure, a semiconductor layer is on an insulator layer and laterally surrounded by a first trench isolation region. A second trench isolation region is in and at the top surface of a substrate below the insulator layer and first trench isolation region. A photodetector is on the semiconductor layer and extends laterally onto the first trench isolation region. The stacked trench isolation regions provide sufficient isolation below the photodetector to allow for direct coupling with an off-chip optical fiber. | 01-07-2016 |
20160005778 | Semiconductor Package and Method for Manufacturing the Same - Provided is a semiconductor package including: a substrate; an image sensor chip disposed on the substrate and including a first surface that faces the substrate and a second surface that is opposed to the first surface; an adhesion layer interposed between the substrate and the image sensor chip; and a first cavity surrounded by the first surface, an upper surface of the substrate and a side surface of the adhesion layer. The first surface includes a first central portion and a first edge portion, the adhesion layer includes a first adhesion part directly contacting the first central portion and a second adhesion part directly contacting the substrate, and the first adhesion part has an area corresponding to about 5% to about 50% of an area of the first surface. | 01-07-2016 |
20160005779 | PHOTODETECTION DEVICE AND SENSOR PACKAGE - A photodetection device of the present invention includes a semiconductor substrate which is defined such that a first light-receiving portion and a second light-receiving portion are spaced from one another, and an optical filter which is formed on the semiconductor substrate, and includes a first filter which is disposed so as to cover the first light-receiving portion, to selectively allow an optic element in a first wavelength band to transmit through, and a second filter which is disposed so as to cover the second light-receiving portion, to selectively allow an optic element in a second wavelength band different from the first wavelength band, to transmit through, and the optical filter has a filter laminated structure which is defined such that edge portions of the first filter and the second filter overlap one another on a boundary region between the first light-receiving portion and the second light-receiving portion. | 01-07-2016 |
20160005780 | IMAGE SENSOR DEVICE AND METHOD OF FORMING SAME - An image sensor device includes a first substrate, an interconnect structure, a conductive layer, a conductive via and a second substrate. The first substrate includes a first region including a pixel array and a second region including a circuit. The interconnect structure is over the pixel array or the circuit. The interconnect structure electrically connecting the circuit to the pixel array. The conductive layer is on the interconnect structure. The conductive via passes through the second substrate and at least partially embedded in the conductive layer. The second substrate is over the conductive layer. | 01-07-2016 |
20160005787 | CHIP PACKAGE AND METHOD FOR FORMING THE SAME - An embodiment of the invention provides a chip package which includes: a semiconductor substrate having a first surface and a second surface; a device region disposed in the semiconductor substrate; a dielectric layer disposed on the first surface of the semiconductor substrate; a conducting pad structure disposed in the dielectric layer and electrically connected to the device region, a carrier substrate disposed on the dielectric layer; and a conducting structure disposed in a bottom surface of the carrier substrate and electrically contacting with the conducting pad structure. | 01-07-2016 |
20160013223 | OPTICAL MODULE, MANUFACTURING PROCESS THEREOF AND ELECTRONIC DEVICE COMPRISING THE SAME | 01-14-2016 |
20160013229 | IMAGE SENSOR AND MANUFACTURING METHOD THEREOF | 01-14-2016 |
20160013230 | LIGHT GUIDE ARRAY FOR AN IMAGE SENSOR | 01-14-2016 |
20160013231 | PHASE-DIFFERENCE DETECTION PIXEL AND IMAGE SENSOR HAVING THE SAME | 01-14-2016 |
20160013232 | IMAGE SENSOR DEVICE WITH IMPROVED QUANTUM EFFICIENCY | 01-14-2016 |
20160013234 | SOLID-STATE IMAGING DEVICE WITH LAYERED MICROLENSES AND METHOD FOR MANUFACTURING SAME | 01-14-2016 |
20160013235 | Methods and Apparatus for Sensor Module | 01-14-2016 |
20160020238 | SEMICONDUCTOR DEVICE FOR DETECTION OF RADIATION AND METHOD OF PRODUCING A SEMICONDUCTOR DEVICE FOR DETECTION OF RADIATION - The semiconductor device for detection of radiation comprises a semiconductor substrate ( | 01-21-2016 |
20160020240 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS - Disclosed herein is a solid-state imaging device including: a laminated semiconductor chip configured to be obtained by bonding two or more semiconductor chip sections to each other and be obtained by bonding at least a first semiconductor chip section in which a pixel array and a multilayer wiring layer are formed and a second semiconductor chip section in which a logic circuit and a multilayer wiring layer are formed to each other in such a manner that the multilayer wiring layers are opposed to each other and are electrically connected to each other; and a light blocking layer configured to be formed by an electrically-conductive film of the same layer as a layer of a connected interconnect of one or both of the first and second semiconductor chip sections near bonding between the first and second semiconductor chip sections. The solid-state imaging device is a back-illuminated solid-state imaging device. | 01-21-2016 |
20160020244 | IMAGE SENSOR DEVICE WITH DAMAGE REDUCTION - A semiconductor device includes a carrier wafer, a device layer, a first semiconductor layer and a second semiconductor layer. The device layer is disposed on the carrier wafer. The first semiconductor layer is disposed on the device layer, and has a first side face and a second side face opposite to the first side face, in which the first side face is adjacent to the device layer. The second semiconductor layer is disposed on the first semiconductor layer, and has a third side face and a fourth side face opposite to the third side face, in which the fourth side face of the second semiconductor layer is adjacent to the second side face of the first semiconductor layer, and the second semiconductor layer is implanted and annealed. | 01-21-2016 |
20160027822 | SOLID-STATE IMAGE PICKUP ELEMENT, IMAGE PICKUP APPARATUS, ELECTRONIC APPARATUS, AND PRODUCTION METHOD - Provided is a solid-state image pickup element including: a sensor unit configured to generate an electrical signal in response to incident light; a color filter covering the sensor unit; and a lens configured to concentrate the incident light into the sensor unit via the color filter and formed by a laminated film made of a predetermined lens material. The lens is formed on the color filter without providing a planarization layer for removing a difference in level in the color filter. | 01-28-2016 |
20160027823 | BACKSIDE ILLUMINATION IMAGE SENSOR AND IMAGE-CAPTURING DEVICE - A backside illumination image sensor that includes a semiconductor substrate with a plurality of photoelectric conversion elements and a read circuit formed on a front surface side of the semiconductor substrate, and captures an image by outputting, via the read circuit, electrical signals generated as incident light having reached a back surface side of the semiconductor substrate is received at the photoelectric conversion elements includes: a light shielding film formed on a side where incident light enters the photoelectric conversion elements, with an opening formed therein in correspondence to each photoelectric conversion element; and an on-chip lens formed at a position set apart from the light shielding film by a predetermined distance in correspondence to each photoelectric conversion element. The light shielding film and an exit pupil plane of the image forming optical system achieve a conjugate relation to each other with regard to the on-chip lens. | 01-28-2016 |
20160027829 | Image Sensing Device and Method for Fabricating the Same - An image sensing device is provided. The image sensing device includes a substrate having a pixel array with a plurality of pixels. A light guide structure is disposed over the substrate, forming a plurality of light pipes and a plurality of reflecting portions surrounding the light pipes. The light pipes are aligned with the pixels of the pixel array. The invention also provides a method for fabricating the image sensing device. | 01-28-2016 |
20160027831 | OPTICAL ASSEMBLY INCLUDING PLENOPTIC MICROLENS ARRAY - An optical assembly includes a solid spacing layer between a plenoptic microlens array (MLA) and a pixel-level MLA, avoiding the need for an air gap. Such an assembly, and systems and methods for manufacturing same, can yield improved reliability and efficiency of production, and can avoid many of the problems associated with prior art approaches. In at least one embodiment, the plenoptic MLA, the spacing layer, and the pixel-level MLA are created from optically transmissive polymer(s) deposited on the photosensor array and shaped using photolithographic techniques. Such an approach improves precision in placement and dimensions, and avoids other problems associated with conventional polymer-on-glass architectures. Further variations and techniques are described. | 01-28-2016 |
20160027832 | Infrared Reflection/Absorption Layer For Reducing Ghost Image of Infrared Reflection Noise And Image Sensor Using the Same - An image sensor includes a photosensing element for receiving infrared (IR) radiation and detecting the IR radiation and generating an electrical signal indicative of the IR radiation. A redistribution layer (RDL) is disposed under the photosensing element, the RDL comprising pattern of conductors for receiving the electrical signal. An IR reflection layer, an IR absorption layer or an isolation layer is disposed between the photosensing element and the RDL. The IR reflection layer, IR absorption layer or isolation layer provides a barrier to IR radiation such that the IR radiation does not impinge upon the RDL. As a result, a ghost image of the RDL is not generated, resulting in reduced noise and improved sensitivity and performance of the image sensor. | 01-28-2016 |
20160027834 | METHODS AND APPLICATIONS OF NON-PLANAR IMAGING ARRAYS - System, devices and methods are presented that provide an imaging array fabrication process method, comprising fabricating an array of semiconductor imaging elements, interconnecting the elements with stretchable interconnections, and transfer printing the array with a pre-strained elastomeric stamp to a secondary non-planar surface. | 01-28-2016 |
20160027837 | VISIBLE AND INFRARED IMAGE SENSOR - A pixel array including an Si | 01-28-2016 |
20160035766 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device such as, for example an imaging sensor, includes a semiconductor layer in which, for example, a photodiode may be formed. An insulation film is disposed on a surface of the semiconductor layer. The insulation film includes one or more wirings or wiring layers formed therein. A semiconductor support substrate is disposed on the insulation film. The semiconductor support substrate includes a first layer (or region) and a second layer (or region) that is between the insulation film and the first layer. The first layer has a bulk micro defect density that is higher than a bulk micro defect density of the second layer. | 02-04-2016 |
20160035770 | IMAGE SENSOR FOR REDUCING CROSSTALK CHARACTERISTIC AND METHOD OF MANUFACTURING THE SAME - An image sensor includes a plurality of photoelectric detectors, a plurality of color filters, and at least one pixel isolation region between adjacent ones of the photoelectric detectors. The color filters include a white color filter, and the color filters correspond to respective ones of the photoelectric detectors. The at least one pixel isolation region serves to physically and at least partially optically separate the photoelectric detectors from one another. | 02-04-2016 |
20160035772 | IMAGING DEVICE AND IMAGING SYSTEM - An imaging device includes a plurality of pixels arranged in a pixel region, each of the plurality of pixels including a photoelectric conversion element including a first electrode provided above a substrate, a second electrode provided above the first electrode and a photoelectric conversion layer provided between the first electrode and the second electrode, an interconnection layer provided between the substrate and the first electrode, the interconnection layer including a first conductive member extending in a first direction, and a second conductive member arranged at a level lower than the first conductive member and extending in a second direction intersecting the first direction, a first contact portion provided in the pixel region, the first contact portion electrically connecting the second electrode and the first conductive member, and a second contact portion electrically connecting the first conductive member and the second conductive member. | 02-04-2016 |
20160035775 | IMAGE SENSOR HAVING A METAL PATTERN BETWEEN COLOR FILTERS - An image sensor includes an inorganic color filter, an organic color filter, and a metal pattern. The inorganic color filter is on a support substrate. The organic color filter is on the support substrate. The organic color filter is spaced apart from the inorganic color filter. The metal pattern is between the inorganic color filter and the organic color filter. | 02-04-2016 |
20160035776 | METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, AND SOLID-STATE IMAGING DEVICE - Certain embodiments provide a method for manufacturing a solid-state imaging device, including thinning a semiconductor substrate, forming a plurality of masking patterns, and forming a groove having inclined surfaces that are inclined relative to a front surface of the semiconductor substrate at a back surface of the semiconductor substrate. A plurality of light receiving sections are provided in a lattice pattern at the front surface of the semiconductor substrate to be thinned. A wiring layer including metal wirings is provided on the front surface of the semiconductor substrate to be thinned. The plurality of masking patterns are arranged in a lattice pattern on the back surface of the thinned semiconductor substrate. The groove is formed by etching the semiconductor substrate between the masking patterns using an etchant having an anisotropic etching property. | 02-04-2016 |
20160035782 | SHALLOW TRENCH TEXTURED REGIONS AND ASSOCIATED METHODS - Photosensitive devices and associated methods are provided. In one aspect, for example, a photosensitive imager device can include a semiconductor layer having multiple doped regions forming a least one junction, a textured region coupled to the semiconductor layer and positioned to interact with electromagnetic radiation. The textured region can be formed from a series of shallow trench isolation features. | 02-04-2016 |
20160035914 | FILTER COATING DESIGN FOR OPTICAL SENSORS - A silicon-based sensor with an integrated multilayer metal-dielectric filter coating for providing a UV transmission curve of interest is disclosed. The sensor includes a silicon-based photodiode and a filter coating integrated with the silicon-based photodiode and comprising a plurality of filter pairs stacked over the silicon-based photodiode. Each filter pair comprises a dielectric layer and a metal layer. The dielectric layers and the metal layers of the plurality of filter pairs are stacked in an alternating fashion. A thickness of the metal layer in at least one filter pair is different from a thickness of the metal layer in at least one other filter pair. A thickness of the dielectric layer in at least one filter pair is different from a thickness of the dielectric layer in at least one other filter pair. | 02-04-2016 |
20160043123 | SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF - A semiconductor structure includes a chip, a light transmissive plate, a spacer, and a light-shielding layer. The chip has an image sensor, a first surface and a second surface opposite to the first surface. The image sensor is located on the first surface. The light transmissive plate is disposed on the first surface and covers the image sensor. The spacer is between the light transmissive plate and the first surface, and surrounds the image sensor. The light-shielding layer is located on the first surface between the spacer and the image sensor. | 02-11-2016 |
20160043124 | IMAGING APPARATUS - Provided is an imaging apparatus having a plurality of light receiving parts for each one microlens in order for capturing a three-dimensional image, while being capable of obtaining a more natural image when creating a two-dimensional image. The imaging apparatus includes: a microlens array ( | 02-11-2016 |
20160043240 | Sensor Package With Exposed Sensor Array And Method Of Making Same - A packaged sensor assembly and method of forming that includes a first substrate having opposing first and second surfaces and a plurality of conductive elements each extending between the first and second surfaces. A second substrate comprises opposing front and back surfaces, one or more detectors formed on or in the front surface, and a plurality of contact pads formed at the front surface which are electrically coupled to the one or more detectors. A third substrate is mounted to the front surface to define a cavity between the third substrate and the front surface, wherein the third substrate includes a first opening extending from the cavity through the third substrate. The back surface is mounted to the first surface. A plurality of wires each extend between and electrically connecting one of the contact pads and one of the conductive elements. | 02-11-2016 |
20160043263 | SEMICONDUCTOR PHOTO-DETECTING DEVICE - A photo-detecting device includes a first nitride layer, a light absorption layer disposed on the first nitride layer, and a Schottky junction layer disposed on the light absorption layer. According to a photoluminescence (PL) properties measurement of the photo-detecting device, a first peak light intensity is greater than a second peak light intensity, and the first peak light intensity is a peak light intensity of light emitted from the light absorption layer, and the second peak light intensity is a peak light intensity of light emitted from the first nitride layer. | 02-11-2016 |
20160043268 | Fabrication of Sensor Chip Assemblies with Microoptics Elements - A method and apparatus for fabricating sensor chip assemblies. A photodetector wafer and an optics wafer are bonded to each other. Photodetectors are formed on the photodetector wafer. A circuit wafer is bonded to the photodetector wafer that is bonded to the optics wafer after forming the photodetectors on the photodetector wafer. | 02-11-2016 |
20160049430 | IMAGING ELEMENT AND IMAGING DEVICE - An imaging element according to the present disclosure includes: a first pixel and a second pixel each including a light receiving section and a light condensing section, in which the light receiving section includes a photoelectric conversion element, and the light condensing section is configured to allow entering light to be condensed toward the light receiving section; a trench provided between the first pixel and the second pixel; a first light shielding film embedded in the trench; and a second light shielding film provided on part of a light receiving surface of the light receiving section of the second pixel, in which the second light shielding film is continuous with the first light shielding film. | 02-18-2016 |
20160049433 | SOLID-STATE IMAGING DEVICE, SOLID-STATE IMAGING DEVICE MANUFACTURING METHOD, ELECTRONIC DEVICE, AND LENS ARRAY - A solid-state imaging device includes: multiple micro lenses, which are disposed in each of a first direction and a second direction orthogonal to the first direction, focus the incident light into the light-receiving surface; with the multiple micro lenses of which the planar shape is a shape including a portion divided by a side extending in the first direction and a side extending in the second direction being disposed arrayed mutually adjacent to each of the first direction and the second direction; and with the multiple micro lenses being formed so that the depth of a groove between micro lenses arrayed in a third direction is deeper than the depth of a groove between micro lenses arrayed in the first direction, and also the curvature of the lens surface in the third direction is higher than the curvature of the lens surface in the first direction. | 02-18-2016 |
20160049434 | DIGITAL RADIATION SENSOR PACKAGE - A radiation sensing apparatus includes, in a vertically stacked configuration: a radiation sensor chip, an integrated circuit chip beneath the radiation sensor chip, and an optical element above the radiation sensor chip. The radiation sensor chip has a radiation sensing element and an electrically-conductive contact coupled to the radiation sensing element and exposed at a lower surface. The integrated circuit chip has an integrated circuit and an electrical conductor coupled to the integrated circuit and exposed at an upper surface. The electrically conductive contact at the lower surface of the radiation sensor chip is physically and electrically coupled to the electrical conductor at the upper surface of the integrated circuit chip. The optical element is configured to pass incident radiation at a wavelength that the radiation sensing element is configured to sense. | 02-18-2016 |
20160049436 | CHIP PACKAGE AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing chip package includes providing a semiconductor substrate having at least a photo diode and an interconnection layer. The interconnection layer is disposed on an upper surface of the semiconductor substrate and above the photo diode and electrically connected to the photo diode. At least a redistribution circuit is formed on the interconnection layer. The redistribution circuit is electrically connected to the interconnection layer. A packaging layer is formed on the redistribution circuit. Subsequently, a carrier substrate is attached to the packaging layer. A colour filter is formed on a lower surface of the semiconductor substrate. A micro-lens module is formed under the colour filter. The carrier substrate is removed. | 02-18-2016 |
20160049439 | IMAGE PICKUP DEVICE AND IMAGE PICKUP APPARATUS - An image pickup device according to the present disclosure includes a first pixel and a second pixel each including a photodetection section and a light condensing section, the photodetection section including a photoelectric conversion element, the light condensing section condensing incident light toward the photodetection section, the first pixel and the second pixel being adjacent to each other and each having a step part on a photodetection surface of the photodetection section, in which at least a part of a wall surface of the step part is covered with a first light shielding section. | 02-18-2016 |
20160049528 | PHOTOELECTRIC CONVERSION DEVICE AND OPTICAL SIGNAL RECEIVING UNIT HAVING PHOTODIODE - A photoelectric conversion device includes a substrate having a first surface and a second surface that is an opposite side of the first surface, wherein one of the first and second surfaces is a light incidence surface, a photodiode (PD) formed in the first surface of the substrate, a reflective layer formed on one of the first and second surfaces of the substrate, which is the opposite side of the light incidence surface, and a microlens formed on the light incidence surface of the substrate. | 02-18-2016 |
20160056187 | IMAGING DEVICES WITH DUMMY PATTERNS - An imaging device is provided. The imaging device includes a plurality of photoelectric conversion elements fromed on a substrate in an active area. A microlens structure is disposed above the photoelectric conversion elements. A dummy pattern having a plurality of protruding elements is disposed above the substrate in a peripheral area surrounding the active area. Furthermore, a passivation film is conformally formed on the microlens structure and the dummy pattern. The passivation film on the tops of the protruding elements of the dummy pattern has a surface area smaller than a surface area of the peripheral area outside of the microlens structure. | 02-25-2016 |
20160056190 | SOLID-STATE IMAGING DEVICE, IMAGING APPARATUS, AND METHOD OF DRIVING THE SOLID-STATE IMAGING DEVICE - A solid-state imaging device including a semiconductor substrate; plural photoelectric conversion units formed side by side on the semiconductor substrate to form a light receiving unit; a peripheral circuit formed in a portion on an outside of the light receiving unit on the semiconductor substrate; a wiring section formed on the light receiving unit and formed for connecting the plural photoelectric conversion units and the peripheral circuit; and a dummy wiring section formed on an opposite side of the wiring section for at least one photoelectric conversion unit among the plural photoelectric conversion units on the light receiving unit and formed for functioning as a non-connected wiring section not connected to the photoelectric conversion units and the peripheral circuit, wherein the dummy wiring section has a predetermined potential. | 02-25-2016 |
20160056195 | IMAGE SENSOR AND ELECTRONIC DEVICE HAVING THE SAME - An image sensor may include: a substrate including a substrate comprising a photoelectric conversion element; a pixel lens formed over the substrate and comprising a plurality of light condensing layers in which a lower layer has a larger area than an upper layer; a color filter layer covering the pixel lens; and an anti-reflection structure formed over the color filter layer. | 02-25-2016 |
20160056196 | CONDUCTION LAYER FOR STACKED CIS CHARGING PREVENTION - A semiconductor device includes a first semiconductor chip comprising a first metallic structure and a second semiconductor chip comprising a second metallic structure. The second semiconductor chip is bonded with the first semiconductor chip by a first conductive plug. A second conductive plug extends from the first metallic structure and into a substrate of the first semiconductor chip. The first conductive plug connects the first metallic structure and the second metallic structure, wherein a conductive liner is along a sidewall of the first conductive plug or the second conductive plug. | 02-25-2016 |
20160064433 | BACKSIDE ILLUMINATED IMAGE SENSOR STRUCTURE - Backside illuminated image sensor structures are provided. The backside illuminated image sensor structure includes a device substrate having a frontside and a backside and pixels formed at the frontside of the substrate. The backside illuminated image sensor structure further includes a metal element formed in a dielectric layer over the backside of the substrate and a color filter layer formed over the dielectric layer. In addition, the metal element is configured to form a light blocking area in the device substrate and is made of copper. | 03-03-2016 |
20160064435 | PHOTO SENSOR AND MANUFACTURING METHOD THEREOF - A photo sensor according to an embodiment includes a semiconductor substrate. A plurality of photodiodes are provided on a first surface of the semiconductor substrate. A plurality of photodetective filters corresponding to the photodiodes are provided on a second surface of the semiconductor substrate opposite to the first surface. A plurality of lenses correspond to the photodetective filters so as to respectively cover the photodetective filters. Protruding portions protrude on the second surface between adjacent ones of the photodetective filters. | 03-03-2016 |
20160064436 | CIRCUIT-INTEGRATED PHOTOELECTRIC CONVERTER AND METHOD FOR MANUFACTURING THE SAME - A circuit-integrated photoelectric converter in which a dished portion is less likely to be formed in an insulating layer underlying a plasmonic filter portion and the plasmonic filter portion can be accurately and finely processed is provided and a method for manufacturing the same is provided. A metal layer ( | 03-03-2016 |
20160064438 | SEMICONDUCTOR DEVICE HAVING RECESS FILLED WITH CONDUCTIVE MATERIAL AND METHOD OF MANUFACTURING THE SAME - A semiconductor device includes a first semiconductor chip comprising a first metallic structure and a second semiconductor chip comprising a second metallic structure. The second semiconductor chip is bonded with the first semiconductor chip by a conductive material filled in a through via. The through via connects the first metallic structure and the second metallic structure, wherein a portion of the through via is inside the first semiconductor chip and the second semiconductor chip. | 03-03-2016 |
20160064441 | SOLID IMAGING DEVICE - A solid imaging device to an embodiment includes a semiconductor substrate and a conductive film. The semiconductor substrate has a plurality of photoelectric conversion elements constituting a plurality of pixels formed therein, the semiconductor substrate having a first surface and a second surface opposite to the first surface and being equipped with a wire layer on a first surface side of the semiconductor substrate. The conductive film is patterned and arranged above a border between pixels of the plurality of pixels on a second surface side of the semiconductor substrate. The conductive film is substantially transparent to visible light. | 03-03-2016 |
20160064578 | PHOTOSENSOR - A photosensor, including: first and second photosensitive cells formed next to each other in a semiconductor substrate; first and second dielectric interface layers coating, respectively, the first and second cells; and a resonance grating formed in a third dielectric layer coating the first and second interface layers, wherein the first and second interface layers have different thicknesses, or different refraction indexes, or different thickness and refraction indexes. | 03-03-2016 |
20160071893 | IMAGING DEVICE AND IMAGING SYSTEM - An imaging device includes pixel regions including first pixel regions arranged at every other pixel in each row so that the first pixel regions alternate with each other in adjacent rows and configured to convert light in first color into first signal charge and accumulate it, second pixel regions arranged in square lattice form and at positions different from the first pixel regions and configured to convert light in color different from the first color into second signal charge and accumulate it, and third pixel regions arranged in square lattice form and at positions different from the first and second pixel regions and having reading-out circuit unit configured to add the signal charges accumulated in at least two first or second pixel regions adjacent to the third pixel region corresponding to a same color and to output signal based on amount of the added signal charges. | 03-10-2016 |
20160071898 | ARRAY OF NANOWIRES IN A SINGLE CAVITY WITH ANTI-REFLECTIVE COATING ON SUBSTRATE - An embodiment relates to image sensor comprising one or more nanowires on a substrate of a cavity, the nanowire being configured to transmit a first portion of an electromagnetic radiation beam incident on the sensor, and the substrate that absorbs a second portion of the electromagnetic radiation beam incident on the sensor, wherein the first portion is substantially different from the second portion. The substrate could have a anti-reflective material. The ratio of a diameter of the cavity to a diameter of the nanowire could be at less than about 10. | 03-10-2016 |
20160079295 | IMAGE PICKUP ELEMENT, IMAGE PICKUP APPARATUS, AND IMAGE PICKUP SYSTEM - An image pickup element includes a first pixel, a second pixel, and a third pixel that share one microlens, a first boundary that is provided between the first pixel and the second pixel, and a second boundary that is provided between the first pixel and the third pixel, and when a charge amount of the first pixel is saturated, a first charge amount from the first pixel to the second pixel via the first boundary is larger than a second charge amount from the first pixel to the third pixel via the second boundary. | 03-17-2016 |
20160079300 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A solid-state imaging device includes: a pixel region in which a plurality of pixels composed of a photoelectric conversion section and a pixel transistor is arranged; an on-chip color filter; an on-chip microlens; and a multilayer interconnection layer in which a plurality of layers of interconnections is formed through an interlayer insulating film. The solid-state imaging device further includes a light-shielding film formed through an insulating layer in a pixel boundary of a light receiving surface in which the photoelectric conversion section is arranged. | 03-17-2016 |
20160079451 | PHOTODIODE STRUCTURES - Photodiode structures and methods of manufacture are disclosed. The method includes forming a waveguide structure in a dielectric layer. The method further includes forming a Ge material in proximity to the waveguide structure in a back end of the line (BEOL) metal layer. The method further includes crystallizing the Ge material into a crystalline Ge structure by a low temperature annealing process with a metal layer in contact with the Ge material. | 03-17-2016 |
20160079464 | PHOTODETECTOR - According to a photodetector includes a first light detection layer and a reflective layer. The first light detection layer has a first surface and a second surface on a side opposite to the first surface. The first light detection layer includes a first light detection area including a p-n junction of a p-type semiconductor layer containing Si and an n-type semiconductor layer containing Si. The reflective layer arranged on a second surface side of the first light detection layer so as to be opposed to the first light detection area. The reflective layer reflects at least part of light in a near-infrared range. | 03-17-2016 |
20160086985 | PIXEL FOR CMOS IMAGE SENSOR AND IMAGE SENSOR INCLUDING THE SAME - A pixel of a complementary metal-oxide-semiconductor (CMOS) image sensor includes a semiconductor substrate having a first surface and a third surface formed by removing part of the semiconductor substrate from a second surface, an active region which is formed between the first surface and the third surface and which contains a photoelectric conversion element generating charges in response to light incident on the substrate at the third surface, and a trench-type isolation region formed from either of the first and third surfaces to isolate the active region from an adjacent active region. The trench-type isolation region is filled with first material in a process that leaves a void in the material, the void is filled or partially filled with second material, and then a layer of third material is formed over the resulting structure composed of the first and second materials. | 03-24-2016 |
20160086987 | Image Sensor Bending By Induced Substrate Swelling - In some examples, techniques and architectures for fabricating an image sensor chip having a curved surface include placing a substrate on a first surface of an image sensor chip, wherein the first surface of the image sensor chip is opposite a second surface of the image sensor chip, and wherein the second surface of the image sensor chip includes light sensors to generate electrical signals in response to receiving light. Fabricating also includes modifying a volume of the substrate so as to impart forces on the image sensor chip to produce a curved image sensor chip. | 03-24-2016 |
20160086989 | ULTRAVIOLET SENSOR AND ULTRAVIOLET DETECTING DEVICE - An ultraviolet sensor includes a silicon photodiode array having a plurality of first pixel regions and a plurality of second pixel regions. A filter film is disposed on each of the first pixel regions so as to cover each first pixel region, except on each second pixel region. The filter film lowers transmittance in a detection target wavelength range in the ultraviolet region. Each of each first pixel region and each second pixel region includes at least one pixel having an avalanche photodiode to operate in Geiger mode, and a quenching resistor connected in series to the avalanche photodiode. Each of the quenching resistors in the plurality of first pixel regions is connected through a first signal line to a first output terminal. Each of the quenching resistors in the plurality of second pixel regions is connected through a second signal line to a second output terminal. | 03-24-2016 |
20160086990 | PIXEL ARRAY OF IMAGE SENSOR AND METHOD OF FABRICATING THE SAME - A pixel array of an image sensor includes multiple red, green, blue and panchromatic pixels. The red, green and blue pixels are formed on a substrate during a first process. Planarization material is deposited to form the panchromatic pixels on the substrate and to form a planarization layer on the red, green and blue pixels during the same second process subsequent to the first process. The planarization material of the panchromatic pixels and the planarization layer is characterized in high transmittance and high aspect ratio. | 03-24-2016 |
20160086991 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS - A solid-state imaging device includes a plurality of pixels each of which includes a photoelectric conversion unit that generates charges by photoelectrically converting light, and a transistor that reads a pixel signal of a level corresponding to the charges generated in the photoelectric conversion unit. A phase difference pixel which is at least a part of the plurality of pixels is configured in such a manner that the photoelectric conversion unit is divided into a plurality of photoelectric conversion units and an insulated light shielding film is embedded in a region for separating the plurality of photoelectric conversion units, which are divided, from each other. | 03-24-2016 |
20160086995 | SOLID-STATE IMAGE PICKUP DEVICE, ELECTRONIC APPARATUS, AND MANUFACTURING METHOD - A solid-state image pickup device includes: a filter section including filters that are disposed corresponding to respective pixels, and each allowing light of a color that corresponds to corresponding one of the pixels to transmit therethrough, in which the pixels are each configured to receive the light of the predetermined color; and a microlens array section including a plurality of microlenses each configured to collect the light for corresponding one of the pixels, in which the microlenses are stacked with respect to the filter section, and are arranged in an array pattern corresponding to the respective pixels. The microlenses have two or more shapes that are different from one another corresponding to the respective colors of the light to be received by the pixels, and each having an end that is in contact with the end of adjacent one of the microlenses. | 03-24-2016 |
20160086999 | HIGH NEAR INFRARED SENSITIVITY IMAGE SENSOR - An image sensor includes a plurality of photodiodes disposed proximate to a frontside of a first semiconductor layer to accumulate image charge in response to light directed into the frontside of the first semiconductor layer. A plurality of pinning wells is disposed in the first semiconductor layer. The pinning wells separate individual photodiodes included in the plurality of photodiodes. A plurality of dielectric layers is disposed proximate to a backside of the first semiconductor layer. The dielectric layers are tuned such that light having a wavelength substantially equal to a first wavelength included in the light directed into the frontside of the first semiconductor layer is reflected from the dielectric layers back to a respective one of the plurality of photodiodes disposed proximate to the frontside of the first semiconductor layer. | 03-24-2016 |
20160090299 | PASSIVATED MICROELECTROMECHANICAL STRUCTURES AND METHODS - This disclosure provides systems, methods and apparatus including devices that include layers of passivation material covering at least a portion of an exterior surface of a thin film component within a microelectromechanical device. The thin film component may include an electrically conductive layer that connects via an anchor to a conductive surface on a substrate. The disclosure further provides processes for providing a first layer of passivation material on an exterior surface of a thin film component and for electrically connecting that thin film component to a conductive surface on a substrate. The disclosure further provides processes for providing a second layer of passivation material on any exposed surfaces of the thin film component after release of the microelectromechanical device. | 03-31-2016 |
20160093575 | OPTOELECTRONIC PACKAGES HAVING MAGNETIC FIELD CANCELATION - A stacked optoelectronic packaged device includes a bottom die having a top surface including bottom electrical traces and a light source die coupled to ≧1 bottom electrical traces. A first cavity die is on the bottom die. An optics die is on the first cavity die and a second cavity die on the optics die. A mounting substrate is on the second cavity die including top electrical traces. A photodetector die is optically coupled to receive light from the light source. The bottom and top electrical traces are both positioned substantially symmetrically on sides of a mirror plane so that when conducting equal and opposite currents a first magnetic field emanating from the first side and a second magnetic field emanating from the second side cancel one another to provide a reduction in magnetic flux density by more than 50% at one or more die locations on the optics die. | 03-31-2016 |
20160099270 | IMAGE-SENSOR STRUCTURES - An image-sensor structure is provided. The image-sensor structure includes a substrate, a plurality of photoelectric conversion units formed in the substrate, and a plurality of color filter patterns including a red filter pattern having a first refractive index, a green filter pattern having a second refractive index and a blue filter pattern having a third refractive index formed above the substrate and the photoelectric conversion units, wherein at least one color filter pattern contains a component having a specific refractive index such that the second refractive index of the green filter pattern is higher than the first refractive index of the red filter pattern and the third refractive index of the blue filter pattern. | 04-07-2016 |
20160099274 | 3D HIGH RESOLUTION X-RAY SENSOR WITH INTEGRATED SCINTILLATOR GRID - Various embodiments of a 3D high resolution X-ray sensor are described. In one aspect, an indirect X-ray sensor includes a silicon wafer that includes an array of photodiodes thereon with each of the photodiodes having a contact on a front side of the silicon wafer and self-aligned with a respective grid hole of an array of grid holes that are on a back side of the silicon wafer. Each of the grid holes is filled with a scintillator configured to convert beams of X-ray into light. The indirect X-ray sensor also includes one or more silicon dies with an array of photo-sensing circuits each of which including a contact at a top surface of the one or more silicon dies. Contact on each of the photodiodes is aligned and bonded to contact of a respective photo-sensing circuit of the array of photo-sensing circuits of the one or more silicon dies. | 04-07-2016 |
20160099278 | BACK-ILLUMINATED INTEGRATED IMAGING DEVICE WITH SIMPLIFIED INTERCONNECT ROUTING - A back-illuminated integrated imaging device is formed from a semiconductor substrate including a zone of pixels bounded by capacitive deep trench isolations. A peripheral zone is located outside the zone of pixels. A continuous electrically conductive layer forms, in the zone of pixels, an electrode in a trench for each capacitive deep trench isolation, and forms, in the peripheral zone, a redistribution layer for electrically coupling the electrode to a biasing contact pad. The electrode is located in the trench between a trench dielectric and at least one material for filling the trench. | 04-07-2016 |
20160099279 | IMAGE SENSOR WITH DEEP WELL STRUCTURE AND FABRICATION METHOD THEREOF - An image sensor device includes a substrate having a first conductivity type. A plurality of photo-sensing regions including a first, a second, and a third photo-sensing regions corresponding to the R, G, B pixels are provided on the substrate. An insulation structure is disposed on the substrate to separate the photo-sensing regions from one another. A photodiode structure is formed within each photo-sensing region. A deep well structure having a second conductivity type. The deep well structure only overlaps with the second and third photo-sensing regions. The deep well structure does not overlap with the first photo-sensing region. | 04-07-2016 |
20160104735 | Dual-Mode Image Sensor With A Signal-Separating Color Filter Array, And Method For Same - A dual-mode image sensor with a signal-separating CFA includes a substrate including a plurality of photodiode regions and a plurality of tall spectral filters having a uniform first height and for transmitting a first electromagnetic wavelength range. Each of the tall spectral filters is disposed on the substrate and aligned with a respective photodiode region. The image sensor also includes a plurality of short spectral filters for transmitting one or more spectral bands within a second electromagnetic wavelength range. Each of the short spectral filters is disposed on the substrate and aligned with a respective photodiode region. The image sensor also includes a plurality of single-layer blocking filters for blocking the first electromagnetic wavelength range. Each single-layer blocking filter is disposed on a respective short spectral filter. Each single-layer blocking filter and its respective short spectral filter have a combined height substantially equal to the first height. | 04-14-2016 |
20160104736 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD OF THE SAME, ELECTRONIC EQUIPMENT, AND SEMICONDUCTOR DEVICE - A solid-state imaging device includes: a photodiode formed to be segmented with respect to each pixel in a pixel area in which plural pixels are integrated on a light receiving surface of a semiconductor substrate; an insulator film formed on the semiconductor substrate to cover the photodiode; a recessed part formed with respect to each of the pixels in the insulator film in an upper part of the photodiode; a first light transmission layer of a siloxane resin formed to fill the recessed part and configure an optical waveguide in the pixel area; a second light transmission layer formed to configure an on-chip lens with respect to each of the pixels in the pixel area; and a guard ring formed to surround an outer circumference of the pixel area to partition an inner area containing the pixel area and an outer dicing area. | 04-14-2016 |
20160104737 | IMAGE SENSOR DEVICE WITH FLEXIBLE INTERCONNECT LAYER AND RELATED METHODS - An image sensor device may include an interconnect layer having an opening extending therethrough, an image sensor IC within the opening and having an image sensing surface, and an IR filter aligned with the image sensing surface. The image sensor device may include an encapsulation material laterally surrounding the image sensor IC and filling the opening, and a flexible interconnect layer coupled to the interconnect layer opposite the image sensing surface. | 04-14-2016 |
20160104738 | IMAGE SENSING DEVICE WITH INTERCONNECT LAYER GAP AND RELATED METHODS - An image sensing device may include an interconnect layer, an image sensor IC coupled to the interconnect layer and having an image sensing surface, and an IR filter aligned with the image sensing surface opposite the interconnect layer. The image sensing device may include a flexible interconnect layer aligned with the interconnect layer and having a flexible substrate extending laterally outwardly from the interconnect layer, and electrically conductive traces on the flexible substrate. The image sensing device may also include solder bodies coupling the interconnect layer and the flexible interconnect layer and also defining a gap between the interconnect layer and the flexible interconnect layer. | 04-14-2016 |
20160104739 | MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - A step of forming a connecting member configured to electrically connect a first conductive line and a second conductive line includes a phase of perforating a laminate from a first semiconductor wafer to form a plurality of connection holes that reach the second conductive line and a phase of filling the plurality of penetrating connection holes with a conductive material to form conductive sections in contact with the second conductive line. | 04-14-2016 |
20160111462 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - An imaging device includes a plurality of photoelectric conversion elements, a plurality of color filter components having a first color filter component and a second color filter component; a light shielding portion, at least a part of which is disposed between the first and second color filter components in a cross-section view; and a transparent film, at least a first part of which is disposed between the first color filter component and the light shielding portion in the cross-section view. A surface of the transparent film facing to the first and second color filter components is nonplanar, and a thickness of the transparent film is less than a thickness of the light shielding portion. | 04-21-2016 |
20160118430 | STACKED TYPE IMAGE SENSOR INCLUDING COLOR SEPARATION ELEMENT AND IMAGE PICKUP APPARATUS INCLUDING STACKED TYPE IMAGE SENSOR - A stacked type image sensor including color separation elements, and an image pickup apparatus including the stacked type image sensor, are provided. The stacked type image sensor includes a first light sensing layer including first pixels configured to absorb and detect light of a first wavelength band and transmit light of a second wavelength band and a third wavelength band, and a second light sensing layer disposed to face the first light sensing layer, the second light sensing layer including second pixels configured to detect light of the second wavelength band and third pixels configured to detect light of the third wavelength band. The color separation elements are disposed between the first light sensing layer and the second light sensing layer, and are configured to direct the light of the second wavelength band toward the second pixels, and direct the light of the third wavelength band toward the third pixels. | 04-28-2016 |
20160118431 | FRONT-SIDE IMAGER HAVING A REDUCED DARK CURRENT ON SOI SUBSTRATE - A front-side image sensor may include a substrate in a semiconductor material and an active layer in the semiconductor material. The front side image sensor may also include an array of photodiodes formed in the active layer and an insulating layer between the substrate and the active layer. | 04-28-2016 |
20160118511 | METHOD FOR FABRICATING A PHOTOSENSITIVE DEVICE - A method for fabricating a photosensitive device, comprising: a first step of preparing, on a substrate, at least a first photosensitive portion, active within a range of wavelengths, the first portion being surrounded by a second portion that is inactive. A material, covering the first portion, is selectively arranged into a hydrophilic layer by an electrochemical process. The second portion comprises a hydrophobic material on an upper surface opposite the substrate. The method further comprises the following steps: spraying on the upper surfaces of the first and second portions a liquid comprising a transparent material, and forming a converging lens containing the material, above the first portion. | 04-28-2016 |
20160126273 | SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING A SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS - Disclosed is a solid-state imaging device including a plurality of pixels and a plurality of on-chip lenses. The plurality of pixels are arranged in a matrix pattern. Each of the pixels has a photoelectric conversion portion configured to photoelectrically convert light incident from a rear surface side of a semiconductor substrate. The plurality of on-chip lenses are arranged for every other pixel. The on-chip lenses are larger in size than the pixels. Each of color filters at the pixels where the on-chip lenses are present has a cross-sectional shape whose upper side close to the on-chip lens is the same in width as the on-chip lens and whose lower side close to the photoelectric conversion portion is shorter than the upper side. | 05-05-2016 |
20160126371 | SEMICONDUCTOR OPTICAL SENSOR - A semiconductor optical sensor includes a plurality of sensing units and to senses an incident optical signal to generate an electrical signal. One of the sensing units includes a substrate, an optical sensing element, a lens and an optical shielding element. The optical sensing element, whose material is different from that of the substrate, converts the incident optical signal into the electrical signal. The lens, whose material includes the same as that of the substrate, guides the incident optical signal to the optical sensing element by changing the propagation path of the incident optical signal. The optical shielding element, which surrounds the optical sensing element, alters the propagation path or propagation distance of the incident optical signal after the incident optical signal passes through the lens such that the incident optical signal will not reach an optical sensing element of an adjacent sensing unit. | 05-05-2016 |
20160133661 | IMAGE SENSOR - An image sensor includes: a lens configured to focus light after passing through or reflected by an object to be read and passing through a first transparent plate; a sensor arranged along the X direction and configured to receive the light focused by the lens; a first casing fixing the first transparent plate and containing or retaining the lens and the sensor; and a bracket arranged at the X direction end portion of the first casing and configured to seal the lens contained or retained by the first casing. | 05-12-2016 |
20160133665 | SEMICONDUCTOR APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR APPARATUS, METHOD OF DESIGNING SEMICONDUCTOR APPARATUS, AND ELECTRONIC APPARATUS - A semiconductor device including a first material layer adjacent to a second material layer, a first via passing through the first material layer and extending into the second material layer, and a second via extending into the first material layer, where along a common cross section parallel to an interface between the two material layers, the first via has a cross section larger than that of the second via. | 05-12-2016 |
20160133762 | MONOLITHIC INTEGRATION OF PLENOPTIC LENSES ON PHOTOSENSOR SUBSTRATES - The invention relates to the monolithic integration of a plenoptic structure on an image sensor, using a material with a low refractive index on the substrate of photosensors (including or not including colour filters and/or pixel microlenses) and arranging a material with a high refractive index on said material with a low refractive index in order to create the plenoptic microlenses. Plenoptic lenses are created directly on the substrate of photosensors. Photosensors with a high integration density are arranged at minimum distances in order to minimise inter-pixel interferences, having, on the integration density end, “deformed square” geometries on the vertices thereof adjacent to a pixel of the same colour, removing any photosensitive area from said vertices in order to distance them from the noise of adjacent pixels of the same colour (irradiances of circles and Airy disks of neighboring pixels of the same colour). The light efficiency is increased by structures of plenoptic microlenses at variable distances from the substrate (less on the periphery thereof) and/or with more asymmetric profiles on the periphery thereof and/or pixels of different sizes and shapes towards the periphery of the sensor. Micro-objectives are produced by the creation of alternate layers of low and high refractive index. | 05-12-2016 |
20160141320 | Wafer-Level Encapsulated Semiconductor Device, And Method For Fabricating Same - An encapsulated semiconductor device includes a device die with a semiconductor device fabricated thereon. A carrier layer opposite the device die covers the semiconductor device. A dam supports the carrier layer above the device die, the dam being located therebetween. The semiconductor device further includes a first sealant portion for attaching the dam to the device die, and a means for attaching the dam to the carrier layer. The device die, the dam, and the carrier layer form a sealed cavity enclosing the semiconductor device. | 05-19-2016 |
20160141323 | SOLID-STATE IMAGING APPARATUS - A solid-state imaging apparatus having a sensitivity difference between microlens regions hardly be recognized comprises: a plurality of pixels each of which has a photoelectric conversion portion, an optical element arranged above the photoelectric conversion portion, and a microlens arranged above the optical element, wherein the microlenses of the plurality of pixels include a plurality of microlenses of a first microlens structure arranged in a first microlens region, and a plurality of microlenses of a second microlens structure arranged in a second microlens region, the optical elements of the plurality of pixels include a plurality of optical elements of a first optical element structure arranged in a first optical element region, and a plurality of optical elements of a second optical element structure arranged in a second optical element region, and the first microlens region is arranged above a boundary between the first and second optical element regions. | 05-19-2016 |
20160148963 | MULTI-LAYER EXTRAORDINARY OPTICAL TRANSMISSION FILTER SYSTEMS, DEVICES, AND METHODS - Systems, devices, and methods for an extraordinary optical transmission (EOT) image capture system comprising optical components to capture light corresponding to an object, an EOT filter device to receive the captured light and transmit wavelengths of interest, and an image sensor to receive the wavelengths of interest and capture an image corresponding to the object. The EOT filter device comprising a first EOT film with thickness T | 05-26-2016 |
20160149058 | USE OF DARK MIRROR COATING TO SUPPRESS STRAY LIGHT IN AN OPTICAL SENSOR ASSEMBLY - An optical sensor assembly is provided in which a dark mirror coating is used to suppress stray light in the form of both unwanted reflections from non-optically active regions of the sensor assembly surface and unwanted transmission of light into the surface region of the sensor assembly. The sensor assembly includes an image sensor positioned in a substrate adjacent to substrate surface areas that are not optically active. A dark mirror coating covering those surface areas significantly reduces reflections from non-optically active surface regions and improves image sensor performance in terms of signal-to-noise ratio and reduction in the appearance of “ghost” images, in turn enhancing the accuracy and precision of the sensor. The dark mirror coating may in the alternative, or in addition, be positioned underneath an optical filter, depending on the structure, material, and requirements of a particular sensor assembly. | 05-26-2016 |
20160155769 | SOLID-STATE IMAGE SENSOR AND ELECTRONIC DEVICE | 06-02-2016 |
20160155862 | METHODS OF MANUFACTURING A SEMICONDUCTOR DEVICE | 06-02-2016 |
20160163750 | PACKAGE FOR AN OPTICAL SENSOR, OPTICAL SENSOR ARRANGEMENT AND METHOD OF PRODUCING A PACKAGE FOR AN OPTICAL SENSOR - A package for an optical sensor, comprises an optically opaque enclosure for forming a cavity when mounted onto a substrate and an optical element based on an optically translucent polymer. An aperture in the enclosure is designed to attach the optical element to the enclosure. | 06-09-2016 |
20160163751 | SUBSTRATE FOR EMBEDDING IMAGING DEVICE AND METHOD FOR MANUFACTURING SAME, AND IMAGING APPARATUS - A substrate for embedding an imaging device includes: a core layer; a first multilayered wiring layer that is formed onto the core layer, the core layer and the first multilayered wiring layer having a cavity penetrating therethrough; a second multilayered wiring layer that is formed onto the core layer on a side opposite to the first multilayered wiring layer and that includes a conductive pattern formed at a position facing the cavity; a resin portion that is arranged inside the cavity and includes a bottom surface supported by the second multilayered wiring layer, a side face supported by the core layer, and a curved surface formed on a side opposite to the bottom surface; and an imaging device adhered along the curved surface inside the cavity. | 06-09-2016 |
20160163754 | RADIATION DETECTOR, METHOD OF MANUFACTURING RADIATION DETECTOR, IMAGING UNIT, AND IMAGING AND DISPLAY SYSTEM - There is provided a radiation detector including: a plurality of photoelectric conversion devices, each photoelectric conversion device formed at least partially within an embedding layer and having a light receiving surface situated at least partially outside of the embedding layer, and a plurality of scintillator crystals, at least a first scintillator crystal of the plurality of scintillator crystals in contact with at least one light receiving surface at a proximal end, wherein a cross-section of the first scintillator crystal at the proximal end is smaller than a cross-section of the first scintillator crystal at a distal end. | 06-09-2016 |
20160163760 | CMOS IMAGE SENSOR STRUCTURE WITH IR/NIR INTEGRATION - A semiconductor device includes a substrate, light sensing devices, at least one infrared radiation sensing device, a transparent insulating layer, an infrared radiation cut layer, a color filter layer and an infrared radiation color filter layer. The light sensing devices and the at least one infrared radiation sensing device are disposed in the substrate and are adjacent to each other. The transparent insulating layer is disposed on the substrate overlying the light sensing devices and the at least one infrared radiation sensing device. The infrared radiation cut layer is disposed on the transparent insulating layer overlying the light sensing devices for filtering out infrared radiation and/or near infrared radiation. The color filter layer is disposed on the infrared radiation cut layer. The infrared radiation color filter layer is disposed on the transparent insulating layer overlying the at least one infrared radiation sensing device. | 06-09-2016 |
20160163906 | AVALANCHE PHOTODIODE OPERATING IN GEIGER MODE INCLUDING A STRUCTURE FOR ELECTRO-OPTICAL CONFINEMENT FOR CROSSTALK REDUCTION, AND ARRAY OF PHOTODIODES - An avalanche photodiode includes a cathode region and an anode region. A lateral insulating region including a barrier region and an insulating region surrounds the anode region. The cathode region forms a planar optical guide within a core of the cathode region, the guide being configured to guide photons generated during avalanche. The barrier region has a thickness extending through the planar optical guide to surround the core and prevent propagation of the photons beyond the barrier region. The core forms an electrical-confinement region for minority carriers generated within the core. | 06-09-2016 |
20160164252 | OPTOELECTRONIC PACKAGES HAVING MAGNETIC FIELD CANCELATION - A stacked optoelectronic packaged device includes a bottom die having a top surface including bottom electrical traces and a light source die coupled to ≧1 bottom electrical traces. A first cavity die is on the bottom die. An optics die is on the first cavity die and a second cavity die on the optics die. A mounting substrate is on the second cavity die including top electrical traces. A photodetector die is optically coupled to receive light from the light source. The bottom and top electrical traces are both positioned substantially symmetrically on sides of a mirror plane so that when conducting equal and opposite currents a first magnetic field emanating from the first side and a second magnetic field emanating from the second side cancel one another to provide a reduction in magnetic flux density by more than 50% at one or more die locations on the optics die. | 06-09-2016 |
20160172390 | SOLID-STATE IMAGE SENSOR AND IMAGE CAPTURING APPARATUS USING THE SAME | 06-16-2016 |
20160172394 | Image Sensors Including Non-Aligned Grid Patterns | 06-16-2016 |
20160172398 | IMAGE SENSOR AND METHOD FOR FABRICATING THE SAME | 06-16-2016 |
20160172405 | IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME | 06-16-2016 |
20160172412 | IMAGE SENSORS INCLUDING NON-ALIGNED GRID PATTERNS | 06-16-2016 |
20160172413 | SOLID-STATE IMAGING APPARATUS AND IMAGING SYSTEM | 06-16-2016 |
20160181300 | IMAGING APPARATUS | 06-23-2016 |
20160181304 | SOLID-STATE IMAGING DEVICE, MANUFACTURING METHOD THEREOF, AND CAMERA WITH ALTERNATIVELY ARRANGED PIXEL COMBINATIONS | 06-23-2016 |
20160181309 | MICROLENS AND METHOD OF MANUFACTURING MICROLENS | 06-23-2016 |
20160181310 | OPTICAL ASSEMBLY INCLUDING PLENOPTIC MICROLENS ARRAY | 06-23-2016 |
20160181448 | PHOTODETECTOR WITH SURFACE PLASMON RESONANCE | 06-23-2016 |
20160181458 | Cross-Talk Suppression in Geiger-Mode Avalanche Photodiodes | 06-23-2016 |
20160190190 | Image Sensor with Low Step Height between Back-side Metal and Pixel Array - A CMOS image sensor and a method of forming are provided. The CMOS image sensor may include a device wafer. A conductive feature may be formed on a back-side surface of the device wafer. The device wafer may include a pixel formed therein. A passivation layer may be formed over the back-side surface of the device wafer and the conductive feature. A grid film may be formed over the passivation layer. The grid film may be patterned to accommodate a color filter. The grid film pattern may align the color filter to corresponding pixel in the device wafer. A portion of the grid film formed over the conductive feature may be reduced to be substantially planar with portions of the grid film adjacent to the conductive feature. The patterning and reducing may be performed according to etching processes, chemical mechanical processes, and combinations thereof. | 06-30-2016 |
20160190194 | PHOTODETECTOR FOCAL PLANE ARRAY SYSTEMS AND METHODS - A photodetector focal plane array system, comprising: a substrate comprising a plurality of photosensitive regions; and a microcomponent disposed adjacent to each of the plurality of photosensitive regions operable for receiving incident radiation and directing a photonic nanojet into the associated photosensitive region. Optionally, each of the microcomponents comprises one of a microsphere and a microcylinder. Each of the microcomponents has a diameter of between ˜λ and ˜100λ, where λ is the wavelength of the incident radiation. Each of the microcomponents is manufactured from a dielectric or semiconductor material. Each of the microcomponents has an index of refraction of between ˜1.4 and ˜3.5. Optionally, high-index components can be embedded in a lower index material. The microcomponents form an array of microcomponents disposed adjacent to the substrate. | 06-30-2016 |
20160190352 | OPTICAL SENSOR DEVICE - A package for an optical sensor device has a double-molded structure in which a first resin molded portion and a second resin molded portion are integrated. The first resin molded portion has a structure in which peripheries of a die pad portion on which an optical sensor element is mounted and a part of leads are molded with a resin so as to be integrated. The second resin molded portion has a structure in which the periphery of the first resin molded portion is molded with a resin so as to form an outer shape of the package. A glass substrate having a filter function is bonded to an upper surface of the resin molded portions to form a cavity in which is mounted the optical sensor element. | 06-30-2016 |
20160190353 | PHOTOSENSITIVE MODULE AND METHOD FOR FORMING THE SAME - A method for forming a photosensitive module is provided. The method includes providing a substrate having a first surface and a second surface opposite thereto. A conducting pad is located on the first surface. A cover plate is provided on the first surface of the substrate. An opening is formed. The opening penetrates the substrate and exposes the conducting pad. A redistribution layer is formed in the first opening to electrically connect to the conducting pad. The cover plate is removed and a dicing process is performed to form a sensing device. The sensing device is bonded to a circuit board. An optical component is mounted on the circuit board and corresponds to the sensing device. A photosensitive module formed by the method is also provided. | 06-30-2016 |
20160197108 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS | 07-07-2016 |
20160197111 | INTEGRATED PHOTONICS INCLUDING GERMANIUM | 07-07-2016 |
20160197113 | IMAGE SENSOR DEVICE WITH SENSING SURFACE CAVITY AND RELATED METHODS | 07-07-2016 |
20160197223 | HIGH-FREQUENCY OPTOELECTRONIC DETECTOR, SYSTEM AND METHOD | 07-07-2016 |
20160204145 | IMAGE PICKUP ELEMENT, METHOD OF MANUFACTURING IMAGE PICKUP ELEMENT, AND ELECTRONIC APPARATUS | 07-14-2016 |
20160204146 | SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF | 07-14-2016 |
20160204147 | BACK SURFACE RADIATION TYPE IMAGE SENSOR, IMAGING DEVICE, AND ELECTRONIC APPARATUS | 07-14-2016 |
20160204152 | IMAGING DEVICE, IMAGING APPARATUS, PRODUCTION APPARATUS AND METHOD, AND SEMICONDUCTOR DEVICE | 07-14-2016 |
20160204155 | IMAGE SENSOR PACKAGE AND AN IMAGE SENSOR MODULE HAVING THE SAME | 07-14-2016 |
20160204157 | BACKLIGHT IMAGE SENSOR CHIP HAVING IMPROVED CHIP DRIVING PERFORMANCE | 07-14-2016 |
20160204285 | Photonic lock based high bandwidth photodetector | 07-14-2016 |
20160204298 | Integrated avalanche germanium photodetector | 07-14-2016 |
20160252721 | OPTICAL ARRANGEMENT, ENDOSCOPE AND CORRESPONDING PRODUCTION METHOD | 09-01-2016 |
20160254303 | PHOTOELECTRIC CONVERSION DEVICE | 09-01-2016 |
20160254304 | IMAGE SENSOR WITH EMBEDDED INFRARED FILTER LAYER | 09-01-2016 |
20160254311 | DIGITAL DETECTOR POSSESSING A GENERATOR OF LIGHT ENABLING OPTICAL WIPING | 09-01-2016 |
20160254398 | AN APPARATUS AND A METHOD FOR DETECTING PHOTONS | 09-01-2016 |
20160379960 | HYBRID BOND PAD STRUCTURE - The present disclosure relates to a multi-dimensional integrated chip having a redistribution layer vertically extending between integrated chip die, which is laterally offset from a back-side bond pad. The multi-dimensional integrated chip has a first integrated chip die with a first plurality of metal interconnect layers disposed within a first inter-level dielectric layer arranged onto a front-side of a first semiconductor substrate. The multi-dimensional integrated chip also has a second integrated chip die with a second plurality of metal interconnect layers disposed within a second inter-level dielectric layer abutting the first ILD layer. A bond pad is disposed within a recess extending through the second semiconductor substrate. A redistribution layer vertically extends between the first plurality of metal interconnect layers and the second plurality of metal interconnect layers at a position that is laterally offset from the bond pad. | 12-29-2016 |
20160380015 | MOLDED SEMICONDUCTOR PACKAGE WITH SNAP LID - An image sensor package includes an image sensor, a window, and a molding, where the molding includes a lens holder extension portion extending upwards from the window. The lens holder extension portion includes a female threaded aperture extending from the window such that the window is exposed through the aperture. A lens is supported in a threaded lens support. The threaded lens support is threaded into the aperture of the lens holder extension portion. The lens is readily adjusted relative to the image sensor by rotating the lens support. | 12-29-2016 |
20160380023 | SEMICONDUCTOR OPTICAL DEVICE INTEGRATING PHOTODIODE WITH OPTICAL WAVEGUIDE AND METHOD OF FORMING THE SAME - A semiconductor optical device that integrates photodiodes (PDs) and optical waveguides coupling with the PDs and a method of forming the semiconductor optical device are disclosed. The optical waveguides in a portion in the lower cladding layer thereof provides a modified layer that forms a conduction barrier of the lower cladding layer. The modified layer is formed by converting the conduction type thereof or implanting protons therein. The modified layer prevents the electrical coupling between PDs through the waveguides. | 12-29-2016 |
20170234992 | IMAGING APPARATUS AND MANUFACTURING METHOD THEREOF | 08-17-2017 |
20170236854 | BACKSIDE ILLUMINATED (BSI) IMAGE SENSOR WITH A REFLECTOR | 08-17-2017 |
20170236860 | IMAGE SENSOR HAVING IMPROVED DICING PROPERTIES, MANUFACTURING APPARATUS, AND MANUFACTURING METHOD OF THE SAME | 08-17-2017 |
20170236861 | OPTICAL FILTER ARRAY | 08-17-2017 |
20180026060 | SOLID STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS | 01-25-2018 |
20180026061 | CIS STRUCTURE WITH COMPLEMENTARY METAL GRID AND DEEP TRENCH ISOLATION AND METHOD FOR MANUFACTURING THE SAME | 01-25-2018 |
20180026065 | IMAGE-SENSOR STRUCTURES | 01-25-2018 |
20180026068 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD, AND ELECTRONIC APPLIANCE | 01-25-2018 |
20180026069 | IMAGE SENSOR DEVICE AND METHOD OF FORMING SAME | 01-25-2018 |
20180026145 | LIGHT DETECTION DEVICE | 01-25-2018 |
20190148350 | OPTICAL MODULE | 05-16-2019 |
20190148430 | COLOR FILTER UNIFORMITY FOR IMAGE SENSOR DEVICES | 05-16-2019 |
20190148431 | LIGHT BLOCKING LAYER FOR IMAGE SENSOR DEVICE | 05-16-2019 |
20190148434 | IMAGE SENSOR DEVICE AND METHOD FOR FORMING THE SAME | 05-16-2019 |
20190148437 | POLARIZERS FOR IMAGE SENSOR DEVICES | 05-16-2019 |
20190148438 | IMAGE SENSOR AND ELECTRONIC APPARATUS INCLUDING THE SAME | 05-16-2019 |
20190148445 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD, AND ELECTRONIC APPLIANCE | 05-16-2019 |
20190148452 | NANO METALLIC PLANAR APEX OPTICAL DETECTOR | 05-16-2019 |
20190148568 | ARRAY OF GEIGER-MODE AVALANCHE PHOTODIODES FOR DETECTING INFRARED RADIATION | 05-16-2019 |
20220137424 | IMAGE SENSOR INCLUDING COLOR SEPARATING LENS ARRAY AND ELECTRONIC DEVICE INCLUDING THE IMAGE SENSOR - Provided is an image sensor including a sensor substrate including a first photosensitive cell and a second photosensitive cell which are configured to sense light incident on the sensor substrate, a color separating lens array configured to change a phase of first wavelength light and a phase of second wavelength light such that the first wavelength light travels to the first photosensitive cell and the second wavelength light travels to the second photosensitive cell, and a spectrum shaping layer including a plurality of nanostructures respectively having a first refractive index, and a dielectric material provided between the plurality of nanostructures and having a second refractive index, the spectrum shaping layer being provided between the sensor substrate and the color separating lens array and configured to shape a spectral profile of the light incident on the sensor substrate by reflecting and/or absorbing portion of light passing through the color separating lens array. | 05-05-2022 |
20220139978 | IMAGING ELEMENT AND IMAGING DEVICE - An imaging element according to an embodiment of the present disclosure includes: a semiconductor substrate having an effective pixel region in which a plurality of pixels is disposed and a peripheral region provided around the effective pixel region; a photoelectric converter; a first hydrogen block layer; an interlayer insulating layer; and a separation groove. The photoelectric converter includes a first electrode, a second electrode, and an electric charge accumulation layer and a photoelectric conversion layer. The first electrode is provided on a light receiving surface side of the semiconductor substrate and includes a plurality of electrodes. The second electrode is disposed to be opposed to the first electrode. The electric charge accumulation layer and the photoelectric conversion layer are stacked and provided in order between the first electrode and the second electrode and extend in the effective pixel region. The first hydrogen block layer covers a top and a side surface of the photoelectric conversion layer and a side surface of the electric charge accumulation layer. The interlayer insulating layer is provided between the semiconductor substrate and the photoelectric converter. The separation groove separates the interlayer insulating layer in at least a portion of a region between the effective pixel region and the peripheral region. The separation groove has a side surface and a bottom surface covered with the first hydrogen block layer. | 05-05-2022 |
20220139982 | IMAGE SENSOR PACKAGES FORMED USING TEMPORARY PROTECTION LAYERS AND RELATED METHODS - An image sensor package may include a semiconductor wafer having a pixel array, a color filter array (CFA) formed over the pixel array, and one or more lenses formed over the CFA. A light block layer may couple over the semiconductor wafer around a perimeter of the lenses and an encapsulation layer may be coupled around the perimeter of the lenses and over the light block layer. The light block layer may form an opening providing access to the lenses. A mold compound layer may be coupled over the encapsulation layer and the light block layer. A temporary protection layer may be used to protect the one or more lenses from contamination during application of the mold compound and/or during processes occurring outside of a cleanroom environment. | 05-05-2022 |
20220139989 | IMAGE SENSOR - An image sensor includes a first substrate including a focus pixel region and pixel regions around the focus pixel region, each of the focus pixel region and the pixel regions including at least one photoelectric conversion region, color filters provided on the focus pixel region and the pixel regions, respectively, and on a first surface of the first substrate, and micro lenses provided on the color filters, respectively. The micro lenses include an auto-focus lens on the focus pixel region, a first micro lens adjacent to the auto-focus lens, and a standard micro lens spaced apart from the auto-focus lens. | 05-05-2022 |
20220139994 | IMAGE SENSOR INCLUDING COLOR SEPARATING LENS ARRAY AND ELECTRONIC APPARATUS INCLUDING THE IMAGE SENSOR - An image sensor includes: a sensor substrate including a first pixel and a second pixel; and a color separating lens array for condensing light of first wavelength onto the first pixel by changing a phase of light of the first wavelength included in incident light, wherein the sensor substrate further includes: an active pixel area for outputting an active pixel signal for image generation; a first dummy pixel area arranged outside the active pixel area and outputting a dummy pixel signal to correct image data generated from the active pixel signal; and a second dummy pixel area arranged outside the active pixel area and the first dummy pixel area and not outputting any pixel signal. | 05-05-2022 |
20220139996 | IMAGE SENSOR AND METHOD FORMING THE SAME - A method forming an image sensor includes: providing a substrate including a plurality of sensing portions; forming a color filter layer on the substrate; forming a micro-lens material layer on the color filter layer; and forming a hard mask pattern on the micro-lens material layer, wherein the hard mask pattern has a first gap and a second gap larger than the first gap. The method includes reflowing the hard mask pattern into a plurality of dome shapes; transferring the plurality of dome shapes into the micro-lens material layer to form a plurality of micro-lenses; and forming a top film conformally on the plurality of micro-lenses. | 05-05-2022 |
20220140155 | OPTICAL SENSOR AND DETECTOR FOR AN OPTICAL DETECTION - Described herein is an optical sensor, a detector including the optical sensor for an optical detection of at least one object, and a method for manufacturing the optical sensor. The optical sensor ( | 05-05-2022 |