Entries |
Document | Title | Date |
20080197110 | PULSED-PLASMA SYSTEM WITH PULSED SAMPLE BIAS FOR ETCHING SEMICONDUCTOR SUBSTRATES - A pulsed plasma system with pulsed sample bias for etching semiconductor structures is described. In one embodiment, a portion of a sample is removed by applying a pulsed plasma process, wherein the pulsed plasma process comprises a plurality of duty cycles. A negative bias is applied to the sample during the ON state of each duty cycle, while a zero bias is applied to the sample during the OFF state of each duty cycle. In another embodiment, a first portion of a sample is removed by applying a continuous plasma process. The continuous plasma process is then terminated and a second portion of the sample is removed by applying a pulsed plasma process. | 08-21-2008 |
20080203056 | METHODS FOR ETCHING HIGH ASPECT RATIO FEATURES - Methods for forming features for high aspect ratio application in etch process are provided in the present invention. In one embodiment, the method for etching a dielectric layer disposed on a substrate includes placing a substrate having a portion of a dielectric layer exposed through a patterned photoresist layer in an etch chamber, supplying a gas mixture containing argon (Ar) gas into the etch chamber, forming a plasma from the gas mixture using dual frequency RF power and etching the exposed dielectric layer using the plasma formed from the gas mixture. | 08-28-2008 |
20080210664 | Method of Surface Treatment and Surface-Treated Article - Plasma generated in water vapor bubbles present in a water-containing liquid is brought into contact, in the liquid, with an article having a contact angle with water of 90° or less. The plasma is contacted with an organic substance adhering to the article to thereby remove the organic substance from the article. By bringing the plasma into contact with the article, the surface of the article is etched without breaking the article. The article may comprise a material composed of both a hydrophobic part having a contact angle with water exceeding 90° and a hydrophilic part having a contact angle with water of 90° or less. In this case only the hydrophobic part is etched by bringing the plasma into contact with the article. | 09-04-2008 |
20080217295 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - The present invention provides a plasma processing apparatus or a plasma processing method that can etch a multilayer film structure for constituting a gate structure with high accuracy and high efficiency. A plasma processing method of, on processing a sample on a sample stage | 09-11-2008 |
20080237183 | METHOD OF ETCHING AN ORGANIC LOW-K DIELECTRIC MATERIAL - A method of etching organic low-k dielectric materials is provided herein. In one embodiment, a method of etching organic low-k dielectric materials includes placing a substrate comprising an exposed organic low-k dielectric material in an etch reactor; supplying a process gas comprising an oxygen-containing gas, a nitrogen-containing gas, and methane (CH | 10-02-2008 |
20080237184 | METHOD AND APPARATUS FOR PLASMA PROCESSING - A plasma processing apparatus comprising a vacuum vessel; a process chamber housed in the vacuum vessel; and a sample stage located in the process chamber, for supporting on its upper surface a disk-like sample to be processed; wherein plural disk-like samples are continuously processed with plasma generated in the process chamber and wherein during the idling time between the successive processes the temperature of the sample stage is adjusted to a predetermined value higher than the temperature at which the samples are processed. | 10-02-2008 |
20080237185 | PLASMA PROCESSING APPARATUS OF SUBSTRATE AND PLASMA PROCESSING METHOD THEREOF - A substrate plasma processing apparatus includes a chamber of which an interior is evacuated under a predetermined vacuum condition; an RF electrode which is disposed in the chamber and configured so as to hold a substrate to be processed on a main surface thereof; an opposing electrode which is disposed opposite to the RF electrode in the chamber; an RF voltage applying device for applying an RF voltage with a predetermined frequency to the RF electrode; and a pulsed voltage applying device for applying a pulsed voltage to the RF electrode so as to be superimposed with the RF voltage and which includes a controller for controlling a timing in application of the pulsed voltage and defining a pause period of the pulsed voltage. | 10-02-2008 |
20080257863 | PLASMA PROCESSING APPARATUS AND METHOD FOR STABILIZING INNER WALL OF PROCESSING CHAMBER - A plasma processing apparatus is disclosed for removing the deposition film in the processing chamber and suppressing the corrosion of wall surface material. The plasma processing apparatus includes a plasma generating means, a monitor means for detecting the existence of a reaction product containing a material constituting an inner wall of the processing chamber, and an alarm means for notifying that the existence of the reaction product containing the material constituting the inner wall of the processing chamber has exceeded a predetermined amount. The plasma processing apparatus is configured such that plasma cleaning is performed for every arbitrary etching process, and a wall surface stabilization process is subsequently performed using O | 10-23-2008 |
20080257864 | Methods and devices to reduce defects in dielectric stack structures - A variety of techniques may be employed alone or in combination to reduce the incidence of defects arising in dielectric stack structures formed by chemical vapor deposition (CVD). Incidence of a first defect type attributable to reaction between an unreacted species of a prior CVD step and reactants of a subsequent CVD step, is reduced by exposing a freshly-deposited dielectric layer to a plasma before any additional layers are deposited. Incidence of a second defect type attributable to the presence of incompletely vaporized CVD liquid precursor material, is reduced by exposing the freshly-deposited dielectric layer to a plasma, and/or by continuing the flow of carrier gas through an injection valve for a period beyond the conclusion of the CVD step. | 10-23-2008 |
20080264904 | METHODS TO ELIMINATE "M-SHAPE" ETCH RATE PROFILE IN INDUCTIVELY COUPLED PLASMA REACTOR - An inductively-coupled plasma processing chamber has a chamber with a ceiling. A first and second antenna are placed adjacent to the ceiling. The first antenna is concentric to the second antenna. A plasma source power supply is coupled to the first and second antenna. The plasma source power supply generates a first RF power to the first antenna, and a second RF power to the second antenna. A substrate support disposed within the chamber. The size of the first antenna and a distance between the substrate support are such that the etch rate of the substrate on the substrate support is substantially uniform. | 10-30-2008 |
20080283498 | Plasma Processing Device and Plasma Processing Method - The present invention prevents drop in the function of a plasma processing device caused by reduction of a plasma generating chamber by reductive plasma that is generated from the introduced process gas, and extends the life of members which are in contact with reductive plasma, especially the plasma generating chamber member. The plasma processing device of this embodiment is a device for treating the surface of a processing subject S using radicals generated by exciting a process gas, wherein a plasma generating chamber member | 11-20-2008 |
20080283499 | Corrosion-Resistant Member, Treatment Apparatus and Sample Treatment Method Using the Member, and Method for Manufacture of Corrosion-Resistant Member - Disclosed is a corrosion resistant member comprising a sintered material having an α-Al | 11-20-2008 |
20080296259 | Apparatus and method for treating substrate using plasma - A method of treating plasma using plasma is provided. During a plasma treating process, a power for generating plasma is supplied as a pulse to prevent charge density of a wafer surface from increasing with rise of electron energy. A magnetic field is provided at a region, where a plasma is generated, to prevent the plasma density from decreasing when the power is supplied as a pulse. The magnetic field is formed to be directed toward the interior or exterior of a housing. Further, a power for generating plasma is supplied as a pulse to selectively improve an etching rate of a wafer central region or a wafer edge region. | 12-04-2008 |
20080296260 | Method For the Fabrication of High Surface Area Ratio and High Aspect Ratio Surfaces on Substrates - This invention provides a method for the fabrication of surfaces of high surface area ratio on polymeric/plastic materials, and their application in the control of the wetting properties of surfaces, of the transport of liquids on such fabricated surfaces, or of the separation of liquids in microchannels of said surfaces. The fabrication of surfaces of high surface area ratio comprises the following steps: (a) selection of a polymer/plastic layer which contains two or more components differing in their plasma etching behaviour (b) exposure of said polymer/plastic layer to an etching plasma to provide selective removal of one polymer component versus a second plasma-resistant component so as to result in a randomly rough columnar-like surface. In addition, exposure of the said surface to an oxidizing plasma or to a fluorocarbon. depositing plasma renders the surface fully hydrophilic or en super-hydrophobic, respectively. | 12-04-2008 |
20080296261 | APPARATUS AND METHODS FOR IMPROVING TREATMENT UNIFORMITY IN A PLASMA PROCESS - Apparatus and methods for improving treatment uniformity in a plasma process. The sacrificial body, which is extends about an outer peripheral edge of the workpiece during plasma processing, is composed of a plasma-removable material. The sacrificial body may include multiple sections that are arranged to define a circular geometrical shape. The sacrificial body functions to increase the effective outer diameter of the workpiece, which operates to alleviate detrimental edge effects intrinsic to plasma processing by effectively reducing the etch rate near the outer peripheral edge of the workpiece. | 12-04-2008 |
20080302761 | PLASMA PROCESSING SYSTEM AND USE THEREOF - A plasma processing system | 12-11-2008 |
20090014416 | TRANSPARENT TEXTURED SUBSTRATE AND METHODS FOR OBTAINING SAME - The invention concerns a transparent substrate whereof part at least of the outer surface has the form of a web comprising protuberances, 80% of them at least, having heights ranging between 40 and 250 nm, mean diameters between 1 and 500 nm, 80% at least of the distances between two neighboring protuberances ranging between 1 and 500 nm. The invention further provides two methods for making said substrates and its use in a transport vehicle glazing, for a building, or for an indoor or outdoor decorative element, for urban environment or for household appliance, in a lenticular screen or microprism substrate, in an engraved glass substrate for lamp or display, and in a chemical or biochemical microreactor. | 01-15-2009 |
20090026171 | PROCESSING METHOD - A multichamber-type processing apparatus and processing method using same, in which a substrate is reliably neutralized without being damaged, thereby ensuring excellent accuracy and throughput. The processing apparatus includes a transfer chamber, etching chambers selectively communicating with the transfer chamber and providing a space to etch a first substrate therein, and ashing chambers selectively communicating with the transfer chamber and providing a space to ash a second substrate therein. A transfer mechanism is installed in the transfer chamber to sequentially transfer the substrate from the transfer chamber into the etching and ashing chambers. The substrate is electrostatically adsorbed to electrostatic chucks in the etching and ashing chambers. An monatomic nitrogen atom supply unit supplies dissociated monatomic nitrogen atoms into the etching and ashing chambers. | 01-29-2009 |
20090045167 | PLASMA ETCHING METHOD AND APPARATUS THEREFOR - A fluorine-containing compound gas, e.g., SF | 02-19-2009 |
20090057269 | Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection - The disclosure concerns a method of processing a workpiece or in a plasma reactor chamber, using independent gas injection at the wafer edge. | 03-05-2009 |
20090065479 | DRY ETCHING METHOD OF HIGH-K FILM - An object of the invention is to provide a dry etching method of a metal oxide High-k film having etching characteristics which achieve a small etching rate difference and a small profile difference between open area and dense area while keeping a high selectivity to a polysilicon underlying film. In the method of dry-etching a High-k film by using a plasma, a small amount of fluorocarbon gas having a high carbon ratio is added to a BCl | 03-12-2009 |
20090071938 | METHODS AND APPARATUS FOR SUBSTRATE PROCESSING - A method for processing a substrate in a plasma processing chamber is provided. The substrate is disposed above a chuck and surrounded by an edge ring. The edge ring is electrically isolated from the chuck. The method includes providing first RF power to the chuck. The method also includes providing an edge ring RF voltage control arrangement. The edge ring RF voltage control arrangement is coupled to the edge ring to provide second RF power to the edge ring resulting in the edge ring having an edge ring potential. The method further includes generating a plasma within the plasma processing chamber to process the substrate. The substrate is being processed while the edge ring RF voltage control arrangement is configured to cause the edge ring potential to be substantially equal to a DC potential of the substrate while processing the substrate. | 03-19-2009 |
20090071939 | MODIFICATION OF POLYMER SURFACE WITH SHIELDED PLASMA - Methods and systems for modifying a surface of a polymer with a shielded plasma are provided. The surface may be modified to create a surface with increased crosslinking and/or a particular mechanical property, such as a coefficient of friction. A shielding arrangement is used to modify the plasma to which the polymer surface is exposed, thereby providing a surface with the desired mechanical properties. In one aspect, a single source that provides multiple species of plasma particles is advantageously used instead of having to switch or move in multiple sources. The extent of crosslinking is evaluated using a surface force microscope to determine a frictional property that is correlated to the crosslinking, e.g., via calibrated values determined from reference surfaces. | 03-19-2009 |
20090084758 | METHOD AND APPARATUS FOR SHAPING GAS PROFILE NEAR BEVEL EDGE - A method for etching a bevel edge of a substrate in a processing chamber is provided. The method includes flowing an inert gas into a center region of the processing chamber defined above a center region of the substrate and flowing a mixture of an inert gas and a processing gas over an edge region of the substrate. The method further includes striking a plasma in the edge region, wherein the flow of the inert gas and the flow of the mixture maintain a mass fraction of the processing gas substantially constant. A processing chamber configured to clean a bevel edge of a substrate is also provided. | 04-02-2009 |
20090090695 | Yttria insulator ring for use inside a plasma chamber - A yttria insulator ring for use in a plasma processing apparatus is provided to minimize arcing between the apparatus and a ground extension, while also increasing a mean time between cleanings (MTBC). The yttria insulator ring may be located between a ground extension and a plasma generation zone, or gap, of the chamber of the apparatus, as well as between an edge ring and the ground extension. Compared to a quartz ring, the yttria insulator ring can also provide improved semiconductor substrate uniformity because of improved RF coupling as a result of decreased reactivity and increased dielectric constant. | 04-09-2009 |
20090095714 | Method and system for low pressure plasma processing - Method and system for treating a substrate with plasma under low pressure conditions is described. A plasma processing system comprises a plasma generation chamber having a first plasma region and a process chamber having a second plasma region disposed downstream of the first plasma region. A plasma generation system is coupled to the plasma generation chamber and configured to create a first plasma in the first plasma region, while a plasma heating system is coupled to the process chamber and configured to heat electrons supplied to the second plasma region from the first plasma region to form a second plasma. A substrate holder coupled to the process chamber is configured to support a substrate and expose the substrate to the second plasma. | 04-16-2009 |
20090107955 | OFFSET LINER FOR CHAMBER EVACUATION - The present invention generally includes a chamber liner spaced from a chamber wall to permit processing gases to be pulled between the chamber liner and the chamber wall when withdrawing gases from the processing chamber. When the vacuum pump is below the susceptor, processing gases will be drawn below the susceptor and may lead to undesired deposition onto process chamber components. Additionally, the processing gases will be pulled past the slit valve opening and potentially deposit within the slit valve opening. When material deposits in the slit valve opening, flaking may occur and contaminate the substrates. By drawing the processing gases along the sidewalls other than the one having the slit valve opening therethrough, undesired deposition on the slit valve opening may be reduced. | 04-30-2009 |
20090127227 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - Provided are a plasma processing apparatus and a plasma processing method, by which plasma damage is reduced during processing. At the time of performing desired plasma processing to a substrate ( | 05-21-2009 |
20090145881 | SYSTEM AND METHOD FOR DUAL-SIDED SPUTTER ETCH OF SUBSTRATES - A system is provided for etching patterned media disks. A movable non-contact electrode is utilized to perform sputter etch. The electrode moves to near contact distance to, but not contacting, the substrate so as to couple RF energy to the disk. The material to be etched may be metal, e.g., Co/Pt/Cr or similar metals. The substrate is held vertically in a carrier and both sides are etched serially. That is, one side is etched in one chamber and then in the next chamber the second side is etched. An isolation valve is disposed between the two chambers and the disk carrier moves the disks between the chambers. The carrier may be a linear drive carrier, using, e.g., magnetized wheels and linear motors. | 06-11-2009 |
20090152242 | PLASMA TREATMENT APPARATUS AND PLASMA TREATMENT METHOD - The invention provides a plasma treatment apparatus or a plasma treatment method having a high productivity while maintaining a stable treatment performance. In a plasma treatment apparatus feeding a plurality of gases fed into the treatment chamber and treating a sample arranged within the treatment chamber by a plasma formed by using the plurality of gases, the plasma treatment apparatus has a plurality of feeding gas lines in which the plurality of gases respectively pass, a plurality of gas flow rate regulators respectively arranged on the feeding gas lines and respectively regulating flow rates of the plurality of gases, and a testing gas flow path coupled to the gas line so as to be arranged outside the treatment chamber and arranging a tester testing a flow rate of a gas from a gas flow rate controller therein, and the plasma treatment apparatus tests the gas flow rate regulator on a gas line corresponding to the gas which is not used for the treatment in the plurality of gases in parallel with the treatment. | 06-18-2009 |
20090166326 | EDGE ELECTRODES WITH DIELECTRIC COVERS - The embodiments provide apparatus and methods for removal of etch byproducts, dielectric films and metal films near the substrate bevel edge, and chamber interior to avoid the accumulation of polymer byproduct and deposited films and to improve process yield. In an exemplary embodiment, a plasma processing chamber configured to clean a bevel edge of a substrate is provided. The plasma processing chamber includes a substrate support configured to receive the substrate. The plasma processing chamber also includes a bottom edge electrode surrounding the substrate support. The bottom edge electrode and the substrate support are electrically isolated from one another by a bottom dielectric ring. A surface of the bottom edge electrode facing the substrate is covered by a bottom thin dielectric layer. The plasma processing chamber further includes a top edge electrode surrounding a top insulator plate opposing the substrate support. The top edge electrode is electrically grounded. A surface of the top edge electrode facing the substrate is covered by a top thin dielectric layer. The top edge electrode and the bottom edge electrode oppose one another and are configured to generate a cleaning plasma to clean the bevel edge of the substrate. | 07-02-2009 |
20090166327 | METHOD FOR IN-SITU REFURBISHING A CERAMIC SUBSTRATE HOLDER - Method for operating a processing system and refurbishing a ceramic substrate holder within a process chamber of the processing system are described. The method includes plasma processing one or more substrates on the ceramic substrate holder, where the processing causes erosion of a nitride material of the ceramic substrate holder. The method further includes refurbishing the ceramic substrate holder in-situ without a substrate residing on the ceramic substrate holder, where the refurbishing includes exposing the ceramic substrate holder to a plasma-excited nitrogen-containing gas in the process chamber to at least partially reverse the erosion of the nitride material. | 07-02-2009 |
20090166328 | PLASMA ETCHING METHOD - A diluent gas that is more likely to be decomposed than an etching gas is used to generate a plasma. The etching gas is thereafter introduced into a plasma processing reaction chamber and the flow rate is adjusted so that the flow rate of the etching gas is increased while simultaneously the flow rate of the diluent gas is decreased by an amount substantially equal to the increase of the flow rate of the etching gas. Thus, a variation of the pressure in the plasma processing reaction chamber is reduced. Further, the gas flow rate is set to a predetermined value to satisfy desired conditions while keeping the generated plasma. | 07-02-2009 |
20090166329 | PROCESS AND MARKER INSTALLATION FOR AN OBJECT - The invention relates to a method and an installation for identifying an object. An identification mark ( | 07-02-2009 |
20090194507 | APPARATUS AND METHOD FOR CLEANING, ETCHING, ACTIVATION AND SUBSEQUENT TREATMENT OF GLASS SURFACES, GLASS SURFACES COATED BY METAL OXIDES, AND SURFACES OF OTHER SI02-COATED MATERIALS - The present invention relates to a device for cleaning, etching, activation and subsequent treatments of glass surfaces, glass surfaces coated with metal oxides or with organic material layers, SiO | 08-06-2009 |
20090194508 | SUBSTRATE PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A substrate plasma processing apparatus includes a substrate holding electrode and a counter electrode which are arranged in a chamber, a high frequency generating device which applies a high frequency of 50 MHZ or higher to the substrate holding electrode, a DC negative pulse generating device which applies a DC negative pulse voltage in a manner of superimposing on the high frequency, and a controller controlling to cause intermittent application of the high frequency and cause intermittent application of the DC negative pulse voltage according to the timing of on or off of the high frequency. | 08-06-2009 |
20090200267 | INJECTION TYPE PLASMA TREATMENT APPARATUS AND METHOD - The present invention relates to an injection type plasma treatment apparatus. An object of the present invention is to provide an injection type plasma treatment apparatus capable of treating work pieces with a variety of areas, sizes and shapes without damages due to micro arc streamer by using a method of injecting plasma, which is generated through dielectric barrier discharge (DBD) under the normal pressure condition, toward the work pieces. | 08-13-2009 |
20090200268 | ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON-CONTACT PARTICLE SEAL - A plasma processing chamber includes a cantilever assembly and at least one vacuum isolation member configured to neutralize atmospheric load. The chamber includes a wall surrounding an interior region and having an opening formed therein. A cantilever assembly includes a substrate support for supporting a substrate within the chamber. The cantilever assembly extends through the opening such that a portion is located outside the chamber. The chamber includes an actuation mechanism operative to move the cantilever assembly relative to the wall. | 08-13-2009 |
20090206057 | Method To Improve Mask Critical Dimension Uniformity (CDU) - A method and system for fabricating a substrate is disclosed. First, a plurality of process chambers are provided, at least one of the plurality of process chambers adapted to receive at least one plasma filtering plate and at least one of the plurality of process chambers containing a plasma filtering plate library. A plasma filtering plate is selected and removed from the plasma filtering plate library. Then, the plasma filtering plate is inserted into at least one of the plurality of process chambers adapted to receive at least one plasma filtering plate. Subsequently, an etching process is performed in the substrate. | 08-20-2009 |
20090212015 | Plasma-Assisted Processing in a Manufacturing Line - Methods and apparatus are provided for plasma-assisted processing multiple work pieces in a manufacturing line. The manufacturing line can include a plurality of microwave cavities, each of the microwave cavities igniting and sustaining a microwave plasma. Work pieces can be shuttled between the plurality of microwave cavities on a conveyance system that controls the positioning of each of the work pieces. | 08-27-2009 |
20090212016 | Aligning polymer films - A Method. The method includes forming a substructure, on a substrate, including a feature having a sidewall of a first material and a bottom surface of a second material. Applying a solution including two immiscible polymers and third material to the substructure. The immiscible polymers include a first and second polymer. A selective chemical affinity of the first polymer for the material is greater than a selective chemical affinity of the second polymer for the material. The first polymer is segregated from the second polymer. The first polymer selectively migrates to the at least one sidewall, resulting in the first polymer being disposed between the at least one sidewall and the second polymer. The first polymer is selectively removed. The second polymer remains, resulting in forming structures including the substructure, the third material, and the second polymer. The substructure has a pattern. The pattern is transferred to the substrate. | 08-27-2009 |
20090212017 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - A plasma processing method for performing a plasma process on a substrate to be processed by making a plasma act thereon includes the following sequential steps of making a plasma weaker than one used in the plasma process act on the substrate, applying a DC voltage to an electrostatic chuck for attracting and holding the substrate while the weak plasma acts on the substrate, extinguishing the weak plasma, and performing the plasma process. Further, a plasma processing apparatus includes a plasma processing mechanism for performing a plasma process on a substrate to be processed, and a controller for controlling the plasma processing mechanism to thereby perform the plasma processing method. | 08-27-2009 |
20090218316 | MANUFACTURING METHOD IN PLASMA PROCESSING APPARATUS - A manufacturing method includes steps of: placing a film composed of dielectric, on the top surface of a sample stage, forming a film-like heater on the film made of the dielectric, supplying power to the heater to detect a temperature distribution, adjusting a resistance value of the heater on the basis of a result of detection of a temperature distribution so that the temperature distribution has a predetermined value, and then forming the film composed of the dielectric, on the heater. | 09-03-2009 |
20090218317 | METHOD TO CONTROL UNIFORMITY USING TRI-ZONE SHOWERHEAD - Embodiments of the present invention provide apparatus and method for processing a substrate with increased uniformity. One embodiment of the present invention provides an apparatus for processing a substrate. The apparatus comprises a chamber body defining a processing volume, a substrate support disposed in the processing volume, a showerhead disposed in the processing volume opposite to the substrate support, and a plasma generation assembly configured to ignite a plasma from the processing gases in the processing gas in the processing volume. The showerhead is configured to provide one or more processing gases to the processing volume. The showerhead has two or more distribution zones each independently controllable. | 09-03-2009 |
20090230089 | ELECTRICAL CONTROL OF PLASMA UNIFORMITY USING EXTERNAL CIRCUIT - A method and apparatus for controlling plasma uniformity is disclosed. When etching a substrate, a non-uniform plasma may lead to uneven etching of the substrate. Impedance circuits may alleviate the uneven plasma to permit more uniform etching. The impedance circuits may be disposed between the chamber wall and ground, the showerhead and ground, and the cathode can and ground. The impedance circuits may comprise one or more of an inductor and a capacitor. The inductance of the inductor and the capacitance of the capacitor may be predetermined to ensure the plasma is uniform. Additionally, the inductance and capacitance may be adjusted during processing or between processing steps to suit the needs of the particular process. | 09-17-2009 |
20090236313 | GAS FLOW DISTRIBUTION RECEPTACLES, PLASMA GENERATOR SYSTEMS, AND METHODS FOR PERFORMING PLASMA STRIPPING PROCESSES - Systems, system components, and methods for plasma stripping are provided. In an embodiment, a gas flow distribution receptacle may have a rounded section that includes an inner surface defining a reception cavity, an outer surface forming an enclosed end, and a centerpoint on the outer surface having a longitudinal axis extending therethrough and through the reception cavity. First and second rings of openings provide flow communication with the plasma chamber. The second ring of openings are disposed between the first ring and the centerpoint, and each opening of the second ring of openings extends between the inner and outer surfaces at a second angle relative to the longitudinal axis that is less than the first angle and has a diameter that is substantially identical to a diameter of an adjacent opening and smaller than the diameters of an opening of the first ring of openings. | 09-24-2009 |
20090236314 | MONO-ENERGETIC NEUTRAL BEAM ACTIVATED CHEMICAL PROCESSING SYSTEM AND METHOD OF USING - A chemical processing system and a method of using the chemical processing system to treat a substrate with a mono-energetic space-charge neutralized neutral beam-activated chemical process is described. The chemical processing system comprises a first plasma chamber for forming a first plasma at a first plasma potential, and a second plasma chamber for forming a second plasma at a second plasma potential greater than the first plasma potential, wherein the second plasma is formed using electron flux from the first plasma. Further, the chemical processing system comprises a substrate holder configured to position a substrate in the second plasma chamber. | 09-24-2009 |
20090236315 | SHIELDED LID HEATER ASSEMBLY - A shielded lid heater lid heater suitable for use with a plasma processing chamber, a plasma processing chamber having a shielded lid heater and a method for plasma processing are provided. The method and apparatus enhances positional control of plasma location within a plasma processing chamber, and may be utilized in etch, deposition, implant, and thermal processing systems, among other applications where the control of plasma location is desirable. In one embodiment, a shielded lid heater is provided that includes an aluminum base and RF shield sandwiching a heater element. | 09-24-2009 |
20090242513 | Multi-Layer/Multi-Input/Multi-Output (MLMIMO) Models and Method for Using - The invention provides a method of processing a substrate using multilayer processing sequences and Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models and libraries that can include one or more masking layer creation procedures, one or more pre-processing measurement procedures, one or more Partial-Etch (P-E) procedures, one or more Final-Etch (F-E) procedures, and one or more post-processing measurement procedures. | 10-01-2009 |
20090242514 | Etch Process and Etching Chamber - The invention relates to a process for etching a substrate ( | 10-01-2009 |
20090242515 | PLASMA PROCESSING APPARATUS AND PLASMA ETCHING METHOD - A plasma processing apparatus includes an inner upper electrode provided to face a lower electrode mounting thereon a substrate, an outer upper electrode provided in a ring shape at a radially outside of the inner upper electrode and electrically isolated from the inner upper electrode in a vacuum evacuable processing chamber and a processing gas supply unit for supplying a processing gas into a processing space between the inner and the outer upper electrode and the lower electrode. A radio frequency (RF) power supply unit is also provide to apply a RF power to the lower electrode or the inner and the outer upper electrode to generate a plasma of the processing gas by RF discharge. A first and a second DC power supply unit are provided to apply a first and a second variable DC voltage to the inner upper electrode, respectively. | 10-01-2009 |
20090255901 | PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD, AND TRAY | 10-15-2009 |
20090255902 | FOCUS RING, PLASMA ETCHING APPARATUS AND PLASMA ETCHING METHOD - In a plasma etching apparatus for performing a plasma etching on a surface of a substrate mounted on a susceptor in a processing vessel, a focus ring is installed to surround the substrate and has a first region at an inner side on a surface thereof, in which an average surface roughness is small such that a reaction product produced during an etching processing is not captured to be deposited, and a second region at an outer side from the first region, in which an average surface roughness is large such that a reaction product produced during the etching process is captured to be deposited. A boundary between the first and the second region is a part where an etching amount is relatively significantly changed compared to other parts while the focus ring is equipped in the plasma etching apparatus and the plasma etching is performed on the substrate. | 10-15-2009 |
20090277874 | METHOD AND APPARATUS FOR REMOVING POLYMER FROM A SUBSTRATE - A method and an apparatus for removing polymer from a substrate are provided. In one embodiment, an apparatus utilized to remove polymer from a substrate includes a processing chamber having a chamber wall and a chamber lid defining a process volume, a substrate support assembly disposed in the processing chamber, a remote plasma source coupled to the processing chamber through an outlet port formed through the processing chamber, the outlet port having an opening pointing toward an periphery region of a substrate disposed on the substrate support assembly, and a substrate supporting surface of the substrate support assembly that substantially electrically floats the substrate disposed thereon relative to the substrate support assembly. | 11-12-2009 |
20090289034 | Operating a Plasma Process - A method for operating one or more plasma processes in a plasma chamber, with at least two power supplies, the method comprising the following process steps:
| 11-26-2009 |
20090289035 | Plasma Processing Apparatus And Plasma Processing Method - A plasma processing apparatus and method which includes a vacuum processing chamber, a plasma generating unit, a process gas supply unit, a specimen table, and a vacuum pumping unit. The specimen table includes an electrostatic arrangement for holding a specimen on a holding surface of the specimen table by electrostatic force, a specimen table cover arranged around the specimen table, and first and second heat transfer gas supply units. The first heat transfer gas supply unit has a main path for supplying a heat transfer gas to the specimen holding surface for cooling the specimen, and the second heat transfer gas supply unit has a branch path branched from the main path of the first heat transfer gas supply unit for supplying a part of the heat transfer gas to a gap between an outer portion of the specimen holding surface and the specimen table cover. | 11-26-2009 |
20090294404 | PROCESS FOR CONTROLLING SURFACE WETTABILITY - A process for controlling the wettability of a silicon-containing substrate including
| 12-03-2009 |
20090302002 | METHOD AND APPARATUS FOR REMOVING POLYMER FROM A SUBSTRATE - A method and an apparatus for removing polymer from a substrate are provided. In one embodiment, an apparatus utilized to remove polymer from a substrate includes a processing chamber having a chamber wall and a chamber lid defining a process volume, a substrate support assembly disposed in the processing chamber, and a remote plasma source coupled to the processing chamber through an outlet port formed within the chamber wall, the outlet port having an opening pointing toward an periphery region of a substrate disposed on the substrate support assembly, wherein the remote plasma source is fabricated from a material resistant to hydrogen species. | 12-10-2009 |
20100000970 | IN-CHAMBER MEMBER TEMPERATURE CONTROL METHOD, IN-CHAMBER MEMBER, SUBSTRATE MOUNTING TABLE AND PLASMA PROCESSING APPARATUS INCLUDING SAME - In a method of controlling the temperature of an in-chamber member used in a plasma processing apparatus that processes a target substrate with plasma, a plurality of power-feeding portions is provided in the in-chamber member and the in-chamber member is heated by supplying electric power thereto through the power-feeding portions. A resistance value or resistivity of the in-chamber member is measured and the electric power is controlled based on the temperature of the in-chamber member estimated from the resistance value or resistivity. The in-chamber member includes one or more annular members arranged around the target substrate. The in-chamber member is a member making contact with plasma within a chamber and existing near the target substrate. | 01-07-2010 |
20100006543 | PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD AND STORAGE MEDIUM - A plasma processing apparatus includes a first electrode and a second electrode so arranged in the upper portion of a processing chamber as to face a mounting table, a gas supply unit for supplying a processing gas between the first electrode and the second electrode, a RF power supply unit for applying a RF power between the first electrode and the second electrode for converting the process gas supplied between the electrodes into a plasma, and a gas exhaust unit for evacuating the inside of the processing chamber to a vacuum level from the lower portion of the processing chamber. Since the electron temperature in the plasma is low near a substrate on the mounting table, damage to the substrate caused by the plasma can be suppressed. In addition, since a metal can be used as a material for the processing chamber, the processing chamber can have good temperature controllability. | 01-14-2010 |
20100025370 | REACTIVE GAS DISTRIBUTOR, REACTIVE GAS TREATMENT SYSTEM, AND REACTIVE GAS TREATMENT METHOD - A reactive gas distributor for a reactive gas treatment system is provided, comprising a housing, a reactive gas inlet provided at one side of the housing and fluidly connectable to a remote plasma source, and a plurality of reactive gas outlets at another side of the housing and arranged in a pattern. | 02-04-2010 |
20100025371 | Method for generating hollow cathode plasma and method for treating large area substrate using hollow cathode plasma - Provided are a method for generating hollow cathode plasma and a method for treating a large area substrate using the hollow cathode plasma. In the methods, the hollow cathode plasma is generated by a gas introduced between a hollow cathode in which a plurality of lower grooves where plasma is generated is defined in a bottom surface thereof and a baffle in which a plurality of injection holes is defined. A substrate disposed on a substrate support member is treated using the hollow cathode plasma passing through the injection holes. The uniform plasma having high density can be generated by hollow cathode effect due to the hollow cathode having the lower grooves and the injection holes of the baffle. Also, since the substrate can be treated using a hydrogen gas and a nitrogen gas in an ashing process, a damage of a low dielectric constant dielectric can be minimized. | 02-04-2010 |
20100051584 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 03-04-2010 |
20100072172 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - There are provided a substrate processing apparatus and a substrate processing method realizing an effective reduction of a voltage change of a substrate on an electrode to reduce the variation of incident energy of ions entering the substrate. The substrate processing apparatus includes: a first electrode holding a substrate on a main surface of the first electrode; a second electrode facing the first electrode; a RF power source applying to the first electrode a RF voltage whose frequency is equal to or higher than 40 MHz; and a pulse voltage applying unit applying to the first electrode a pulse voltage decreasing in accordance with a lapse of time, by superimposing the pulse voltage on the RF voltage. | 03-25-2010 |
20100102031 | Methods Of Forming Plasma-Generating Structures; Methods Of Plasma-Assisted Etching, And Methods Of Plasma-Assisted Deposition - Some embodiments include methods of forming plasma-generating microstructures. Aluminum may be anodized to form an aluminum oxide body having a plurality of openings extending therethrough. Conductive liners may be formed within the openings, and circuitry may be formed to control current flow through the conductive liners. The conductive liners form a plurality of hollow cathodes, and the current flow is configured to generate and maintain plasmas within the hollow cathodes. The plasmas within various hollow cathodes, or sets of hollow cathodes, may be independently controlled. Such independently controlled plasmas may be utilized to create a pattern in a display, or on a substrate. In some embodiments, the plasmas may be utilized for plasma-assisted etching and/or plasma-assisted deposition. Some embodiments include constructions and assemblies containing multiple plasma-generating structures. | 04-29-2010 |
20100116789 | SUBSTRATE PROCESSING APPARATUS - When plasma processing is finished, a gate valve | 05-13-2010 |
20100133233 | DRY ETCHING METHOD - A dry etching method by which a substrate can be dry-etched on both sides without a crack is provided. | 06-03-2010 |
20100133234 | PLASMA ETCHING APPARATUS - A plasma etching method by using a plasma etching apparatus having a depressurizable processing chamber; a lower electrode for mounting thereon a substrate to be processed in the processing chamber; an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween; a radio frequency power supply unit for applying a radio frequency power between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region, the method comprising: supplying a first gas including etchant gas to an upper gas inlet to introduce the first gas through the upper electrode into the plasma generation region; and feeding a second gas including dilution gas to a side gas inlet to introduce the second gas through a sidewall of the processing chamber into the plasma generation region. | 06-03-2010 |
20100140221 | PLASMA ETCHING APPARATUS AND PLASMA CLEANING METHOD - A plasma etching apparatus includes an electrostatic chuck and an etching gas supply unit for supplying an etching gas to a processing space between a first and a second electrode to perform a dry etching process on the target object. The apparatus further includes a cleaning gas supply unit for supplying a cleaning gas to a processing space; a first high frequency power supply unit for supplying a first high frequency power to the first electrode; and a controller for controlling the first high frequency power supply unit such that a first period during which the first high frequency power has a first amplitude that generates the plasma and a second period during which the first high frequency power has a second amplitude that generates substantially no plasma are alternately repeated at a specific cycle when the plasma cleaning is performed in the processing chamber without the target object. | 06-10-2010 |
20100140222 | FILLED POLYMER COMPOSITION FOR ETCH CHAMBER COMPONENT - A filled polymer composition having improved plasma resistance is disclosed. The composition includes a particle filler dispersed in a polymer matrix. The particle filler can be Nb | 06-10-2010 |
20100140223 | Plasma Treatment System - A plasma treatment system for treating a workpiece with a downstream-type plasma. The processing chamber of the plasma treatment system includes a chamber lid having a plasma cavity disposed generally between a powered electrode and a grounded plate, a processing space separated from the plasma cavity by the grounded plate, and a substrate support in the processing space for holding the workpiece. A direct plasma is generated in the plasma cavity. The grounded plate is adapted with openings that remove electrons and ions from the plasma admitted from the plasma cavity into the processing space to provide a downstream-type plasma of free radicals. The openings may also eliminate line-of-sight paths for light between the plasma cavity and processing space. In another aspect, the volume of the processing chamber may be adjusted by removing or inserting at least one removable sidewall section from the chamber lid. | 06-10-2010 |
20100140224 | Plasma Processing Apparatus And Plasma Processing Method - A plasma processing apparatus and method which includes a vacuum processing chamber, a plasma generating unit, a process gas supply unit, a specimen table, and a vacuum pumping unit. The specimen table includes an electrostatic arrangement for holding a specimen on a holding surface of the specimen table, a specimen table cover made of an insulator arranged around the specimen table, and first and second heat transfer gas supply units. The first heat transfer gas supply unit has a main path for supplying a heat transfer gas to the specimen holding surface, and the second heat transfer gas supply unit has a branch path branched from the main path of the first heat transfer gas supply unit for directly supplying a part of the heat transfer gas to a gap between an outer portion of the specimen holding surface and the specimen table cover for cooling the specimen table cover. | 06-10-2010 |
20100170872 | PLASMA PROCESSING APPARATUS AND METHOD FOR USING PLASMA PROCESSING APPARATUS - The plasma processing apparatus includes: a processing container including a metal; an electromagnetic wave source outputting an electromagnetic wave; a dielectric plate facing an inner wall of the processing container and transmitting the electromagnetic wave, which is output from the electromagnetic wave source, into the processing container; and a groove formed in an inner surface of the processing container and functioning as a propagation disturbing portion. If a low frequency microwave is supplied, the propagation of a conductor surface wave can be suppressed by the groove. | 07-08-2010 |
20100187201 | VACUUM PROCESSING DEVICE AND VACUUM PROCESSING METHOD - While a workpiece is vacuum-processed in a first processing chamber, a workpiece to be processed next is heated at a loading unit of a second processing chamber. The vacuum-processed workpiece is unloaded to an unloading unit of the second processing chamber. The loading unit and the unloading unit move in the arrangement direction perpendicular to the direction of transport of the workpiece by a transport mechanism. The workpiece supported by the loading unit is loaded into the first processing chamber. While the workpiece is vacuum-processed, a new workpiece is supported by the loading unit. The workpiece supported by the unloading unit is removed from the second processing chamber, and the new workpiece is preheated. | 07-29-2010 |
20100187202 | METHOD OF PLASMA ETCHING AND CARRIERS FOR USE IN SUCH METHODS - A method is for plasma etching elongate features in a generally planar workpiece of a type located in a chamber. The method includes etching a test workpiece in a flat configuration in the chamber, determining the respective angle of a longitudinal portion of the features relative to an axis passing orthogonally through the workpiece, and determining the curvature of the workpiece, which would have been required to reduce the angles, at least over a central portion of the workpiece, substantially to 0°. The method further includes processing a further workpiece of the same type whilst it is curved with the determined curvature. | 07-29-2010 |
20100193471 | METHOD AND SYSTEM FOR CONTROLLING RADICAL DISTRIBUTION - A plasma processing system includes a processing chamber, a substrate holder configured to hold a substrate for plasma processing, and a gas injection assembly. The gas injection assembly includes a first evacuation port located substantially in a center of the gas injection assembly and configured to evacuate gases from a central region of the substrate, and a gas injection system configured to inject gases in the process chamber. The plasma processing system also includes a second evacuation port configured to evacuate gases from a peripheral region surrounding the central region of the substrate. | 08-05-2010 |
20100206844 | METHOD OF FABRICATING A PTFE SEAL ELEMENT AND A SHAFT SEAL ASSEMBLY THEREWITH - A method of fabricating a PTFE seal element and rotary shaft seal assembly therewith. The method includes providing a PTFE seal element and a vacuum chamber having electrodes therein. Next, placing the PTFE seal element on one electrode and drawing a vacuum pressure in the chamber and introducing a first process gas into the chamber. Further, applying a high frequency signal to the electrodes and producing a discharge plasma and etching and chemically modifying a surface of the PTFE seal element with the discharge plasma. Then, purging the vacuum chamber with a second process gas and restoring the vacuum chamber to an atmospheric pressure. Thereafter, rinsing the seal element and applying an adhesion promoter to the etched and chemically modified surface. Lastly, attaching the etched and chemically modified surface of the PTFE seal element to the carrier by molding an elastomeric material between the seal element and the carrier. | 08-19-2010 |
20100206845 | PLASMA PROCESSING APPARATUS AND METHOD FOR OPERATING THE SAME - The invention provides a plasma processing apparatus and a method for purging the apparatus, capable of preventing damage of components caused by pressure difference during purging operation of a vacuum reactor, and capable of preventing residual processing gas from remaining in the vacuum reactor. Inert gas is introduced through an inert gas feed port | 08-19-2010 |
20100206846 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus that can appropriately carry out desired plasma processing on a substrate. The substrate is accommodated in an accommodating chamber. An ion trap partitions the accommodating chamber into a plasma producing chamber and a substrate processing chamber. High-frequency antennas are disposed in the plasma producing chamber. A process gas is introduced into the plasma producing chamber. The substrate is mounted on a mounting stage disposed in the substrate processing chamber, and a bias voltage is applied to the mounting stage. The ion trap has grounded conductors and insulating materials covering surfaces of the conductors. | 08-19-2010 |
20100206847 | Toroidal plasma chamber for high gas flow rate process - A plasma chamber for activating a process gas, including at least four legs forming a toroidal plasma channel, each leg having a cross-sectional area, and an outlet formed on one leg, the outlet having a greater cross-sectional area than the cross-sectional area of the other legs. The plasma chamber further includes an inlet for receiving the process gas and a plenum for introducing the process gas over a broad area of the leg opposing the outlet to reduce localized high plasma impedance and gas flow instability, wherein the leg opposing the outlet defines a plurality of holes for providing a helical gas rotation in the plasma channel. | 08-19-2010 |
20100213170 | ETCHING METHOD AND ETCHING APPARATUS - An etching method which uses an apparatus having a chamber in which an etching gas is excited by plasma; a table arranged in the chamber which heats a substrate mounted thereon; and a frame member which includes etching-endurable material which is arranged around the table, and which has an upper surface arranged at a position lower than an upper surface of the table, the etching method including: arranging the substrate on the upper surface of the table such that a peripheral part of the substrate projects above the table; and arranging the substrate such that a ratio of a height from the upper surface of the frame member to a bottom surface of the substrate and a projecting length from a side surface of the table to an outer circumference of the substrate is 1.5 or more | 08-26-2010 |
20100213171 | FOCUS RING HEATING METHOD, PLASMA ETCHING APPARATUS, AND PLASMA ETCHING METHOD - There are provided a method of heating a focus ring and a plasma etching apparatus, capable of simplifying a structure of a heating mechanism without a dummy substrate. The plasma etching apparatus includes a vacuum processing chamber; a lower electrode serving as a mounting table for mounting a substrate thereon; an upper electrode provided to face the lower electrode; a gas supply unit for supplying a processing gas; a high frequency power supply for supplying a high frequency power to the lower electrode to generate a plasma of the processing gas; and a focus ring provided on the lower electrode to surround a periphery of the substrate. In the plasma etching apparatus, the focus ring is heated by irradiating a heating light thereto from a light source provided outside the vacuum processing chamber. | 08-26-2010 |
20100213172 | Using Positive DC Offset of Bias RF to Neutralize Charge Build-Up of Etch Features - Apparatus, systems and methods for plasma etching substrates are provided. The invention achieves dissipation of charge build-up on a substrate being plasma etched to avoid notching or twisting in high aspect ratio contents and similar features. | 08-26-2010 |
20100219160 | METHOD OF TREATING A SURFACE OF AT LEAST ONE PART BY MEANS OF INDIVIDUAL SOURCES OF AN ELECTRON CYCLOTRON RESONANCE PLASMA - A method of treating a surface of at least one part by individual sources of an electron cyclotron resonance plasma is characterized by subjecting the part(s) to at least one movement of revolution with regard to at least one fixed linear row of elementary sources. The linear row or rows of elementary sources are disposed parallel to the axis or axes of revolution of the part or parts. | 09-02-2010 |
20100243604 | Method of pattern etching a dielectric film while removing a mask layer - A method of pattern etching a thin film on a substrate is described. The method comprises preparing a film stack on a substrate, wherein the film stack comprises a dielectric layer formed on the substrate and a mask layer formed above the dielectric layer. A pattern is created in the mask layer, and the pattern is transferred from the mask layer to the dielectric layer by performing a plasma etching process. While transferring the pattern to the dielectric layer, the mask layer is substantially removed using the plasma etching process. The plasma etching process can use a process gas comprising a first gaseous component that etches the dielectric layer at a greater rate than the mask layer, and a second gaseous component that etches the dielectric layer at a lesser rate than the mask layer. | 09-30-2010 |
20100243605 | ETCHING METHOD, ETCHING APPARATUS, COMPUTER PROGRAM AND STORAGE MEDIUM - Disclosed is an etching method for performing an etching process on an etching target film, which has a dielectric constant smaller than that of a SiO | 09-30-2010 |
20100243606 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a vacuum evacuable processing chamber; a lower electrode for mounting a target substrate in the processing chamber; a focus ring attached to the lower electrode to cover at least a portion of a peripheral portion of the lower electrode; an upper electrode disposed to face the lower electrode in parallel in the processing chamber; a processing gas supply unit for supplying a processing gas to a processing space; and a radio frequency (RF) power supply for outputting an RF power. Further, the plasma processing apparatus includes a plasma generating RF power supply section for supplying the RF power to a first load for generating a plasma of the processing gas; and a focus ring heating RF power supply section for supplying the RF power to a second load for heating the focus ring. | 09-30-2010 |
20100243607 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD USING SAME - A substrate processing method uses a substrate processing apparatus including a chamber for accommodating a substrate, a lower electrode to mount the substrate, a first RF power applying unit for applying an RF power for plasma generation into the chamber, and a second RF power applying unit for applying an RF power for bias to the lower electrode. The RF power for plasma generation is controlled to be intermittently changed by changing an output of the first RF power applying unit at a predetermined timing. If no plasma state or an afterglow state exists in the chamber by a control of the first RF power applying unit, an output of the second RF power applying unit is controlled to be in an OFF state or decreased below an output of the second RF power applying unit when the output of the first RF power applying unit is a set output. | 09-30-2010 |
20100243608 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - There is provided a plasma processing apparatus capable of varying an AC ratio without installing a largely scaled-up movable unit. An etching apparatus | 09-30-2010 |
20100252531 | Enhanced Etch and Deposition Profile Control Using Plasma Sheath Engineering - A plasma processing tool is used to deposit material on a workpiece. For example, a method for conformal deposition of material is disclosed. In this embodiment, the plasma sheath shape is modified to allow material to impact the workpiece at a range of incident angles. By varying this range of incident angles over time, a variety of different features can be deposited onto. In another embodiment, a plasma processing tool is used to etch a workpiece. In this embodiment, the plasma sheath shape is altered to allow ions to impact the workpiece at a range of incident angles. By varying this range of incident angles over time, a variety of differently shaped features can be created. | 10-07-2010 |
20100252532 | SUBSTRATE REPLACING METHOD AND SUBSTRATE PROCESSING APPARATUS - A method for replacing plural substrates to be processed by a substrate processing apparatus which includes a substrate processing chamber, a load lock chamber, and a conveying apparatus including first and second conveying members for conveying the plural substrates into and out from the substrate processing chamber and the load lock chamber. The method includes the steps of a) conveying a first substrate out from the substrate processing chamber with the first conveying member, b) conveying a second substrate into the substrate processing chamber with the second conveying member, c) conveying the second substrate out from the load lock chamber with the second conveying member, and d) conveying the first substrate into the load lock chamber with the first conveying member. The steps c) and d) are performed between step a) and step b). | 10-07-2010 |
20100258529 | Plasma Processing Apparatus and Plasma Processing Method - The invention provides a plasma processing apparatus comprising a means for detecting the apparatus condition related to the ion flux quantity of plasma (plasma density) and the distribution thereof for to stabilizing mass production and minimizing apparatus differences. The plasma processing apparatus comprises a vacuum reactor | 10-14-2010 |
20100264115 | PLACING BED STRUCTURE, TREATING APPARATUS USING THE STRUCTURE, AND METHOD FOR USING THE APPARATUS - Provided is a holding stage structure which holds a substrate and disposed in a process chamber that is vacuum-evacuatable and allows a predetermined process to be performed on the substrate therein. The holding stage structure includes: a holding stage body on which the substrate is placed; an elevation pin mechanism lowering the substrate on the holding stage body or raising the substrate from the holding stage body; and a stepped portion formed on the holding stage body so that a peripheral portion of a rear surface of the substrate placed on the holding stage body is exposed to a processing gas supplied into the process chamber. | 10-21-2010 |
20100264116 | PLASMA ETCHING METHOD - A plasma etching method includes plasma-etching a silicon oxide layer through a mask using a process gas, the process gas containing oxygen gas and a fluorohydrocarbon shown by the formula (1), CxHyFz, wherein x is an integer from 4 to 6, y is an integer from 1 to 4, and z is a positive integer, provided that (y+z) is 2x or less. A contact hole having a very small diameter and a high aspect ratio can be formed in a substantially vertical shape without necking by plasma-etching the silicon oxide layer using a single process gas. | 10-21-2010 |
20100264117 | PLASMA PROCESSING SYSTEM AND PLASMA PROCESSING METHOD - A plasma processing system includes a plasma processing device for forming or etching the plurality of films and a gas source for supplying all gases required for forming or etching the plurality of films. Furthermore, gases required for forming or etching each of the plurality of films are selectively supplied from the gas source to the plasma processing device via gas pipes by a control device. Therefore, a plurality of films of different compositions may be formed or etched within a single plasma processing device. | 10-21-2010 |
20100264118 | METHOD FOR MANUFACTURING LARGE-AREA VACUUM PLASMA TREATED SUBSTRATES AND VACUUM PLASMA TREATMENT APPARATUS - A large surface substrate ( | 10-21-2010 |
20100288730 | Vacuum Processing Apparatus And Vacuum Processing Method Using The Same - The invention provides a vacuum processing chamber comprising a particle removing function and capable of improving the yield and process efficiency for processing samples. The vacuum processing apparatus for transferring and processing samples comprises a processing chamber | 11-18-2010 |
20100326957 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - An electrostatic adsorption layer, an electrode layer, and an insulating layer are provided in a lower portion of a focus ring disposed in an outer periphery of a substrate stage. A high frequency bias is applied to the focus ring by applying a high frequency electric power to the electrode layer. Further, the focus ring is electrostatically chucked to the electrostatic chucking layer and a heat transfer gas is provided between the focus ring and the electrostatic adsorption layer. Thus, the focus ring can be cooled and the temperature of the focus ring is controlled to a predetermined value. With this structure, an etching characteristic at a wafer edge portion can be maintained favorably for a long time. Also, a yield rate at the edge portion can be favorably maintained for a long time, a wet period can be prolonged, and the device operation rate can be improved. | 12-30-2010 |
20110000882 | APPARATUS AND METHODS FOR SUPPORTING WORKPIECES DURING PLASMA PROCESSING - Apparatus and methods for simultaneously supporting multiple workpieces inside a processing space of a plasma processing system for simultaneous two-sided plasma processing. The apparatus may be a fixture having a carrier plate configured to be supported inside the processing space and a plurality of first openings extending through the thickness of the carrier plate. The carrier plate is configured to contact each of the workpieces over an annular region at an outer peripheral edge so that the first and second sides of each of the workpieces is exposed to the plasma through a respective one of said plurality of first openings. | 01-06-2011 |
20110000883 | PLASMA PROCESSING APPARATUS, FOCUS RING, AND SUSCEPTOR - A plasma processing apparatus having a focus ring, enables the efficiency of cooling of the focus ring to be greatly improved, while preventing an increase in cost thereof. The plasma processing apparatus is comprised of a susceptor which has an electrostatic chuck and the focus ring. A wafer W to be subjected to plasma processing is mounted on the electrostatic chuck. The focus ring has a dielectric material portion and a conductive material portion. The dielectric material portion forms a contact portion disposed in contact with the electrostatic chuck. The conductive material portion faces the electrostatic chuck with the dielectric material portion therebetween. | 01-06-2011 |
20110006039 | PLASMA GENERATING ELECTRODE ASSEMBLY - A plasma glow discharge and/or dielectric barrier discharge generating assembly ( | 01-13-2011 |
20110031216 | SYNCHRONIZED RADIO FREQUENCY PULSING FOR PLASMA ETCHING - Methods for processing a substrate are provided herein. In some embodiments, a method of etching a dielectric layer includes generating a plasma by pulsing a first RF source signal having a first duty cycle; applying a second RF bias signal having a second duty cycle to the plasma; applying a third RF bias signal having a third duty cycle to the plasma, wherein the first, second, and third signals are synchronized; adjusting a phase variance between the first RF source signal and at least one of the second or third RF bias signals to control at least one of plasma ion density non-uniformity in the plasma or charge build-up on the dielectric layer; and etching the dielectric layer with the plasma. | 02-10-2011 |
20110049100 | SUBSTRATE HOLDER, SUBSTRATE SUPPORTING APPARATUS, SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE PROCESSING METHOD USING THE SAME - Provided are a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method. Particularly, there are provided a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method that are adapted to improve process efficiency and etch uniformity at the back surface of a substrate. | 03-03-2011 |
20110068086 | PLASMA ETCHING METHOD - A plasma etching method includes etching an etching target under plasma conditions using a process gas, the process gas including a saturated fluorohydrocarbon shown by the formula (1): C | 03-24-2011 |
20110068087 | PLASMA PROCESSING APPARATUS AND METHOD - A plasma processing apparatus includes a worktable in a process chamber to horizontally place a target substrate thereon. A plasma generation space is defined above and around the worktable within the process chamber. The plasma generation space includes a peripheral plasma region and a main plasma region respectively located outside and inside an outer edge of the target substrate placed on the worktable. The apparatus further includes a magnetic field forming mechanism configured to form a magnetic field within the peripheral plasma region. The magnetic field includes magnetic force lines extending through the peripheral plasma region between a start position and an end position, at least one of which is located radially inside a sidewall of the process chamber. | 03-24-2011 |
20110073564 | METHOD AND APPARATUS FOR HIGH EFFICIENCY GAS DISSOCIATION IN INDUCTIVE COUPLE PLASMA REACTOR - Embodiments of the present invention relate to method and apparatus for providing processing gases to a process chamber with improved plasma dissociation efficiency. One embodiment of the present invention provides a baffle nozzle assembly comprising an outer body defining an extension volume connected to a processing chamber. A processing gas is flown to the processing chamber through the extension volume which is exposed to power source for plasma generation. | 03-31-2011 |
20110079580 | LOWER CHAMBER HEATERS FOR IMPROVED ETCH PROCESSES - A method of improving a plasma etch chamber by installing heaters on outer surfaces. A method of improving STI etch. A method of improving STI etch in a Hitachi M700 series etcher. | 04-07-2011 |
20110079581 | PLASMA ETCHING APPARATUS - In one embodiment, a method of removing film materials on an edge area of a substrate in a plasma etching apparatus is disclosed. The apparatus includes a chamber, a substrate support, a shield disposed with a gap on the substrate such that plasma is not generated therein while allowing an edge portion of the substrate to be exposed, and an antenna disposed on an outer wall of the chamber to apply plasma-generating power to an area between the edge portion of the substrate and an inner wall of the chamber. The method includes spraying a curtain gas to a space between the shield and the substrate, using a curtain gas passageway; and spraying a reaction gas to an area between a side surface of the shield and an inner sidewall of the chamber formed within the shield, using a reaction gas supply passageway. | 04-07-2011 |
20110114600 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - Provided is a coaxial waveguide distributor including a coaxial waveguide which extends non-perpendicularly at a branched portion. A plasma processing apparatus in which a gas is excited by microwaves to plasma process an object to be processed includes a processing container, a microwave source which outputs microwaves, a transmission line which transmits the microwaves output from the microwave source, a plurality of dielectric plates which are provided on an inner wall of the processing container and emit microwaves into the processing container, a plurality of first coaxial waveguides which are adjacent to the plurality of dielectric plates and transmit microwaves to the plurality of dielectric plates, and one stage or two or more stages of a coaxial waveguide distributor which distributes and transmits the microwaves transmitted through the transmission line to the plurality of first coaxial waveguides. The coaxial waveguide distributor include s a second coaxial waveguide having an input portion and three or more of third coaxial waveguides which are connected to the second coaxial waveguide. Each of the third coaxial waveguides extends non-perpendicularly with respect to the second cable. | 05-19-2011 |
20110120973 | RAZOR BLADES AND RAZORS - Razors are described herein. In some instances the razors include a safety razor blade unit comprising a guard, a cap, and at least two blades with parallel sharpened edges located between the guard and cap. A first blade defines a blade edge nearer the guard and a second blade defines a blade edge nearer the cap. The first blade has a cutter force greater than the cutter force of the second blade. In some instances the razors provide a comfortable shave having improved closeness. | 05-26-2011 |
20110132874 | SMALL PLASMA CHAMBER SYSTEMS AND METHODS - A plasma etch processing tool is disclosed. The plasma etch processing tool, comprising a substrate support for supporting a substrate having a substrate surface area, a processing head including a plasma microchamber having an open side that is oriented over the substrate support, the open side of the plasma microchamber having a process area that is less than the substrate surface area, a sealing structure defined between the substrate support and the processing head and a power supply connected to the plasma microchamber and the substrate support. A method for performing a plasma etch is also disclosed. | 06-09-2011 |
20110139750 | METHOD OF REMOVING POST-ETCH RESIDUES - A method of removing post-etch residues is provided. First, a substrate is provided. An isolation layer covers the substrate and a conductive layer is embedded in the isolation layer. A dielectric layer and a hard mask cover the isolation layer. Then, an etching process is performed, and a patterned hard mask is formed by etching the hard mask by ions or atoms. After that, a charge-removing process is performed by using a conductive solution to cleaning the patterned hard mask and the dielectric layer so as to remove the charges accumulated on the patterned hard mask and the dielectric layer during the etch process. Finally, the post-etch residues on the patterned hard mask and the dielectric layer is removed. | 06-16-2011 |
20110139751 | PLASMA-BASED CHEMICAL SOURCE DEVICE AND METHOD OF USE THEREOF - The present disclosure provides for a plasma system including a plasma device coupled to a power source, an ionizable media source and a precursor source. During operation, the ionizable media source provides ionizable media and the precursor ionizable media source provides one or more chemical species, photons at specific wavelengths, as well as containing various reactive functional groups and/or components to treat the workpiece surface by working in concert for synergetic selective tissue effects. The chemical species and the ionizable gas are mixed either upstream or midstream from an ignition point of the plasma device and once mixed, are ignited therein under application of electrical energy from the power source. As a result, a plasma effluent and photon source is formed, which carries the ignited plasma feedstock and resulting mixture of reactive species to a workpiece surface to perform a predetermined reaction. | 06-16-2011 |
20110147345 | PLASMA STAMP, PLASMA TREATMENT DEVICE, METHOD FOR PLASMA TREATMENT AND METHOD FOR PRODUCING A PLASMA STAMP - The invention relates to a plasma stamp, with which surfaces can be subjected to a plasma treatment. In addition, the invention relates to a plasma treatment device, with which surfaces can be subjected to a plasma treatment, and also a plasma treatment method. In addition, the invention relates to a method for producing a plasma stamp. | 06-23-2011 |
20110168673 | PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD, AND MECHANISM FOR REGULATING TEMPERATURE OF DIELECTRIC WINDOW - Provided are a plasma processing apparatus, a plasma processing method, and a mechanism for regulating a temperature of a dielectric window, which can achieve a better plasma processing characteristic by more precisely controlling the temperature of the dielectric window through which a microwave used for plasma processing is transmitted. The plasma processing apparatus is provided with a processing container, a dielectric window (shower plate), an antenna, a waveguide, a cooling block, a substrate holder, and a holding ring (upper plate) attached to the upper portion of the processing container. A circumferential portion of the dielectric window is engaged with the holding ring. The cooling block provided with a cooling flow path through which a heat medium can flow is provided on the antenna. A temperature sensor is provided around the waveguide, and a temperature of the antenna or the like is detected. A lamp heater is provided in an inside of the holding ring. The dielectric window is controlled to have a predetermined temperature distribution, by a cooling means of the cooling block and a heating means of the holding ring which are controlled by a control means. | 07-14-2011 |
20110168674 | PLASMA PROCESSING METHOD AND APPARATUS - In atmospheric-pressure plasma processing, fluctuation of a recovery rate or a recovery concentration of a fluorine raw material is restrained to secure stability of processing. | 07-14-2011 |
20110192820 | ATOMIC LAYER ETCHING APPARATUS AND ETCHING METHOD USING THE SAME - An atomic layer etching apparatus using reactive radicals and neutral beams and an etching method using the same are provided. The atomic layer etching apparatus includes a reaction chamber including a stage on which a substrate to be etched is seated, a plasma generator including a plasma chamber configured to supply reactive radicals and neutral beams into the reaction chamber and receive a source gas to generate plasma, an inductive coil configured to surround the exterior of the plasma chamber to generate an electric field, a grid assembly disposed at a lower part of the plasma chamber and including first, second and third grids for extracting ion beams, and a reflective body disposed under the grid assembly and configured to supply electrons to the ion beams to convert the ion beams into neutral beams, a shutter installed between the plasma generator and the reactive chamber and configured to adjust supply of the neutral beams into the reaction chamber, a purge gas supply part configured to supply a purge gas into the reaction chamber, and a controller configured to control supply of the source gas, an etching gas and the purge gas, and opening/closing of the shutter. | 08-11-2011 |
20110215072 | PLASMA APPARATUS HAVING A CONTROLLER FOR CONTROLLING A PLASMA CHAMBER AND METHODS FOR CONTROLLING THE PLASMA APPARATUS - Provided is a method for controlling a plasma apparatus. The method includes measuring a plasma spectrum in a plasma chamber by an optical emission spectroscopy, setting a baseline of the measured plasma spectrum, normalizing the measured plasma spectrum by dividing a value of the measured plasma spectrum by a value of the baseline, and controlling the plasma chamber by setting parameters of a plasma process using the normalized plasma spectrum. A plasma apparatus is also provided. | 09-08-2011 |
20110226739 | PROCESS CHAMBER LINER WITH APERTURES FOR PARTICLE CONTAINMENT - An apparatus for use within a process chamber is provided. The apparatus includes a liner adapted to cover the sidewalls of the process chamber, with apertures corresponding to various inlets and outlets in the process chamber. In addition, the liner has one or more apertures on its bottom surface, which allow particles to pass through the liner. The liner is designed to be shorter in height than the sidewalls of the process chamber. This allows the liner to be placed within the chamber such that its bottom surface is above the floor of the process chamber. This minimizes the possibility of particles that have fallen onto the process chamber floor becoming re-suspended at a later time. According to a second aspect of the disclosure, a bottom liner is provided. This liner can be used in conjunction with a conventional liner or in a process chamber without a liner. | 09-22-2011 |
20110233170 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a vacuum evacuable processing chamber, at least a portion of which is formed of a dielectric window; a substrate supporting unit for supporting a target substrate in the processing chamber; and a processing gas supply unit for supplying a desired processing gas into the processing chamber. Further, the plasma processing apparatus includes an RF antenna provided outside the dielectric window; a high frequency power supply unit for supplying to the RF antenna a high frequency power; and a switching network switched among a parallel mode, a multiplication series mode, and a minimization series mode. | 09-29-2011 |
20110253673 | PLASMA PROCESSING METHOD AND APPARATUS WITH CONTROL OF PLASMA EXCITATION POWER - The amount of RF power supplied to a plasma in a vacuum plasma processing chamber is gradually changed on a preprogrammed basis in response to signals stored in a computer memory. The computer memory stores signals so that other processing chamber parameters (pressure, gas species and gas flow rates) remain constant while the gradual change occurs. The stored signals enable rounded corners, instead of sharp edges, to be etched, e.g., at an intersection of a trench wall and base. | 10-20-2011 |
20110303641 | TEMPERATURE CONTROLLED PLASMA PROCESSING CHAMBER COMPONENT WITH ZONE DEPENDENT THERMAL EFFICIENCIES - Components and systems for controlling a process or chamber component temperature as a plasma process is executed by plasma processing apparatus. A first heat transfer fluid channel is disposed in a component subjacent to a working surface disposed within a plasma processing chamber such that a first length of the first channel subjacent to a first temperature zone of the working surface comprises a different heat transfer coefficient, h, or heat transfer area, A, than a second length of the first channel subjacent to a second temperature zone of the working surface. In embodiments, different heat transfer coefficients or heat transfer areas are provided as a function of temperature zone to make more independent the temperature control of the first and second temperature zones. | 12-15-2011 |
20110303642 | SUBSTRATE PROCESSING SYSTEM, SUBSTRATE PROCESSING METHOD, AND STORAGE MEDIUM - A substrate processing system that can reliably prevent a rear surface of a substrate from getting scratched without bringing about a decrease in the throughput. A printing module connected to a loader module prints a protective film on the rear surface of the substrate before the substrate is subjected to plasma etching processing. A cleaning module connected to the loader module removes the protective film from the rear surface of the substrate after the substrate has been subjected to the plasma etching processing. | 12-15-2011 |
20110309050 | PLASMA PROCESSING DEVICE, PLASMA PROCESSING METHOD AND METHOD OF MANUFACTURING ELEMENT INCLUDING SUBSTRATE TO BE PROCESSED - The present invention provides a plasma processing device and a plasma processing method that can easily adjust plasma density distribution while making the plasma density uniform, and a method of manufacturing an element including a substrate to be processed. In an embodiment of the present invention, the inside of a vacuum vessel ( | 12-22-2011 |
20120000888 | METHODS AND APPARATUS FOR RADIO FREQUENCY (RF) PLASMA PROCESSING - Methods and apparatus for minimizing reflected radio frequency (RF) energy are provided herein. In some embodiments, an apparatus may include a first RF energy source having frequency tuning to provide a first RF energy, a first matching network coupled to the first RF energy source, one or more sensors to provide first data corresponding to a first magnitude and a first phase of a first impedance of the first RF energy, wherein the first magnitude is equal a first resistance defined as a first voltage divided by a first current and the first phase is equal to a first phase difference between the first voltage and the first current, and a controller adapted to control a first value of a first variable element of the first matching network based upon the first magnitude and to control a first frequency provided by the first RF energy source based upon the first phase. | 01-05-2012 |
20120018402 | PLASMA PROCESSING APPARATUS AND LINER ASSEMBLY FOR TUNING ELECTRICAL SKEWS - The invention discloses a plasma processing apparatus comprising a chamber lid, a chamber body and a support assembly. The chamber body, defining a processing volume for containing a plasma, for supporting the chamber lid. The chamber body is comprised of a chamber sidewall, a bottom wall and a liner assembly. The chamber sidewall and the bottom wall define a processing volume for containing a plasma. The liner assembly, disposed inside the processing volume, comprises of two or more slots formed thereon for providing an axial symmetric RF current path. The support assembly supports a substrate for processing within the chamber body. With the liner assembly with several symmetric slots, the present invention can prevent electromagnetic fields thereof from being azimuthal asymmetry. | 01-26-2012 |
20120037596 | GAS SUPPLY MEMBER, PLASMA TREATMENT METHOD, AND METHOD OF FORMING YTTRIA-CONTAINING FILM - According to one embodiment, a gas supply member is provided with a gas supply passage including a gas flow channel with a first diameter, and an exhaust port connected to one end portion of the gas flow channel and provided to a surface of a downstream side of the gas supply member. An yttria-containing film is formed on a surface constituting the exhaust port and the surface of the downstream side of the gas supply member. At least a part of the surface constituting the exhaust port is formed with a curved surface. | 02-16-2012 |
20120037597 | PLASMA PROCESSING APPARATUS AND PLASMA CONTROL METHOD - There is provided a plasma processing apparatus capable of performing a uniform plasma process on a substrate by controlling a plasma distribution within a chamber to a desired state and uniformizing a plasma density within the chamber. The plasma processing apparatus includes an evacuable chamber | 02-16-2012 |
20120061351 | PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD AND STORAGE MEDIUM FOR STORING PROGRAM FOR EXECUTING THE METHOD - There is provided a plasma processing apparatus including a susceptor | 03-15-2012 |
20120074099 | Methods for Controlling Bevel Edge Etching in a Plasma Chamber - Methods for bevel edge etching are provided. One example method is for etching a film on a bevel edge of a substrate in a plasma etching chamber. The method includes providing the substrate on a substrate support in the plasma etching chamber. The plasma etching chamber has a top edge electrode and a bottom edge electrode disposed to surround the substrate support. Then flowing an etching process gas through a plurality of edge gas feeds disposed along a periphery of the gas delivery plate. The periphery of the gas deliver plate is oriented above the substrate support and the bevel edge of the substrate, and the flowing is further directed to a space between the top edge electrode and bottom edge electrode. And, flowing a tuning gas through a center gas feed of the gas delivery plate. | 03-29-2012 |
20120097641 | METHOD AND DEVICE FOR PLASMA TREATMENT OF A FLAT SUBSTRATE - Method and device for the plasma treatment of a substrate in a plasma device, wherein—the substrate ( | 04-26-2012 |
20120111834 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus includes a process chamber, a platen for supporting a workpiece, a source configured to generate a plasma in the process chamber, and an insulating modifier. The insulating modifier has a gap, and a gap plane, where the gap plane is defined by portions of the insulating modifier closest to the sheath and proximate the gap. A gap angle is defined as the angle between the gap plane and a plane defined by the front surface of the workpiece. Additionally, a method of having ions strike a workpiece is disclosed, where the range of incident angles of the ions striking the workpiece includes a center angle and an angular distribution, and where the use of the insulating modifier creates a center angle that is not perpendicular to the workpiece. | 05-10-2012 |
20120118857 | Plasma Treatment System - A plasma treatment system for treating a workpiece with a downstream-type plasma. The processing chamber of the plasma treatment system includes a chamber lid having a plasma cavity disposed generally between a powered electrode and a grounded plate, a processing space separated from the plasma cavity by the grounded plate, and a substrate support in the processing space for holding the workpiece. A direct plasma is generated in the plasma cavity. The grounded plate is adapted with openings that remove electrons and ions from the plasma admitted from the plasma cavity into the processing space to provide a downstream-type plasma of free radicals. The openings may also eliminate line-of-sight paths for light between the plasma cavity and processing space. In another aspect, the volume of the processing chamber may be adjusted by removing or inserting at least one removable sidewall section from the chamber lid. | 05-17-2012 |
20120125890 | PLASMA PROCESSING METHOD - In the present invention, provided is a plasma processing method which reduces or eliminates the emission of contaminating matters caused by a quality-altered layer on the surface of yttria of a processing chamber's inner wall and parts inside the processing chamber. It is the plasma processing method including an etching step of setting a sample inside the processing chamber, and etching the sample, a deposition-product removing step of removing a deposition product by using a plasma, the deposition product being deposited inside the processing chamber by the etching step, the plasma being generated using a gas which contains fluorine or chlorine, and a step of exposing, to a rare-gas-based plasma, the inside of the processing chamber after the deposition-product removing step. | 05-24-2012 |
20120125891 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - Provided are a plasma processing apparatus and a plasma processing method wherein particles generated due to the inner potential of an inner cylinder disposed inside of a vacuum container are reduced. The plasma processing apparatus has, inside of a metal vacuum chamber ( | 05-24-2012 |
20120132619 | GAS EXHAUST STRUCTURE, AND APPARATUS AND METHOD FOR PLASMA PROCESSING - A gas discharge structure, and a device and a method for plasma processing which are capable of a uniform gas discharge and have improved maintainability. A pendulum gate valve ( | 05-31-2012 |
20120145671 | PLASMA PROCESSING APPARATUS AND DEVICE MANUFACTURING METHOD - The present invention provides a plasma processing apparatus which reduces damage from plasma generated in a discharge vessel and lengthen the replacement cycle of the discharge vessel. | 06-14-2012 |
20120152899 | ALIGNMENT FILM REPAIR APPARATUS AND METHOD THEREOF - Disclosed is an alignment film repair apparatus. The alignment film repair apparatus comprises a detection device and a plasma device. The detection device is employed for detecting an edge area of an alignment film to define at least one defect area. The plasma device is employed for generating a plasma reaction to the at least one defect area of the alignment film for removing the at least one defect area. A repair method utilizing the alignment film repair apparatus is also disclosed. With the detection device and the plasma device in cooperation for partially removing the at least one defect area of the alignment film, the issue that removing the whole alignment film with a stripper solvent is the only method according to prior art can be solved. | 06-21-2012 |
20120152900 | METHODS AND APPARATUS FOR GAS DELIVERY INTO PLASMA PROCESSING CHAMBERS - Methods and apparatus for gas delivery into plasma processing chambers are provided herein. In some embodiments, an apparatus for processing a substrate includes a process chamber having a processing volume, a substrate support disposed in the processing volume, an inductively coupled plasma source to generate an electric field within the processing volume that includes one or more regions of local maxima in the magnitude of the electric field, and one or more gas injectors to selectively direct a predominant portion of a process gas flowed through the one or more gas injectors into the one or more regions of local maxima. | 06-21-2012 |
20120160807 | SYSTEM, METHOD AND APPARATUS FOR REDUCING PLASMA NOISE ON POWER PATH OF ELECTROSTATIC CHUCK - A vacuum plasma system has a table with a table power connector, and a fixture spaced apart from the table for defining a chamber between the table and the fixture. An electrostatic chuck (ESC) is mounted to the table in the chamber. The ESC has a side for supporting a workpiece, and an ESC power connector that electrically couples with the table power connector. A coupling extends between the table and ESC power connectors to provide electrical connection therebetween. A shield surrounds the coupling and portions of the table and ESC power connectors to reduce external fields applied to the coupling. | 06-28-2012 |
20120160808 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus includes: a holding stage which includes a susceptor having a substrate holding surface on which a wafer is held and a focus ring holding surface on which a focus ring is held; an electrostatic chuck which electrostatically adsorbs a rear surface of the wafer to the substrate holding surface and electrostatically adsorbs a rear surface of the focus ring to the focus ring holding surface; and a heat transfer gas supplying mechanism, wherein the heat transfer gas supplying mechanism independently provides a first heat transfer gas supply unit supplying a first heat transfer gas to the rear surface of the substrate and a second heat transfer gas supply unit supplying a second heat transfer gas to the rear surface of the focus ring. | 06-28-2012 |
20120181252 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - A plasma processing apparatus performs generating plasma only with the carrier gas without the supply of the processing gas after the end of processing to the substrate. | 07-19-2012 |
20120199553 | CARBON FILM | 08-09-2012 |
20120241411 | SEQUENTIAL INFILTRATION SYNTHESIS FOR ADVANCED LITHOGRAPHY - A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process. | 09-27-2012 |
20120241412 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - In a plasma processing apparatus for performing a plasma process on a substrate, a damage on a surface of a mounting table can be suppressed without using a dummy wafer when cleaning an inside of the plasma processing apparatus. Upon the completion of a plasma etching process, a surface of the susceptor | 09-27-2012 |
20120305527 | ANTENNA UNITS, SUBSTRATE TREATING APPARATUSES INCLUDING THE SAME, AND SUBSTRATE TREATING METHODS USING THE APPARATUSES - Provided is a substrate treating apparatus, which includes a process chamber having an inner space, a substrate support part disposed within the process chamber, and supporting a substrate, a gas supply part supplying a process gas into the process chamber, an antenna configured to supply high frequency power into the process chamber to excite the process gas within the process chamber, and a driving part varying a size of the antenna. | 12-06-2012 |
20130001196 | Projected Plasma Source - This disclosure describes systems, methods, and apparatuses for generating an ionizing electromagnetic field via a remote plasma source such that the field controllably extends through a field projection portion where the field attenuates, to a plasma processing portion where the field is attenuated but still strong enough to sustain a plasma. The plasma has a low voltage and RF energy and can be used for a variety of semiconductor and thin film processing operations including chamber cleaning via radical generation, etching, and deposition. | 01-03-2013 |
20130001197 | PLASMA PROCESSING METHOD - In a plasma processing method for conducting etching on an object to be processed by generating plasma from depositional gas introduced into a processing chamber and exposing the object to be processed to the plasma in a state in which radio frequency power is applied, the object to be processed is etched under etching conditions that a deposit film on an inner wall of the processing chamber becomes amorphous by repeating a first period during which the object to be processed is exposed to plasma and a second period during which the object to be processed is exposed to plasma and an etching rate is lower as compared with the first period. Consequently, particles due to increase in the number of processed sheets of the object to be processed can be suppressed. | 01-03-2013 |
20130015159 | APPARATUS AND A METHOD AND A SYSTEM FOR TREATING A SURFACE WITH AT LEAST ONE GLIDING ARC SOURCE - The invention relates to an apparatus for treating a surface with a at least one gliding arc source comprising at least one gas flow controlling unit ( | 01-17-2013 |
20130026136 | SPUTTER-ETCH TOOL AND LINERS - This disclosure provides systems, methods and apparatus for fabricating electromechanical system devices within a plasma-etch reaction chamber. In one aspect, a plasma-etch system includes a plasma-etch reaction chamber, an inlet in fluid communication with the reaction chamber, a cathode positioned within the reaction chamber and a non-hollow anode positioned within the reaction chamber between the inlet and the cathode. The inlet is configured to introduce a process gas into the reaction chamber such that at least a portion of the process gas strikes an upper surface of the anode and is allowed to flow across the upper surface and around the edges of the anode. The anode can be a liner plate in place of a showerhead. | 01-31-2013 |
20130026137 | DEVICE AND METHOD FOR GENERATING A PULSED ANISOTHERMAL ATMOSPHERIC PRESSURE PLASMA - The invention relates to a device and a method for generating a pulsed (intermittent), cold, atmospheric pressure plasma, preferably a thread, for precise antimicrobial plasma treatment (antisepsis, disinfection, sterilization, decontamination) of very small surfaces and cavities, including on living human and animal bodies, preferably in the field of medicine, by means of a negative direct-current corona discharge, the device comprising at least one electrode for generating high field strengths, through or around which electrode the gas to be ionized flows in a gas channel, wherein the electrically conductive structure (surface, cavity) to be treated is used as the counter-electrode. Said plasma can also be used in general for cleaning, coating, activating, and etching surfaces. | 01-31-2013 |
20130032574 | CAPACITIVE-COUPLED PLASMA PROCESSING APPARATUS AND METHOD FOR PROCESSING SUBSTRATE - The present invention relates to a capacitive-coupled plasma processing apparatus, wherein an electric field regulating element, i.e., an “electric field lens”, is arranged in the reaction chamber to generate a regenerated electric field in a direction opposite to that of the original radio frequency electric field in the reaction chamber, so that the non-uniformity of etching rate on the surface of the substrate of the plasma incurred by the original radio frequency electric field is decreased; and the electric field regulating element, i.e., the “electric field lens”, further decreases the equivalent quality factor Q value of the reaction chamber, expands the radio frequency band, and prevents high-voltage electric arcing. The present invention further provides a method for processing the substrate using the processing apparatus. | 02-07-2013 |
20130043212 | CONTINUOUS PATTERNED LAYER DEPOSITION - A method of manufacturing a substrate with a patterned layer of deposited material, the patterned layer being deposited from a processing head, the method comprising
| 02-21-2013 |
20130048606 | METHODS FOR IN-SITU CHAMBER DRY CLEAN IN PHOTOMASK PLASMA ETCHING PROCESSING CHAMBER - Embodiments of the invention include methods for in-situ chamber dry cleaning a plasma processing chamber utilized for photomask plasma fabrication process. In one embodiment, a method for in-situ chamber dry clean after photomask plasma etching includes performing an in-situ pre-cleaning process in a plasma processing chamber, supplying a pre-cleaning gas mixture including at least an oxygen containing gas into the plasma processing chamber while performing the in-situ pre-cleaning process, providing a substrate into the plasma processing chamber, performing an etching process on the substrate, removing the substrate from the substrate, and performing an in-situ post cleaning process by flowing a post cleaning gas mixture including at least an oxygen containing gas into the plasma processing chamber. | 02-28-2013 |
20130098871 | Internal Split Faraday Shield for an Inductively Coupled Plasma Source - An inductively coupled plasma source for a focused charged particle beam system includes a conductive shield within the plasma chamber in order to reduce capacitative coupling to the plasma. The internal conductive shield is maintained at substantially the same potential as the plasma source by a biasing electrode or by the plasma. The internal shield allows for a wider variety of cooling methods on the exterior of the plasma chamber. | 04-25-2013 |
20130098872 | SWITCHED ELECTRON BEAM PLASMA SOURCE ARRAY FOR UNIFORM PLASMA PRODUCTION - An array of electron beam sources surrounding a processing region of a plasma reactor is periodically switched to change electron beam propagation direction and remove or reduce non-uniformities. | 04-25-2013 |
20130098873 | OVERHEAD ELECTRON BEAM SOURCE FOR PLASMA ION GENERATION IN A WORKPIECE PROCESSING REGION - A plasma reactor has a main chamber for processing a workpiece in a processing region bounded between an overhead ceiling and a workpiece support surface, the reactor having an overhead electron beam source that produces an electron beam flowing into the processing region through the ceiling of the main chamber. | 04-25-2013 |
20130105443 | SYNCHRONOUS EMBEDDED RADIO FREQUENCY PULSING FOR PLASMA ETCHING | 05-02-2013 |
20130119018 | HYBRID PULSING PLASMA PROCESSING SYSTEMS - A method for processing substrate in a processing chamber that has at least one plasma generating source and a gas source for providing a process gas into the chamber is provided. The method includes exciting the plasma generating source with an RF signal having an RF frequency. The method also includes pulsing the RF signal using at least one of amplitude, phase, and frequency of the RF signal having a first value during first portion of an RF pulsing period and a second value during second portion of RF pulsing period, which is associated with first source pulsing frequency. The method further includes pulsing the gas source such that the process gas flows into the chamber at a first rate during a first portion of a gas pulsing period and a second rate during a second portion of the gas pulsing period, which is associated with the gas pulsing frequency. | 05-16-2013 |
20130119019 | INERT-DOMINANT PULSING IN PLASMA PROCESSING SYSTEMS - A method for processing substrate in a processing chamber, which has at least one plasma generating source and a gas source for providing process gas into the chamber, is provided. The method includes exciting the plasma generating source with an RF signal having RF frequency. The method further includes pulsing the gas source, using at least a first gas pulsing frequency, such that a first process gas is flowed into the chamber during a first portion of a gas pulsing period and a second process gas is flowed into the chamber during a second portion of the gas pulsing period, which is associated with the first gas pulsing frequency. The second process gas has a lower reactant-gas-to-inert-gas ratio relative to a reactant-gas-to-inert-gas ratio of the first process gas. The second process gas is formed by removing at least a portion of a reactant gas flow from the first process gas. | 05-16-2013 |
20130126476 | DUAL ZONE TEMPERATURE CONTROL OF UPPER ELECTRODES - A system and method of plasma processing includes a plasma chamber including a substrate support and an upper electrode opposite the substrate support, the upper electrode having a plurality of concentric temperature control zones and a controller coupled to the plasma chamber. | 05-23-2013 |
20130168352 | METHODS AND APPARATUSES FOR CONTROLLING PLASMA PROPERTIES BY CONTROLLING CONDUCTANCE BETWEEN SUB-CHAMBERS OF A PLASMA PROCESSING CHAMBER - A plasma processing system having at least one processing chamber comprising at least two sub-chambers is provided. The two plasma sub-chambers are in plasma flow or gas flow communication through a passage, which is controlled by a gate. The gate may be operated to allow plasma migration between the two sub-chambers to occur at different conductance rates. In one example, the gate comprises two plates with openings through the plates. At least one of the plates may be rotatable relative to the other plates to govern the conductance rate of the plasma from one sub-chamber to the other sub-chamber. | 07-04-2013 |
20130168353 | PLASMA PROCESSING METHOD FOR SUBSTRATES - A process for, with use of a tray in which substrate receiving holes are provided and which has substrate support portions protruding from inner walls of the substrate receiving holes, placing the tray onto a tray support portion of a substrate stage and placing substrates onto the substrate holding portions, so that edge portions of the substrates projected out of end edges of the substrate holding portions and the substrate support portions are separated; a process for reducing pressure in a chamber and supplying a process gas thereto to fulfill plasma processing for the substrates; and a process for, with the tray and the substrates placed on the substrate stage, reducing the pressure in the chamber and supplying a process gas to fulfill plasma processing so that by-products stuck to edge portions of the substrates and the substrate support portions are removed. | 07-04-2013 |
20130180954 | MULTI-ZONE DIRECT GAS FLOW CONTROL OF A SUBSTRATE PROCESSING CHAMBER - Methods and apparatus for processing a substrate are provided herein. In some embodiments, an apparatus for processing a substrate may include a plasma process chamber having a processing volume and a substrate support disposed in the processing volume, the substrate support having a substrate support surface for supporting a substrate; a plurality of first gas inlets to provide a process gas to the processing volume, wherein the plasma process chamber is configured such that flowing the process gas at the same flow rate from each first gas inlet produces a non-uniform plasma at the substrate support surface; and a plurality of flow controllers, wherein each flow controller of the plurality is coupled to a corresponding one of the plurality of first gas inlets to control the flow of the process gas from the corresponding one first gas inlet. | 07-18-2013 |
20130186858 | ETCHING METHOD, ETCHING APPARATUS, AND RING MEMBER - Etching is performed through the following process. A substrate is loaded into a processing chamber and mounted on a mounting table therein. Then, in the state where a ring member at least a surface of which is made of a same material as a main component of an etching target film is provided to surround the substrate, a processing gas is injected in a shower-like manner from a gas supply unit oppositely facing the substrate and the etching target film is etched by using a plasma of the processing gas; and evacuating the inside of the processing chamber through an exhaust path. Through this process, unbalanced distribution of plasma active species in the vicinity of a circumferential edge portion of the substrate can be suppressed. | 07-25-2013 |
20130206725 | CREATION OF OFF-AXIS NULL MAGNETIC FIELD LOCUS FOR IMPROVED UNIFORMITY IN PLASMA DEPOSITION AND ETCHING - Disclosed are methods and associated apparatus for depositing layers of material on a substrate (e.g., a semiconductor substrate) using ionized physical vapor deposition (iPVD). Also disclosed are methods and associated apparatus for plasma etching (e.g., resputtering) layers of material on a semiconductor substrate. | 08-15-2013 |
20130213934 | METHODS AND APPARATUS FOR CONTROLLING PLASMA IN A PLASMA PROCESSING SYSTEM - Methods and apparatus for processing a substrate in a multi-frequency plasma processing chamber are disclosed. The base RF signal pulses between a high power level and a low power level. Each of the non-base RF generators, responsive to a control signal, proactively switches between a first predefined power level and a second predefined power level as the base RF signal pulses. Alternatively or additionally, each of the non-base RF generators, responsive to a control signal, proactively switches between a first predefined RF frequency and a second predefined RF frequency as the base RF signal pulses. Techniques are disclosed for ascertaining in advance of production time the first and second predefined power levels and/or the first and second predefined RF frequencies for the non-base RF signals. | 08-22-2013 |
20130233828 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - An atmospheric plasma irradiation unit has a discharge tube for ejecting a primary plasma formed of an inductively coupled plasma of an inert gas and a mixer for generating a secondary plasma formed of a mixed gas plasmanized by collisions of the primary plasma with a mixed gas region of a second inert gas and a reactive gas. The discharge tube and the mixer are included in a plasma head. A moving unit moves the plasma head so that an irradiation area of the secondary plasma to an object is moved on a circular or other-shaped locus. | 09-12-2013 |
20130256268 | PLASMA SOURCE PUMPING AND GAS INJECTION BAFFLE - A plasma processing system. The processing system comprises a process chamber having first and second ends arranged such that the first end opposes the second end. A substrate support is positioned at the first end of the process chamber and is configured to support a substrate. An exhaust system is positioned proximate the second end of the process chamber and draws a vacuum on the process chamber. Between the exhaust system and substrate support there is a plurality of super-Debye openings, and between the exhaust system and the plurality of super-Debye openings is a plurality of sub-Debye openings. The super-Debye openings are configured to limit diffusion of plasma while the sub-Debye openings are configured to quench plasma. | 10-03-2013 |
20130256269 | METHODS AND APPARATUS FOR MODIFYING SURFACE ENERGY OF LAMINATE STACK UP - The described embodiments relate generally to the manufacturing of consumer electronics and computing devices, and more particularly to providing mechanisms that modify the surface energy of a substrate to facilitate the forming of a bond between disparate materials. In one embodiment, the surface energy of a polyester substrate can be enhanced by exposing a surface of the polyester substrate to a plasma formed from approximately 90% atmospheric air, 5% carbon dioxide, and 5% argon. In another embodiment, contaminants can be removed from the surface of the polyester substrate and the surface energy of the substrate can be increased by exposing the polyester substrate first to an argon plasma etching process and second to a plasma formed from approximately 95% atmospheric air and 5% carbon dioxide. | 10-03-2013 |
20130256270 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - According to one embodiment, a plasma processing apparatus includes: a processing chamber; a decompression section configured to decompress inside of the processing chamber; a member including a control section to be inserted into a depression provided on mounting side of a workpiece, the control section being configured to thereby control at least one of in-plane distribution of capacitance of a region including the workpiece and in-plane distribution of temperature of the workpiece; a mounting section provided inside the processing chamber; a plasma generating section configured to supply electromagnetic energy to a region for generating a plasma for performing plasma processing on the workpiece; and a gas supply section configured to supply a process gas to the region for generating a plasma. The control section performs control so that at least one of the in-plane distribution of capacitance and the in-plane distribution of temperature is made uniform. | 10-03-2013 |
20130264308 | PLASMA PROCESS, FILM DEPOSITION METHOD AND SYSTEM USING ROTARY CHUCK - A chuck and a wafer supported thereon are rotated during a plasma process or a film deposition process to reduce thickness non-uniformity of a film processed or deposited on the wafer. | 10-10-2013 |
20130264309 | ACOUSTIC ENERGY UTILIZATION IN PLASMA PROCESSING - Methods and apparatus for processing a substrate using plasma are disclosed. The apparatus includes a plasma processing system having a process gas supply arrangement for supplying a process gas into an interior region of said chamber and a plasma source configured for generating said plasma at least from said process gas. The apparatus also includes an acoustic energy generator arrangement configured to apply acoustic energy to at least one of a chamber component and said substrate, wherein said acoustic energy generator generates said acoustic energy in the range of 10 Hz to 1 MHz using at least one of a piezoelectric transducing, mechanical coupling vibration, wafer backside gas pulsing, pulsing of said process gas, pressure wave pulsing, and electromagnetic coupling. | 10-10-2013 |
20130299455 | FOCUS RING HEATING METHOD, PLASMA ETCHING APPARATUS, AND PLASMA ETCHING METHOD - There are provided a method of heating a focus ring and a plasma etching apparatus, capable of simplifying a structure of a heating mechanism without a dummy substrate. The plasma etching apparatus includes a vacuum processing chamber; a lower electrode serving as a mounting table for mounting a substrate thereon; an upper electrode provided to face the lower electrode; a gas supply unit for supplying a processing gas; a high frequency power supply for supplying a high frequency power to the lower electrode to generate a plasma of the processing gas; and a focus ring provided on the lower electrode to surround a periphery of the substrate. In the plasma etching apparatus, the focus ring is heated by irradiating a heating light thereto from a light source provided outside the vacuum processing chamber. | 11-14-2013 |
20130306598 | SIDEWALL IMAGE TRANSFER METHOD FOR LOW ASPECT RATIO PATTERNS - A method for patterning a substrate is described. The patterning method may include conformally depositing a material layer over a pattern according to a conformal deposition process, selectively depositing a second material layer on an exposed surface of the material layer according to a selected deposition process recipe; partially removing the material layer using a plasma etching process to expose a top surface of the pattern, open a portion of the material layer at a bottom region between adjacent features of the pattern, and retain a remaining portion of the material layer on sidewalls of the pattern; and removing the pattern using one or more etching processes to leave a final pattern comprising the remaining portion of the material layer and the second layer. | 11-21-2013 |
20130334171 | INTEGRATED STEERABILITY ARRAY ARRANGEMENT FOR MINIMIZING NON-UNIFORMITY AND METHODS THEREOF - A method for providing steerability in a plasma processing environment during substrate processing is provided. The method includes managing power distribution by controlling power being delivered into the plasma processing environment through an array of electrical elements. The method also includes directing gas flow during substrate processing by controlling the amount of gas flowing through an array of gas injectors into the plasma processing environment, wherein individual ones of the array of gas injectors are interspersed between the array of electrical elements. The method further includes controlling gas exhausting during substrate processing by managing amount of gas exhaust being removed by an array of pumps, wherein the array of electrical elements, the array of gas injectors, and the array of pumps are arranged to create a plurality of plasma regions, each plasma region being substantially similar, thereby creating a uniform plasma region across the substrate. | 12-19-2013 |
20140001154 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 01-02-2014 |
20140008324 | METHOD FOR MAKING PLASTIC ARTICLES HAVING AN ANTIMICROBIAL SURFACE - Herein are disclosed methods for processing plastic substrate surfaces having inorganic antimicrobial microparticles within. The methods involve providing a plastic substrate having a substrate surface, having inorganic antimicrobial microparticles within the plastic substrate, and exposing the substrate surface to a plasma. | 01-09-2014 |
20140034610 | APPARATUS AND METHODS FOR HANDLING WORKPIECES OF DIFFERENT SIZES - Apparatus and methods for plasma processing workpieces of different diameters. The apparatus includes a lift plate having an outer perimeter, an opening inside of the outer perimeter, and a gap extending between the opening and the outer perimeter. The lift plate includes annular rims of different inner diameters and that are configured to respectively support the first and second workpieces. | 02-06-2014 |
20140034611 | ENHANCED ETCH AND DEPOSITION PROFILE CONTROL USING PLASMA SHEATH ENGINEERING - A plasma processing tool is used to deposit material on a workpiece. For example, a method for conformal deposition of material is disclosed. In this embodiment, the plasma sheath shape is modified to allow material to impact the workpiece at a range of incident angles. By varying this range of incident angles over time, a variety of different features can be deposited onto. In another embodiment, a plasma processing tool is used to etch a workpiece. In this embodiment, the plasma sheath shape is altered to allow ions to impact the workpiece at a range of incident angles. By varying this range of incident angles over time, a variety of differently shaped features can be created. | 02-06-2014 |
20140042123 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus which can improve density uniformity of plasma excited by a high frequency wave (such as in the VHF frequency band) for a substrate having a large size. The plasma processing apparatus includes a waveguide member defining a waveguide, a coaxial tube supplying electromagnetic energy from a predetermined power supply position in the longitudinal direction of the waveguide into the waveguide, and a plurality of electrodes for electric field formation, to which the electromagnetic energy is supplied through the waveguide and which is disposed so as to face a plasma formation space, the plurality of electrodes are being arranged in the longitudinal direction of the waveguide, and each of the plurality of electrodes extends in the width direction of the waveguide. | 02-13-2014 |
20140054268 | Electronic Knob for Tuning Radial Etch Non-Uniformity at VHF Frequencies - System and methods for plasma processing of a wafer include a chamber with an electrode having a support surface and an outer edge region defined thereon. A radio frequency power is communicated to the electrode via a conductive delivery connection and returned through a conductive return connection. A capacitance is applied to a first end that causes appropriate capacitive adjustment and opposite impedance adjustment at a second end of the conductive delivery connection that is coupled to a dielectric surround structure that surrounds the electrode. The dielectric surround structure presents the opposite impedance adjustment near an outer edge of the electrode, such that increasing the capacitance at the first end causes a corresponding increase of impedance at the second end and a corresponding increase in voltage distribution near the outer edge region of the electrode that decreases toward a center of the support surface of the electrode. | 02-27-2014 |
20140054269 | PLASMA-ENHANCED ETCHING IN AN AUGMENTED PLASMA PROCESSING SYSTEM. - Methods for etching a substrate in a plasma processing chamber having at least a primary plasma generating region and a secondary plasma generating region separated from said primary plasma generating region by a semi-barrier structure. The method includes generating a primary plasma from a primary feed gas in the primary plasma generating region. The method also includes generating a secondary plasma from a secondary feed gas in the secondary plasma generating region to enable at least some species from the secondary plasma to migrate into the primary plasma generating region. The method additionally includes etching the substrate with the primary plasma after the primary plasma has been augmented with migrated species from the secondary plasma. | 02-27-2014 |
20140061157 | PLASMA SOURCE WITH INTEGRAL BLADE AND METHOD FOR REMOVING MATERIALS FROM SUBSTRATES - An atmospheric pressure plasma source includes a body including a distal end, a blade extending from the distal end and terminating at a blade edge, a plasma-generating unit, and a plasma outlet communicating with the plasma-generating unit and positioned at the distal end. The plasma outlet is oriented at a downward angle generally toward the blade edge, wherein the plasma outlet provides a plasma path directed generally toward the blade edge. The plasma may be applied to the coating at an interface between the coating and an underlying substrate. While applying the plasma, the blade is moved into contact with the coating at the interface, wherein the blade assists in separating the coating from the substrate while one or more components of the coating react with energetic species of the plasma. | 03-06-2014 |
20140083977 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - In one embodiment, a plasma processing apparatus includes: a chamber; an introducing part; a counter electrode; a high-frequency power source; and a plurality of low-frequency power sources. A substrate electrode is disposed in the chamber, a substrate is directly or indirectly placed on the substrate electrode, and the substrate electrode has a plurality of electrode element groups. The introducing part introduces process gas into the chamber. The high-frequency power source outputs a high-frequency voltage for ionizing the process gas to generate plasma. The plurality of low-frequency power sources apply a plurality of low-frequency voltages of 20 MHz or less with mutually different phases for introducing ions from the plasma, to each of the plurality of electrode element groups. | 03-27-2014 |
20140083978 | TEMPERATURE CONTROLLED PLASMA PROCESSING CHAMBER COMPONENT WITH ZONE DEPENDENT THERMAL EFFICIENCES - Components and systems for controlling a process or chamber component temperature as a plasma process is executed by plasma processing apparatus. A first heat transfer fluid channel is disposed in a component subjacent to a working surface disposed within a plasma processing chamber such that a first length of the first channel subjacent to a first temperature zone of the working surface comprises a different heat transfer coefficient, h, or heat transfer area, A, than a second length of the first channel subjacent to a second temperature zone of the working surface. In embodiments, different heat transfer coefficients or heat transfer areas are provided as a function of temperature zone to make more independent the temperature control of the first and second temperature zones. | 03-27-2014 |
20140083979 | DEPOSIT REMOVAL METHOD - A deposit removal method for removing deposits deposited on the surface of a pattern formed on a substrate by etching, includes an oxygen plasma treatment process for exposing the substrate to oxygen plasma while heating the substrate and a cycle treatment process for, after the oxygen plasma treatment process, repeating multiple cycles of a first period and a second period. In the first period, the substrate is exposed to a mixture of hydrogen fluoride gas and alcohol gas inside a processing chamber and the partial pressure of the alcohol gas is set to the first partial pressure. In the second period, the partial pressure of the alcohol gas is set to the second partial pressure lower than the first partial pressure by exhausting the inside of the processing chamber. | 03-27-2014 |
20140110374 | Method for Removing a Dielectric Layer from a Bottom of a Trench - Embodiments provide a method for removing a dielectric layer from a bottom of a trench while maintaining the dielectric layer on sidewalls of the trench. The method includes etching the dielectric layer at the bottom of the trench and generating a passivation layer on the dielectric layer at an upper portion of the trench by adjusting the conditions of a plasma etch process to a first mode; and a step of etching the dielectric layer at the bottom of the trench and etching the passivation layer at the upper portion of the trench by adjusting the conditions of the plasma etch process to a second mode before the dielectric layer at the bottom of the trench is completely removed. | 04-24-2014 |
20140110375 | SURFACE PROCESSING DEVICE AND SURFACE PROCESSING METHOD - In the present invention, the form in which roughness is formed on the surface of an article being processed through plasma exposure is controlled by varying the frequency for a main voltage applied to two discharge electrodes, a conductive housing and a rod shaped electrode, provided in a plasma generating unit and the frequency for a bias voltage applied between the conductive housing ( | 04-24-2014 |
20140138356 | Plasma Processing Apparatus, Plasma Processing Method and Storage Mediuim - A plasma processing apparatus includes a first electrode and a second electrode so arranged in the upper portion of a processing chamber as to face a mounting table, a gas supply unit for supplying a processing gas between the first electrode and the second electrode, a RF power supply unit for applying a RF power between the first electrode and the second electrode for converting the process gas supplied between the electrodes into a plasma, and a gas exhaust unit for evacuating the inside of the processing chamber to a vacuum level from the lower portion of the processing chamber. Since the electron temperature in the plasma is low near a substrate on the mounting table, damage to the substrate caused by the plasma can be suppressed. In addition, since a metal can be used as a material for the processing chamber, the processing chamber can have good temperature controllability. | 05-22-2014 |
20140144877 | PLASMA GENERATING DEVICE WITH MOVING CAROUSEL AND METHOD OF USE - One possible embodiment of the invention could be a plasma reactor chamber and method of operating same wherein the plasma reactor chamber comprises a set of chamber walls and a door that when closed seals the plasma reactor chamber air-tight; one or more RF electrodes with at least one RF electrode being a power RF electrode; and a structure that moves one or more specimens proximate to the one or more RF electrodes. | 05-29-2014 |
20140151331 | DEPOSITION SHIELD FOR PLASMA ENHANCED SUBSTRATE PROCESSING - Methods and apparatus for plasma processing of substrates are provided herein. In some embodiments, a deposition shield for use in processing a substrate having a given width may include a first plate having a first plurality of holes disposed through a thickness of the first plate; and a second plate disposed below the first plate and having a second plurality of holes disposed through a thickness of the second plate, wherein individual holes in the first plurality of holes and the second plurality of holes are not aligned. | 06-05-2014 |
20140151332 | SUBSTRATE SUPPORTING UNIT AND SUBSTRATE TREATING APPARATUS AND METHOD - An apparatus for treating a substrate may include a process chamber. The process chamber may include a reaction space and an opening portion for receiving the substrate into the reaction space. The apparatus may further include a dielectric layer. The apparatus may further include a plurality of support elements disposed on the dielectric layer and configured to contact a bottom surface of the substrate for supporting the substrate. The plurality of support elements may include a first support element and a second support element immediately neighboring the first support element. | 06-05-2014 |
20140151333 | Small Plasma Chamber Systems and Methods - A plasma deposition chamber is disclosed. A substrate support for supporting a surface to be processed is in the chamber. A processing head including an array of plasma microchambers is also in the chamber. Each of the plasma microchambers includes an open side disposed over at least a first portion of the surface to be processed. The open side has an area less than an entire area of the surface to be processed. A process gas source is coupled to the chamber to provide a process gas the array of plasma microchambers. A radio frequency power supply is connected to at least one electrode of the processing head. The array of plasma microchambers is configured to generate a plasma using the process gas to deposit a layer over the at least first portion of the surface to be processed. A method for performing a plasma deposition is also disclosed. | 06-05-2014 |
20140166616 | Combinatorial Processing Using a Remote Plasma Source - Methods and apparatus for processing using a remote plasma source are disclosed. The apparatus includes an outer chamber, a remote plasma source, and a showerhead. Inert gas ports within the showerhead assembly can be used to alter the concentration and energy of reactive radical or reactive neutral species generated by the remote plasma source in different regions of the showerhead. This allows the showerhead to be used to apply a surface treatment to different regions of the surface of a substrate. Varying parameters such as the remote plasma parameters, the inert gas flows, pressure, and the like allow different regions of the substrate to be treated in a combinatorial manner. | 06-19-2014 |
20140166617 | NON-LOCAL PLASMA OXIDE ETCH - A method of etching exposed titanium oxide on heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor. Plasma effluents from the remote plasma are flawed into a substrate processing region where the plasma effluents may combine with a nitrogen-containing precursor such as an amine (N:) containing precursor. Reactants thereby produced etch, the patterned heterogeneous structures with high titanium oxide selectivity while the substrate is at elevated temperature. Titanium oxide etch may alternatively involve supplying a fluorine-containing precursor and a source of nitrogen-and-hydrogen-containing precursor to the remote plasma. The methods may be used to remove titanium oxide while removing little or no low-K dielectric, polysilicon, silicon nitride or titanium nitride. | 06-19-2014 |
20140166618 | ULTRA-HIGH SPEED ANISOTROPIC REACTIVE ION ETCHING - A system and method for reactive ion etching (RIE) system of a material is provided. The system includes a plasma chamber comprising a plasma source and a gas inlet, a diffusion chamber comprising a substrate holder for supporting a substrate with a surface comprising the material and a gas diffuser, and a source of a processing gas coupled to the gas diffuser. In the system and method, at least one radical of the processing gas is reactive with the material to perform etching of the material, the gas diffuser is configured to introduce the processing gas into the processing region, and the substrate holder comprises an electrode that can be selectively biased to draw ions generated by the plasma source into the processing region to interact with the at least one processing gas to generate the at least one radical at the surface. | 06-19-2014 |
20140197135 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - A plasma processing method includes forming a silicon oxide film on a surface of a member provided within a chamber with plasma of a silicon-containing gas without oxygen while controlling a temperature of the member to be lower than a temperature of another member; performing a plasma process on a target object loaded into the chamber with plasma of a processing gas after the silicon oxide film is formed on the surface of the member; and removing the silicon oxide film from the surface of the member with plasma of a fluorine-containing gas after the target object on which the plasma process is performed is unloaded to an outside of the chamber. | 07-17-2014 |
20140231389 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - At a first timing after mounting a semiconductor wafer W on an electrostatic chuck | 08-21-2014 |
20140238954 | METHOD OF PRODUCING STRUCTURE CONTAINING PHASE-SEPARATED STRUCTURE, METHOD OF FORMING PATTERN, AND TOP COAT MATERIAL - A method of producing a structure containing a phase-separated structure, including forming, on a substrate, a layer containing a block copolymer having a block of a polyhedral oligomeric silsesquioxane structure-containing structural unit; forming a top coat film by applying, to the layer containing the block copolymer, a top coat material which undergoes a change in polarity upon heating, and controls a surface energy of the layer containing the block copolymer; and subjecting the layer containing the block copolymer on which the top coat film is formed to phase separation by thermal annealing. | 08-28-2014 |
20140238955 | PLASMA PROCESSING WITH PREIONIZED AND PREDISSOCIATED TUNING GASES AND ASSOCIATED SYSTEMS AND METHODS - Plasma processing systems and methods for using pre-dissociated and/or pre-ionized tuning gases are disclosed herein. In one embodiment, a plasma processing system includes a reaction chamber, a support element in the reaction chamber, and one or more cathode discharge assemblies in the reaction chamber. The reaction chamber is configured to produce a plasma in an interior volume of the chamber. The support element positions a microelectronic workpiece in the reaction chamber, and the cathode discharge assembly supplies an at least partially dissociated and/or ionized tuning gas to the workpiece in the chamber. | 08-28-2014 |
20140251953 | METHOD AND SYSTEMS FOR IN-SITU FORMATION OF INTERMEDIATE REACTIVE SPECIES - A system and method for providing intermediate reactive species from a remote plasma unit to a reaction chamber are disclosed. The system includes a pressure control device to control a pressure at the remote plasma unit as intermediate reactive species from the remote plasma unit are provided to the reaction chamber. | 09-11-2014 |
20140251954 | PULSED REMOTE PLASMA METHOD AND SYSTEM - A system and method for providing pulsed excited species from a remote plasma unit to a reaction chamber are disclosed. The system includes a pressure control device to control a pressure at the remote plasma unit as reactive species from the remote plasma unit are pulsed to the reaction chamber. | 09-11-2014 |
20140284308 | PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS - There are provided a plasma etching method and a plasma etching apparatus, capable of suppressing occurrence of local bias in etching rate and suppressing occurrence of charge-up damage. The plasma etching method of etching a silicon layer of a substrate to be processed using the plasma etching apparatus sets the pressure in a processing chamber to 13.3 Pa or more and applies, to a lower electrode, a first high-frequency power with a first frequency and a second high-frequency power with a second frequency that is lower than the first frequency and is a frequency of 1 MHz or lower. | 09-25-2014 |
20140291289 | METHOD FOR ETCHING POROUS ORGANOSILICA LOW-K MATERIALS - A method of etching a low-k material which is capable of decreasing a damage of the low-k material is provided. In the method, the low-k material is etched with a plasma of a mixture gas including NF | 10-02-2014 |
20140319098 | INERT-DOMINANT PULSING IN PLASMA PROCESSING SYSTEMS - A method for processing substrate in a processing chamber, which has at least one plasma generating source and a gas source for providing process gas into the chamber, is provided. The method includes exciting the plasma generating source with an RF signal having RF frequency. The method further includes pulsing the gas source, using at least a first gas pulsing frequency, such that a first process gas is flowed into the chamber during a first portion of a gas pulsing period and a second process gas is flowed into the chamber during a second portion of the gas pulsing period, which is associated with the first gas pulsing frequency. The second process gas has a lower reactant-gas-to-inert-gas ratio relative to a reactant-gas-to-inert-gas ratio of the first process gas. The second process gas is formed by removing at least a portion of a reactant gas flow from the first process gas. | 10-30-2014 |
20140332497 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - The plasma processing apparatus is provided with a plasma source | 11-13-2014 |
20140332498 | SUBSTRATE HOLDER, SUBSTRATE SUPPORTING APPARATUS, SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE PROCESSING METHOD USING THE SAME - Provided are a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method. Particularly, there are provided a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method that are adapted to improve process efficiency and etch uniformity at the back surface of a substrate. | 11-13-2014 |
20140367359 | Method For Controlling In-Plane Uniformity Of Substrate Processed By Plasma-Assisted Process - A method for controlling in-plane uniformity of a substrate processed by plasma-assisted process in a reactor, includes: supplying a principal gas to a reaction space, and discharging radially the principal gas from the reaction space through an annular duct; and supplying an secondary gas to the reaction space from an area in close proximity to an outer periphery of a susceptor, outside an outer circumference of the substrate as viewed from above, so as to flow at least partially in an inward direction passing the outer circumference of the substrate, reversing the direction of the secondary gas to flow toward the annular duct in a vicinity of the outer circumference of the substrate, and discharging radially the secondary gas together with the principal gas from the reaction space through the annular duct. | 12-18-2014 |
20150008213 | INTERNAL SPLIT FARADAY SHIELD FOR AN INDUCTIVELY COUPLED PLASMA SOURCE - An inductively coupled plasma source for a focused charged particle beam system includes a conductive shield within the plasma chamber in order to reduce capacitative coupling to the plasma. The internal conductive shield is maintained at substantially the same potential as the plasma source by a biasing electrode or by the plasma. The internal shield allows for a wider variety of cooling methods on the exterior of the plasma chamber. | 01-08-2015 |
20150041433 | Process For Plasma Treatment Employing Ceramic-Filled Polymer Composite Parts - Internal components of plasma reactors are composed of a toleratable, ceramic filled plasma-useful polymer such as a high temperature engineering thermoplastic, preferably a polyamideimide or polybenzimidazole. The parts exhibit a low erosion rate upon exposure to plasma at low pressure. | 02-12-2015 |
20150069017 | DYNAMIC ELECTRODE PLASMA SYSTEM - A system for processing a substrate includes a plasma chamber to generate a plasma therein. The system also includes a process chamber to house the substrate, where the process chamber is adjacent the plasma chamber. The system also includes a rotatable extraction electrode disposed between the plasma chamber and substrate, where the rotatable extraction electrode is configured to extract an ion beam from the plasma, and configured to scan the ion beam over the substrate without movement of the substrate by rotation about an extraction electrode axis. | 03-12-2015 |
20150076110 | BORON IONIZATION FOR ALUMINUM OXIDE ETCH ENHANCEMENT - Embodiments described herein generally provide a method for performing a semiconductor precleaning process. More specifically, embodiments provided herein relate to boron ionization for aluminum oxide etch enhancement. A process for removing native oxide from aluminum may utilize ionized boron alone or in combination with a halogen plasma. The ionized boron may provide improved aluminum oxide etching properties while being highly selective for native oxides more generally. | 03-19-2015 |
20150076111 | FEATURE ETCHING USING VARYING SUPPLY OF POWER PULSES - Etching a feature of a structure by an etch system is facilitated by varying supply of radio frequency (RF) power pulses to the etch system. The varying provides at least one RF power pulse, of the supplied RF power pulses, that deviates from one or more other RF power pulses, of the supplied RF power pulses, by at least one characteristic. | 03-19-2015 |
20150076112 | Method and Apparatus for Controlling Substrate DC-Bias and Ion Energy and Angular Distribution During Substrate Etching - A variable capacitor is provided within a radiofrequency (RF) power transmission path to a bias electrode, in addition to an impedance matching circuit provided within the RF power transmission path to the bias electrode. An RF power supply is operated in a pulsed mode to transmit pulses of RF power through the RF power transmission path to the bias electrode. A capacitance of the variable capacitor is set to control a rate at which a DC bias voltage builds up on a substrate present above the bias electrode during each pulse of RF power. The rate at which the DC bias voltage builds up on the substrate controls an ion energy distribution and an ion angular distribution within a plasma exposed to an electromagnetic field emanating from the substrate. | 03-19-2015 |
20150083690 | Electronic Knob for Tuning Radial Etch Non-Uniformity at VHF Frequencies - System and methods for plasma processing of a wafer include a chamber with an electrode having a support surface and an outer edge region defined thereon. A radio frequency power is communicated to the electrode via a conductive delivery connection and returned through a conductive return connection. A capacitance is applied to a first end that causes appropriate capacitive adjustment and opposite impedance adjustment at a second end of the conductive delivery connection that is coupled to a dielectric surround structure that surrounds the electrode. The dielectric surround structure presents the opposite impedance adjustment near an outer edge of the electrode, such that increasing the capacitance at the first end causes a corresponding increase of impedance at the second end and a corresponding increase in voltage distribution near the outer edge region of the electrode that decreases toward a center of the support surface of the electrode. | 03-26-2015 |
20150090692 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus and a plasma processing method are provided which can sufficiently suppress an abnormal discharge in a gas space. A plasma processing apparatus includes a high frequency power source connected between a processing chamber and a base stand; a gas storage unit provided within the base stand and configured to store a gas; a blocking mechanism configured to block a gas introducing port of the gas storage unit; and a connection unit configured to connect a space between a disposition position of a wafer and the base stand, to the gas storage unit. | 04-02-2015 |
20150102011 | PLASMA ETCHING APPARATUS - A plasma etching apparatus includes first, second and third chambers, and a plasma generation device. An inner cross-sectional area and shape of the second chamber interior substantially corresponds to the upper surface of a substrate, and a substrate support is disposed so that, in use, the substrate is substantially in register with the interior of the second chamber, and the upper surface of the substrate is positioned at a distance of 80 mm or less from the interface between the second and third chambers. | 04-16-2015 |
20150114930 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - A plasma processing method of the present disclosure includes attaching a Si-containing material or a N-containing material to an electrostatic chuck that is provided in a processing container and attached with a reaction product containing C and F, in a state where a workpiece is not mounted on the electrostatic chuck; adsorbing the workpiece by the electrostatic chuck attached with the Si-containing material or the N-containing material when the workpiece is carried into the processing container; processing the workpiece with plasma; and separating the workpiece processed with plasma from the electrostatic chuck attached with the Si-containing material or the N-containing material. | 04-30-2015 |
20150122775 | ISOLATOR FOR A SUBSTRATE PROCESSING CHAMBER - A processing kit for a plasma processing chamber. The processing kit includes a plurality of ceramic arc-shaped pieces. Each arc-shaped piece has a concave first end and a convex second end and the first end of each arc-shaped piece is configured to mate with an adjacent end of a neighboring arc-shaped piece to form a ring shaped inner isolator. | 05-07-2015 |
20150122776 | PLASMA PROCESSING APPARATUS AND METHOD THEREFOR - A dry etching apparatus plasma processes a wafer held by a carrier having a frame and an holding sheet. A electrode unit of a stage includes an electrostatic chuck. Adjacent to an upper surface of the electrostatic chuck, a first electrostatic attraction electrode and a second electrostatic attraction electrode are incorporated. The first electrostatic attraction electrode is of unipolar type and electrostatically attracts the wafer via the holding sheet. The second electrostatic electrode is of bipolar type and electrostatically attracts the frame via the holding sheet as well as a holding sheet between the wafer and the frame. Both of plasma processing performance and electrostatic attraction performance are improved. | 05-07-2015 |
20150129545 | SELECTIVE ETCH FOR METAL-CONTAINING MATERIALS - Methods of selectively etching metal-containing materials from the surface of a substrate are described. The etch selectively removes metal-containing materials relative to silicon-containing films such as silicon, polysilicon, silicon oxide, silicon germanium and/or silicon nitride. The methods include exposing metal-containing materials to halogen containing species in a substrate processing region. A remote plasma is used to excite the halogen-containing precursor and a local plasma may be used in embodiments. Metal-containing materials on the substrate may be pretreated using moisture or another OH-containing precursor before exposing the resulting surface to remote plasma excited halogen effluents in embodiments. | 05-14-2015 |
20150136734 | Substrate Treating Apparatus and Method - Provided is a substrate treating apparatus including a first supplying unit, a second supplying unit, a first source, a second source, a gas separation member or the like. Plasma generated from a first gas supplied from a first supplying unit by the first source is used for treating a central area of a substrate. Plasma generated from a second gas supplied from a second supplying unit by the second source is used for treating an edge area of the substrate. A gas separation member prevents plasmas generated respectively from first and second gases from being mixed up. | 05-21-2015 |
20150144596 | METHOD FOR CONTROLLING ION ENERGY DISTRIBUTION - Methods for regulating ion energies in a plasma chamber are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis. | 05-28-2015 |
20150294839 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - Disclosed is a plasma processing apparatus including a processing container, a placing table, a central introduction section, and a peripheral introduction section. The central introduction section is provided above the placing table. The central introduction introduces a gas toward the placing table along the axis passing through a center of the placing table. The peripheral introduction section is provided between the central introduction section and a top surface of the placing table in a height direction. In addition, the peripheral introduction section is formed along a side wall. The peripheral introduction section provides a plurality of gas ejection ports arranged in a circumferential direction with respect to the axis. The plurality of gas ejection ports of the peripheral introduction section extend away from the placing table as the gas ejection ports come close to the axis. | 10-15-2015 |
20150294841 | PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS - Provided is a plasma etching method of etching OCOC film in which HTO films and carbon films are alternately laminated by plasma of mixed gas containing first CF-based gas or second CF-based gas and oxygen gas using a silicon film formed on OCOC film as a mask. The etching of OCOC film includes a first etching process of etching a region spanning from the top surface to the middle of OCOC film by plasma of mixed gas containing first CF-based gas having a predetermined ratio of content of carbon to content of fluorine and oxygen gas and a second etching process of etching a region spanning from the middle of OCOC film to the lowest layer by plasma of mixed gas containing second CF-based gas having a ratio of content of carbon to content of fluorine, which is higher than the predetermined ratio of first CF-based gas, and oxygen gas. | 10-15-2015 |
20150308006 | Methods and Apparatus for Forming Multi-Layer Structures Including Use of A Sacrificial Patternable Mold Material - Numerous electrochemical fabrication methods and apparatus are provided for producing multi-layer structures (e.g. having meso-scale or micro-scale features) from a plurality of layers of deposited materials using adhered masks (e.g. formed from liquid photoresist or dry film), where two or more materials may be provided per layer where at least one of the materials is a structural material and one or more of any other materials may be a sacrificial material which will be removed after formation of the structure. Materials may comprise conductive materials that are electrodeposited or deposited in an electroless manner. In some embodiments special care is undertaken to ensure alignment between patterns formed on successive layers. | 10-29-2015 |
20150311039 | Dry Etching Method - The present invention discloses a dry etching method. The dry etching method comprises: etching a first medium layer; introducing a second reaction gas in a reaction chamber, and exciting the second reaction gas into plasmas with a second radiofrequency power, so that the plasmas formed from the second reaction gas are combined with particulate pollutants in the reaction chamber, and in this case the reaction chamber is vacuumized to perform conversion processing; and etching a second medium layer. The technical solution of the present invention is capable of effectively preventing particulate pollutants from falling onto the glass substrate in the procedure of executing conversion processing, meanwhile, the effect of chamber purifying through vacuumizing is improved, and the amount of the particulate pollutants in the reaction chamber is effectively reduced. | 10-29-2015 |
20150311041 | Methods And Apparatus For Controlling Plasma In A Plasma Processing System - Methods and apparatus for processing a substrate in a multi-frequency plasma processing chamber are disclosed. The base RF signal pulses between a high power level and a low power level. Each of the non-base RF generators, responsive to a control signal, proactively switches between a first predefined power level and a second predefined power level as the base RF signal pulses. Alternatively or additionally, each of the non-base RF generators, responsive to a control signal, proactively switches between a first predefined RF frequency and a second predefined RF frequency as the base RF signal pulses. Techniques are disclosed for ascertaining in advance of production time the first and second predefined power levels and/or the first and second predefined RF frequencies for the non-base RF signals. | 10-29-2015 |
20150315705 | FILM FORMING METHOD AND FILM FORMING APPARATUS - A film forming method includes supplying a first source gas containing a first metal element onto a substrate, supplying a second source gas containing a second metal element onto the substrate, supplying a reaction gas converted into plasma and containing a nonmetal element reacting with the first metal element and the second metal element to generate a first reaction product and a second reaction product, respectively, to the substrate, to generate a third reaction product containing the first metal element, the second metal element and the nonmetal element. A mixing ratio of the first metal element contained in the third reaction product is higher than that of the second metal element, and a crystallization temperature of the second reaction product is higher than that of the first reaction product. | 11-05-2015 |
20150318146 | SYSTEM AND METHOD FOR TREATING SUBSTRATE - Provided are a system and a method for treating a substrate. The substrate treating system may include a process chamber including a body with an open top and a dielectric window hermetically sealing the top of the body from an outside, a supporting unit provided in the process chamber to support a substrate, a gas-supplying unit supplying a process gas into the process chamber, a plasma source provided outside the process chamber to generate plasma from the process gas supplied into the process chamber, and a heating unit heating the dielectric window. The heating unit may include a heater and a thermally conductive layer provided on one of surfaces of the dielectric window. | 11-05-2015 |
20150325415 | ETCHING METHOD - Disclosed is an etching method for selectively etching an oxidation layer made of silicon from a processing target object having the oxidation layer within a processing chamber of a plasma processing apparatus. The etching method includes: forming an altered layer by generating plasma of a gas containing hydrogen, nitrogen, and fluorine to alter the oxidation layer; and after the forming the altered layer, irradiating secondary electrons to the processing target object to remove the altered layer within the processing chamber, in which a negative direct current voltage is applied on an upper electrode of the plasma processing apparatus so that positive ions generated from plasma collide against the upper electrode and thus the secondary electrons are emitted from the upper electrode. | 11-12-2015 |
20150340203 | PLASMA PROCESSING APPARATUS AND METHOD - A plasma processing apparatus includes a processing chamber, a plasma source that generates plasma within the processing chamber, a transfer carrier that has a holding sheet and a frame, the holding sheet holding a substrate, and the frame being attached to the holding sheet so as to surround the substrate, a stage that is provided within the processing chamber and has a gas supply hole formed in a mounting area of the stage for mounting the transfer carrier thereon, an electrostatic chucking part that is provided within the stage and electrostatically attracts the transfer carrier, and a gas supply part that supplies gas through the gas supply hole of the stage to assist separation of the transfer carrier from the stage. | 11-26-2015 |
20150340208 | PLASMA PROCESSING METHOD AND APPARATUS - A plasma processing method to a substrate includes a first step of mounting a transfer carrier holding the substrate on a stage which is cooled and provided within a processing chamber; a second step of relatively moving the stage and a cover provided above the stage to cover a holding sheet and an annular frame of the transfer carrier with the substrate exposed from a window part formed at the cover, a third step of carrying out plasma processing on the substrate, a fourth step of cooling the cover, and a fifth step of unloading the transfer carrier holding the substrate from the processing chamber. | 11-26-2015 |
20150340210 | PLASMA PROCESSING METHOD - A plasma processing method includes: mounting a substrate on a mounting table serving as a first electrode in a vacuum chamber, generating plasma of a processing gas by applying a high frequency power between the first electrode and a second electrode, and performing a plasma process on the substrate by the plasma; supplying a cleaning gas into the vacuum chamber without mounting a substrate on the mounting table, and exciting the cleaning gas into plasma by applying a high frequency power between the first electrode and the second electrode; and applying, while exciting the cleaning gas into the plasma, a DC voltage to a DC voltage application electrode provided in a region exposed to the plasma. | 11-26-2015 |
20150345028 | OXIDE AND METAL REMOVAL - Methods are described herein for etching metal films which are difficult to volatize. The methods include exposing a metal film to a chlorine-containing precursor (e.g. Cl | 12-03-2015 |
20150371824 | METHOD OF MULTIPLE ZONE SYMMETRIC GAS INJECTION FOR INDUCTIVELY COUPLED PLASMA - Implementations described herein inject feedstock gases into multiple zones of an inductively coupled plasma processing reactor with minimal or no effect on process skew. In one embodiment, an integrated gas and coil assembly is provided that includes an upper surface and a lower surface, a first RF field applicator coil bounded at the upper surface and the lower surface, a second RF field applicator coil circumscribed by the first RF field applicator coil and bounded at the upper surface and the lower surface and an RF shield disposed between the first and second RF field generator wherein the RF shield extends from the lower surface and past the upper surface. The RF shield may have at least one gas channel disposed therethrough. | 12-24-2015 |
20150371827 | BIAS VOLTAGE FREQUENCY CONTROLLED ANGULAR ION DISTRIBUTION IN PLASMA PROCESSING - The angular ion distribution in plasma processing is controlled using a bias voltage frequency. In one example, a plasma containing gas ions is generated in a plasma chamber. The plasma sheath is modified using an aperture disposed between the plasma sheath and the workpiece so that the plasma sheath takes a shape above the aperture. An oscillating radio frequency bias voltage is generated and applied to a workpiece holder. The workpiece holder applies the bias voltage to the workpiece to generate a workpiece bias voltage with respect to the plasma to attract ions across the plasma sheath toward the workpiece. The aperture and the frequency of the bias voltage control an angle at which the ions are attracted toward the workpiece. | 12-24-2015 |
20150380220 | CHAMBER APPARATUS FOR CHEMICAL ETCHING OF DIELECTRIC MATERIALS - Implementations of the disclosure generally provide an improved pedestal heater for a processing chamber. The pedestal heater includes a temperature-controlled plate having a first surface and a second surface opposing the first surface. The temperature-controlled plate includes an inner zone comprising a first set of heating elements, an outer zone comprising a second set of heating elements, the outer zone surrounding the inner zone, and a continuous thermal choke disposed between the inner zone and the outer zone, and a substrate receiving plate having a first surface and a second surface opposing the first surface, the second surface of the substrate receiving plate is coupled to the first surface of the temperature-controlled plate. The continuous thermal choke enables a very small temperature gradient to be created and manipulated between the inner zone and the outer zone, allowing center-fast or edge-fast etching profile to achieve on a surface of the substrate. | 12-31-2015 |
20150380282 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A controller disclosed herein drives, in a first step, a high frequency generating source at a first energy condition, and drives, in a second step, a high frequency generating source at a second energy condition. Prior to a switching time of the first step and the second step, the controller switches gas species supplied from the gas supply system into the processing container, and sets a gas flow rate in an initial period just after the switching to be larger than a gas flow rate in a stabilization period after lapse of the initial period. | 12-31-2015 |
20160013020 | SYSTEMS AND METHODS FOR PRODUCING ENERGETIC NEUTRALS | 01-14-2016 |
20160013030 | APPARATUS AND METHOD FOR EFFICIENT MATERIALS USE DURING SUBSTRATE PROCESSING | 01-14-2016 |
20160013031 | Substrate Processing Device and Method of Handling Particles Thereof | 01-14-2016 |
20160020071 | CONDITIONING REMOTE PLASMA SOURCE FOR ENHANCED PERFORMANCE HAVING REPEATABLE ETCH AND DEPOSITION RATES - Embodiments of the present disclosure generally relate to methods for conditioning an interior wall surface of a remote plasma generator. In one embodiment, a method for processing a substrate is provided. The method includes exposing an interior wall surface of a remote plasma source to a conditioning gas that is in excited state to passivate the interior wall surface of the remote plasma source, wherein the remote plasma source is coupled through a conduit to a processing chamber in which a substrate is disposed, and the conditioning gas comprises an oxygen-containing gas, a nitrogen-containing gas, or a combination thereof. The method has been observed to be able to improve dissociation/recombination rate and plasma coupling efficiency in the processing chamber, and therefore provides repeatable and stable plasma source performance from wafer to wafer. | 01-21-2016 |
20160020073 | PLASMA GENERATION DEVICE, METHOD OF CONTROLLING CHARACTERISTIC OF PLASMA, AND SUBSTRATE PROCESSING DEVICE USING SAME - Provided are a plasma generation device, a method of controlling a characteristic of plasma, and a substrate processing device using the same. The plasma generation device includes a first radio frequency (RF) power supply supplying a first RF signal; a chamber supplying a space in which plasma is generated; a plasma source installed at the chamber, wherein the plasma source receives the first RF signal and generates plasma; a second RF power supply supplying a second RF signal; a direct current (DC) bias power supply supplying a DC bias signal; and an electrode arranged in the chamber, wherein the electrode receives an overlap signal obtained by overlapping the second RF signal and the DC bias signal and controls a characteristic of the plasma. | 01-21-2016 |
20160027619 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus of an embodiment includes a chamber, an introducing part, a substrate electrode, a high-frequency power source, a low-frequency power source, and a switching mechanism. The introducing part introduces a process gas into the chamber. The substrate electrode is disposed in the chamber, a substrate is directly or indirectly mounted on the substrate electrode, and the substrate electrode includes a first and a second electrode elements alternately arranged. The high-frequency power source outputs a high-frequency voltage of 40 MHz or more for ionizing the process gas to generate plasma. The low-frequency power source outputs a low-frequency voltage of 20 MHz or less for introducing ions from the plasma. The switching mechanism applies the low-frequency voltage alternately to the first and the second electrode elements. | 01-28-2016 |
20160042918 | ETCHING METHOD OF MULTILAYERED FILM - Verticality of a space formed in the multilayered film can be improved while suppressing an opening of a mask from being clogged. The multilayered film includes a first film and a second film that have different permittivities and are alternately stacked on top of each other. An etching method of etching the multilayered film includes preparing, within a processing vessel of a plasma processing apparatus, a processing target object having the multilayered film and a mask provided on the multilayered film; and etching the multilayered film by exciting a processing gas containing a hydrogen gas, a hydrofluorocarbon gas, a fluorine-containing gas, a hydrocarbon gas, a boron trichloride gas and a nitrogen gas within the processing vessel. | 02-11-2016 |
20160042919 | ETCHING METHOD OF MULTILAYERED FILM - An etching method of etching a multilayered film includes etching a multilayered film by generating plasma within a processing vessel of a plasma processing apparatus. In the etching of the multilayered film, a first processing gas containing a hydrogen gas, a hydrogen bromide gas, a fluorine-containing gas, a hydrocarbon gas, a hydrofluorocarbon gas and a fluorocarbon gas is supplied from a first supply unit configured to supply a gas toward a central region of the processing target object and a second supply unit configured to supply a gas toward outer region than the central region; a second processing gas containing a hydrocarbon gas and a fluorocarbon gas is supplied from either one of the first supply unit and the second supply unit; and the first processing gas and the second processing gas are excited. | 02-11-2016 |
20160047040 | NOZZLE FOR UNIFORM PLASMA PROCESSING - A nozzle for uniform plasma processing comprises an inlet portion and an outlet portion. The inlet portion has a side surface substantially parallel to a vertical axis. The inlet portion comprises a plurality of gas channels. The outlet portion is coupled to the inlet portion. The outlet portion comprises a plurality of outlets. At least one of the outlets is at an angle other than a right angle relative to the vertical axis. | 02-18-2016 |
20160064192 | METHOD FOR SUPPLYING GAS, AND PLASMA PROCESSING APPARATUS - In the exemplary embodiment, a method for supplying a gas is provided. This method includes supplying a processing gas to each of a central gas inlet portion and a peripheral gas inlet portion through a first branch line and a second branch line; closing a valve at a downstream side in a gas line for an additional gas, and filling the additional gas in a tube between the valve and an upstream flow rate controller; opening the valve after filling the additional gas, and supplying a high frequency power to one of an upper electrode and a lower electrode from a high frequency power supply after opening the valve. | 03-03-2016 |
20160068969 | INTEGRATED PROCESSING FOR MICROCONTAMINATION PREVENTION - Methods of preventing microcontamination from developing on substrates when the substrates are removed from a substrate processing system are described. During processing in the substrate processing mainframe, fluorine adatoms are present (perhaps left by a prior process in the mainframe) on the surface of the substrate. The fluorine adatoms develop into microcontamination upon exposure to typical atmospheric conditions. A hydrogen-containing precursor is flowed into a remote plasma region to form plasma effluents. The plasma effluents are flowed into a substrate processing region to remove or react with the fluorine adatoms in a treatment operation. Following the treatment operation, the concentration of fluorine on or near the surface is reduced and the development of microcontamination after breaking vacuum is curtailed. | 03-10-2016 |
20160099132 | ULTRA-HIGH SPEED ANISOTROPIC REACTIVE ION ETCHING - A system and method for reactive ion etching (RIE) system of a material is provided. The system includes a plasma chamber comprising a plasma source and a gas inlet, a diffusion chamber comprising a substrate holder for supporting a substrate with a surface comprising the material and a gas diffuser, and a source of a processing gas coupled to the gas diffuser. In the system and method, at least one radical of the processing gas is reactive with the material to perform etching of the material, the gas diffuser is configured to introduce the processing gas into the processing region, and the substrate holder comprises an electrode that can be selectively biased to draw ions generated by the plasma source into the processing region to interact with the at least one processing gas to generate the at least one radical at the surface. | 04-07-2016 |
20160121366 | MAKING IMPRINTED MULTI-LAYER BIOCIDAL PARTICLE STRUCTURE - A method of making a multi-layer biocidal structure includes providing a support and locating a first curable layer on the support. A second curable layer is located on the first curable layer, the second curable layer having multiple biocidal particles dispersed within the second curable layer. The first curable layer and the second curable layer are imprinted in a single step with an imprinting stamp having a structure with a depth greater than the thickness of the second curable layer. The first curable layer and the second curable layer are cured in a single step to form a first cured layer and a second cured layer. The imprinting stamp is removed. | 05-05-2016 |
20160121593 | USING IMPRINTED MULTI-LAYER BIOCIDAL PARTICLE STRUCTURE - A method of using a multi-layer biocidal structure includes providing a multi-layer biocidal structure that includes a support and a structured bi-layer on or over the support, the structured bi-layer including a first cured layer on or over the support and a second cured layer on or over the first cured layer on a side of the first cured layer opposite the support. The structured bi-layer has at least one depth greater than the thickness of the second layer and multiple biocidal particles located only in the second cured layer. The multi-layer biocidal structure is located on a surface. | 05-05-2016 |
20160121594 | USING IMPRINTED PARTICLE STRUCTURE - A method of using a multi-layer biocidal structure includes providing a multi-layer biocidal structure having a support and a structured bi-layer on or over the support. The structured bi-layer includes a first cured layer on or over the support and a second layer in a spatial relationship to the first cured layer on a side of the first cured layer opposite the support. The structured bi-layer has at least one depth greater than the thickness of the second layer and multiple biocidal particles located only in the second layer. The multi-layer biocidal structure is located on a surface. | 05-05-2016 |
20160122579 | SILICON CONTAINING BLOCK COPOLYMERS FOR DIRECT SELF-ASSEMBLY APPLICATION - The present invention relates to a novel diblock copolymer comprising a repeat unit ( | 05-05-2016 |
20160126064 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a high frequency antenna having first and second antenna elements. One end of the first antenna element is grounded and the other end thereof is connected to a high frequency power supply. One end of the second antenna element is an open end and the other end thereof is connected to either one of the one end and the other end of the first antenna element, a line length of the second antenna element having a value obtained by multiplying ((λ/4)+nλ/2) by a fractional shortening (λ is a wavelength of high frequency in vacuum and n is a natural number). A circuit viewed from the high frequency power supply toward the high frequency antenna is configured to generate, when a frequency of a high frequency power is changed, two resonant frequencies by an adjustment of the impedance adjustment unit. | 05-05-2016 |
20160126071 | METHOD OF ETCHING ORGANIC FILM - An organic film can be etched while suppressing damage on an underlying layer. A method of etching the organic film includes etching the organic film within a processing vessel of a plasma processing apparatus which accommodates a processing target object. A processing gas containing a hydrogen gas and a nitrogen gas is supplied into the processing vessel, and plasma of the processing gas is generated. Further, a flow rate ratio of the hydrogen gas to a flow rate of the processing gas is set to be in a range from 35% to 75%, and a high frequency bias power for ion attraction to the processing target object is set to be in a range from 50 W to 135 W, in the etching of the organic film. | 05-05-2016 |
20160133441 | ETCH ENHANCEMENT VIA CONTROLLED INTRODUCTION OF CHAMBER CONTAMINANTS - Embodiments of methods for removing materials from a substrate are provided herein. In some embodiments, a method of controlling contaminants in a process chamber may include flowing a first gas into the process chamber during an interval between completion of a process and start of a subsequent process in the process chamber to remove the contaminants from the process chamber; and flowing a second gas into the process chamber at a specific flow rate during the subsequent process to generate a same species as the contaminants. | 05-12-2016 |
20160141152 | METHOD AND SYSTEM FOR MODIFYING A SUBSTRATE USING A PLASMA - A method and system of modifying a substrate using a plasma are described comprising providing a first electrode and a second electrode; arranging the substrate such that a portion of the substrate is between the electrodes; supplying a voltage to at least one of the electrodes so as to create a plasma discharge between the electrodes which contacts at least said portion of the substrate, moving either the substrate and/or said second electrode such that said substrate and said second electrode are being linearly displaced relative to each other along an axis of linear displacement during said movement; and wherein said second electrode is arranged relative to said axis of linear displacement such that said linear movement causes a first section of the portion of substrate to have a greater residence time between the electrodes during said linear displacement than a second section of said portion of the substrate. A method and system of modifying a substrate using a plasma is also described comprising providing a first electrode and a second electrode; arranging the substrate such that a portion of the substrate is between the electrodes; supplying a voltage to at least one of the electrodes so as to create a plasma discharge between the electrodes which contacts at least said portion of the substrate, moving either the substrate and/or said second electrode such that said substrate and said second electrode are being linearly displaced relative to each other along an axis of linear displacement during said movement; and further comprising the step of rotating either the substrate or said second electrode about an axis of rotation during said relative linear displacement along said axis, so that a first section of the portion of substrate has a greater residence time between the electrodes than a second section of said portion of substrate. | 05-19-2016 |
20160176755 | TRANSFER OF MONOLAYER GRAPHENE ONTO FLEXIBLE GLASS SUBSTRATES | 06-23-2016 |
20160181072 | PLASMA GENERATING DEVICE WITH MOVING CAROUSEL AND METHOD OF USE | 06-23-2016 |
20160196957 | PLASMA PROCESSING METHOD | 07-07-2016 |
20160254126 | APPARATUS AND METHODS FOR MODIFYING WEBS OF MATERIAL WITH PLASMA | 09-01-2016 |
20160379803 | SELECTIVE REMOVAL OF BORON DOPED CARBON HARD MASK LAYERS - Systems and methods for processing a substrate include arranging a substrate including a film layer on a substrate support in a processing chamber. The film layer includes a boron doped carbon hard mask. A plasma gas mixture is supplied and includes molecular hydrogen, nitrogen trifluoride, and a gas selected from a group consisting of carbon dioxide and nitrous oxide. Plasma is struck in the processing chamber or supplied to the processing chamber for a predetermined stripping period. The plasma strips the film layer during the predetermined stripping period and the plasma is extinguished. | 12-29-2016 |
20190148116 | ETCH PROCESS WITH ROTATABLE SHOWER HEAD | 05-16-2019 |
20220139672 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - There is a plasma processing apparatus comprising: a chamber; a substrate support provided in the chamber, the substrate support including a bias electrode; a plasma generator configured to generate plasma from a gas in the chamber; and a bias power supply electrically connected to the bias electrode and configured to generate a sequence of a plurality of voltage pulses applied to the bias electrode, wherein each of the plurality of voltage pulses has a leading edge period in which the voltage pulse transitions from a reference voltage level to a pulse voltage level and a trailing edge period in which the voltage pulse transitions from the pulse voltage level to the reference voltage level, and at least one of a time length of the leading edge period and a time length of the trailing edge period is greater than 0 seconds and less than or equal to 0.5 microseconds. | 05-05-2022 |