Entries |
Document | Title | Date |
20080197368 | Optoelectronic Component and Package For an Optoelectronic Component - Optoelectronic components with a semiconductor chip, which is suitable for emitting primary electromagnetic radiation, a basic package body, which has a recess for receiving the semiconductor chip and electrical leads for the external electrical connection of the semiconductor chip and a chip encapsulating element, which encloses the semiconductor chip in the recess. The basic package body is at least partly optically transmissive at least for part of the primary radiation and an optical axis of the semiconductor chip runs through the basic package body. The basic package body comprises a luminescence conversion material, which is suitable for converting at least part of the primary radiation into secondary radiation with wavelengths that are at least partly changed in comparison with the primary radiation. | 08-21-2008 |
20080197369 | Double flip semiconductor device and method for fabrication - A double flip-chip semiconductor device formed by a double flip fabrication process. Epitaxial layers are grown on a substrate in the normal fashion with the n-type layers grown first and the p-type layers grown subsequently. The chip is flipped a first time and mounted to a sacrificial layer. The original substrate is removed, exposing the n-type layer, and various additional layers and treatments are added to the device. Because the n-type layer is exposed during fabrication, the layer may be processed in various ways including adding a reflective element, texturing the surface or adding microstructures to the layer to improve light extraction. The chip is flipped a second time and mounted to a support element. The sacrificial layer is then removed and additional layers and treatment are added to the device. The finished device features a configuration in which the layers maintain the same orientation with respect to the support element that they had with the original substrate on which they were grown. Processing the n-type layers, rather than the p-type layers as in a single flip process, provides greater design flexibility when selecting features to add to the device. Thus, previously unavailable processes and reflective elements may be utilized, enhancing the external quantum efficiency of the device. | 08-21-2008 |
20080197370 | LIGHT EMITTING DIODE STRUCTURE AND MANUFACTURING METHOD THEREOF - A light emitting diode structure has a silicon substrate, a conductive layer, and a light emitting diode. The top surface of the silicon substrate has a cup-structure like paraboloid, and the bottom of the cup-structure has a plurality of through-holes penetrating the silicon substrate. The conductive layer fills up the through-holes and protrudes out from the through-holes. The light emitting diode is disposed on the top of the conductive layer protruding out from the through-holes and is located at the focus of the cup-structure. | 08-21-2008 |
20080197371 | Electro-Optical Element with Controlled, in Particular Uniform Functionality Distribution - For the economical and straightforward production of a flat electro-optical element which has a functional surface with a defined, in particular homogeneous functionality distribution, the invention provides a method comprising the provision of a substrate, the application of a first electrode layer, the application of at least one functional layer, the application of a second electrode layer, and the application of at least one resistance matching layer which has an electrical resistance perpendicularly to the layer plane that varies in at least one horizontal direction along the layer plane. | 08-21-2008 |
20080197372 | SEMICONDUCTOR STRUCTURES AND METHOD FOR FABRICATING THE SAME - A semiconductor structure is provided. The semiconductor structure includes a substrate, a gate disposed thereon, an insulation layer disposed on the substrate and overlying the gate, a patterned semiconductor layer disposed on the insulation layer, a source and a drain disposed on the patterned semiconductor layer, a protective layer overlying the insulation layer, the source and the boundary of the drain to expose a portion of the drain, and a pixel electrode disposed on the substrate, overlying the protective layer overlying the boundary of the drain, electrically connected to the exposed drain. | 08-21-2008 |
20080197373 | Light Emitting Diode - The present invention provides a light emitting diode which comprises a substrate, a light emitting layer including an N-type semiconductor layer and a P-type semiconductor layer formed on the substrate, and a wavelength conversion layer formed on the light emitting layer or on the back of the substrate. The wavelength conversion layer is formed of a Group in nitride semiconductor doped with rare earth elements. The rare earth elements include at least one of Tm, Er and Eu. According to a light emitting diode of the present invention, a desired color can be implemented in various ways by converting the wavelength of primary light emitted from a light emitting chip. Thus, the reliability and quality of products can be improved due to the uniform emission of light with a desired color. Further, since the existing semiconductor process can be utilized in the present invention, its fabrication process can be simplified, process cost and time can be reduced, and the compact products can be obtained. | 08-21-2008 |
20080203410 | Methods for the Production of Luminescent Diode Chips and Luminescent Diode Chip - The invention relates to a method of making LED chips provided with a luminescence conversion material containing at least one phosphor. In the method, a layer composite is prepared that includes an LED layer sequence for a multiplicity of LED chips and comprises on a main surface at least one electrical contact surface for each LED chip, for electrically connecting said chip. A layer of adhesion promoter is applied to the main surface and selectively removed from at least portions of the contact surfaces. At least one phosphor is then applied to the main surface. Alternatively, a luminescence conversion material is applied to the main surface and selectively removed from at least portions of the contact surfaces. The invention also relates to an LED chip provided with a luminescence conversion material. | 08-28-2008 |
20080203411 | Direct attachment of optically-active device to optical element - A system may include biasing of a substantially planar surface of an optically-active semiconductor device against a substantially planar surface of an optical element, and bonding of the substantially planar surface of the optically-active semiconductor device to the substantially planar surface of the optical element. | 08-28-2008 |
20080203412 | LED assembly with molded glass lens - A LED assembly with a molded glass lens includes a base, at least one LED chip and a cover lens. The LED assembly feature on that the cover lens consists of a molded glass lens and a transparent resin layer. A molded glass lens is covered on a loading surface of the base and then liquid transparent resin is filled into space between an inner surface of the molded glass lens and the loading surface through preset filling holes to coat the LED chip completely. After hardening, the transparent resin integrated with the molded glass lens so as to replace conventional cover lens made from transparent resin. Therefore, not only thermal resistance, weather resistance, discoloration resistance, and mechanical strength of the LED assembly are increased, but the brightness and light-emitting efficiency of the LED assembly are also improved. | 08-28-2008 |
20080203413 | Optoelectronic Components With Adhesion Agent | 08-28-2008 |
20080203414 | WHITE LIGHT LED DEVICE - Light-emitting diode (LED) devices which can produce a uniform white light with a broad emission spectrum and a high color rendering index (CRI) are provided. For example, the emission spectrum of LED devices as described herein may provide more red light and yield a higher CRI light when compared to conventional white LEDs. For some embodiments, the various lights emitted from different layers of the LED device may mix at a light-scattering encapsulation layer and become a uniform white light. | 08-28-2008 |
20080203415 | LED DEVICES HAVING LENSES AND METHODS OF MAKING SAME - Disclosed herein are LED devices having lenses and methods of making the devices. The LED devices are made using an optical layer comprising a plurality of lens features. The optical layer is disposed relative to the LED die such that at least one LED die is optically coupled to at least one lens feature. A lens can then be made from the lens feature and excess optical layer removed to provide the device. | 08-28-2008 |
20080203416 | SURFACE MOUNTING TYPE LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - This invention provides a surface mounting type light emitting diode excellent in heat radiation performance, reliability and productivity. The surface mounting type light emitting diode includes a metallic base member, a semiconductor light emitting element having a bottom face fixedly bonded to a top face of the base member, and a metallic reflector joined to the top face of the base member with a heat conduction type adhesive sheet interposed therebetween, to surround the semiconductor light emitting element. Heat generated from the semiconductor light emitting element is transferred to the reflector via the base member and the heat conduction type adhesive sheet, and then is radiated to the outside. The metallic reflector can efficiently radiate the heat to the outside. The cutting margin provided for the reflector facilitates a dicing process, which improves productivity. | 08-28-2008 |
20080203417 | SURFACE MOUNTING TYPE LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - This invention provides a surface mounting type light emitting diode excellent in heat radiation performance, reliability and productivity. The surface mounting type light emitting diode includes an insulating base member, a semiconductor light emitting element having a bottom face fixedly bonded to a top face of the base member, and a metallic reflector joined to the top face of the base member with a heat conduction type adhesive sheet interposed therebetween, to surround the semiconductor light emitting element. Heat generated from the semiconductor light emitting element is transferred to the reflector via the base member and the heat conduction type adhesive sheet, and then is radiated to the outside. The metallic reflector can efficiently radiate the heat to the outside. The cutting margin provided for the reflector facilitates a dicing process, which improves productivity. | 08-28-2008 |
20080203418 | Semiconductor Device - A semiconductor device comprises an active layer having a quantum well structure, the active layer including a well layer and a barrier layer and being sandwiched by a first conductivity type layer and a second conductivity type layer, wherein a first barrier layer is provided on side of the first conductivity type layer in the active layer and a second barrier layer is provided on the side of the second conductivity type layer in the active layer, at least one well layer is sandwiched thereby, and the second barrier layer has a band gap energy lower than that of the first barrier layer in the form of asymmetric barrier layer structure, where the second conductivity type layer preferably includes a carrier confinement layer having a band gap energy higher than that of the first barrier layer, resulting in a reverse structure in each of conductivity type layer in respect to the asymmetric structure of the active layer to provide a waveguide structure having excellent crystallinity and device characteristics in the nitride semiconductor light emitting device operating at a wavelength of 380 nm or shorter. | 08-28-2008 |
20080203419 | Semiconductor Light Emitting Apparatus - A semiconductor light emitting apparatus can be configured to reduce color variations and intensity variations with a simple configuration. The semiconductor light emitting apparatus can include a substrate having conductive members including chip mounting areas and electrode areas, a plurality of semiconductor light emitting device chips mounted in the chip mounting areas on the substrate, a reflector formed on this substrate so as to surround the semiconductor light emitting device chips, and a fluorescent material and a light diffusing material arranged distributedly inside this reflector. The semiconductor light emitting apparatus can be configured so that the semiconductor light emitting device chips emit light only from their top surfaces, and a first light transmitting resin containing the fluorescent material is applied only to the top surfaces of the semiconductor light emitting device chips. | 08-28-2008 |
20080210961 | Light emitting device - A light emitting device includes: a die-mounting base having a mounting surface; a light emitting diode mounted on the mounting surface of the die-mounting base and having a top surface facing in a normal direction normal to the mounting surface of the die-mounting base; a first wavelength-converting layer of a first wavelength-converting material formed on the mounting surface of the die-mounting base, enclosing the light emitting diode, and having a top surface; and a second wavelength-converting layer of a second wavelength-converting material formed on the top surface of the first wavelength-converting layer and having a top surface that is aligned with the top surface of the light emitting diode in the normal direction, and that has an area smaller than the top surface of the first wavelength-converting layer and not smaller than the top surface of the light emitting diode. | 09-04-2008 |
20080210962 | Illumination Device - An illumination device is specified which comprises an optoelectronic component having a housing body and at least one semiconductor chip provided for generating radiation, and a separate optical element, which is provided for fixing at the optoelectronic component and has an optical axis, the optical element having a radiation exit area and the radiation exit area having a concavely curved partial region and a convexly curved partial region, which at least partly surrounds the concavely curved partial region at a distance from the optical axis, the optical axis running through the concavely curved partial region. | 09-04-2008 |
20080210963 | LIGHT EMITTING DIODE PACKAGE STRUCTURE AND METHOD OF MAKING THE SAME - A light emitting diode package structure has a silicon substrate, a plurality of cup-structures on the silicon substrate, a plurality of conductive patterns disposed on the silicon substrate, one of a plurality of light emitting diodes respectively disposed on each cup-structure and a plurality of wires electrically connected to the light emitting diodes and the conductive patterns. The light emitting diodes are electrically connected in series through the conductive wires and the conductive patterns. | 09-04-2008 |
20080210964 | OPTICAL SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING OPTICAL SEMICONDUCTOR DEVICE - An optical semiconductor device includes: a base substrate which has a concave portion; a light-emitting element which is provided in the concave portion, and which emits light; a prevention member which is provided to the base substrate in a manner of covering a side surface of the concave portion, and which prevents the light emitted by the light-emitting element from being incident on the side surface of the concave portion; and a translucent member which is provided in the concave portion, and which seals the light-emitting element. | 09-04-2008 |
20080210965 | Light-emitting diode incorporation the packing nano particules with high refractive index - Light-emitting diode packages with very high light extraction efficiency are disclosed. The packages utilize the intrinsically optically transparent nano particles with high refractive index, by the correct way of homogeneous packing, or adding additional transparent substance in the interspaces among the nano particles furthermore, to form a nano light-extracting layer with high refractivity which contacts optically with the diode surface to extract the light. By this method, because the refractive index difference between the light-extracting layer and the diode crystal turns to be small, the critical internal total reflection angle of the light on the interface increases much, it means large reduction on the internal total reflection of the light. Then the light extraction efficiency of the package can be increased significantly. | 09-04-2008 |
20080210966 | Light Emitting Device - A light emitting device is configured to prevent leakage of light but can be reduced in thickness as compared with conventional devices, and can effectively prevent degradation in luminous flux (luminous flux drop). The light emitting device can include a light emitting element, an optically transparent sealing resin having a pair of faces opposed to each other with an axis of light emitting direction of the light emitting device interposed therebetween. The sealing resin can cover the light emitting element and be mixed with a wavelength converting material. A reflective film can be provided on at least one of the pair of opposed faces of the sealing resin. The reflective film can be a white coating containing a white pigment in a concentration of 23 wt % to 54 wt % and formed to be 14 μm to 50 μm in thickness. | 09-04-2008 |
20080210967 | LIGHT EMITTING DIODE AND METHOD FOR MAKING THE SAME - A light emitting diode includes: a first semiconductor layer; a second semiconductor layer formed on the first semiconductor layer; a light-converting pattern of a phosphor material formed on the second semiconductor layer; and a reflective layer of a metallic material formed on the second semiconductor layer and enclosing the light-converting pattern. | 09-04-2008 |
20080210968 | LIGHT-EMITTING DIODE - A light-emitting diode includes: a light-transmitting substrate; a light-emitting element mounted on the upper surface of the light-transmitting substrate; and a light-transmitting resin that seals the light-emitting element. The outer surface of the light-transmitting resin is covered with a reflecting layer formed from a silver or aluminum thin film. The light emitted from the light-emitting element is reflected from the reflecting layer, and the reflected light is guided out from the lower surface of the light-transmitting substrate in an efficient manner with minimum wastage of light intensity, and thus, high luminance light can be emitted. | 09-04-2008 |
20080210969 | Fabrication of Semiconductor Devices for Light Emission - A semiconductor device for light emission having a plurality of epitaxial layers with an n-type layer for light emission and a p-type layer for light reflection. The p-type layer has at least one seed layer for an outer layer of a conductive metal. The at least at least one seed layer is a material for providing a buffer for differential thermal expansion of the outer layer and the light reflecting layer. | 09-04-2008 |
20080217633 | LIGHT EMITTING DIODE STRUCTURE - Disclosed is a new LED structure comprising a substrate, and a light emitting die entrained on the substrate. The substrate is made of the lower temperature co-fired ceramic or high temperature co-fired ceramic. The substrate is provided with a printed circuit which can be electrically in connection with an electric circuit board when it entrains the light emitting die. On the surface of the substrate where the light emitting die is to be set, is formed of a flared annular groove which has a light reflection pallet affixed to its lower surface. The surface of the light reflection pallet is coated with the silver glue, fluorescent powder, or other metallic substances. Under the annular groove and above the substrate is interposed an insulation bottom plate to entrain the light emitting die on and the die is connected to the positive and negative electrodes of the substrate with conductors. A number of pores are formed in the substrate and filled with heat dissipation substances. | 09-11-2008 |
20080217634 | VERTICAL LIGHT-EMITTING DIODE STRUCTURE WITH OMNI-DIRECTIONAL REFLECTOR - A vertical light-emitting diode (VLED) structure with an omni-directional reflector (ODR) that may offer increased light extraction and greater luminous efficiency when compared to conventional VLEDs is provided. | 09-11-2008 |
20080217635 | Light emitting devices having current reducing structures and methods of forming light emitting devices having current reducing structures - A light emitting device includes a p-type semiconductor layer, an n-type semiconductor layer, and an active region between the n-type semiconductor layer and the p-type semiconductor layer. A non-transparent feature, such as a wire bond pad, is on the p-type semiconductor layer or on the n-type semiconductor layer opposite the p-type semiconductor layer, and a reduced conductivity region is in the p-type semiconductor layer or the n-type semiconductor layer and is aligned with the non-transparent feature. The reduced conductivity region may extend from a surface of the p-type semiconductor layer opposite the n-type semiconductor layer towards the active region and/or from a surface of the n-type semiconductor layer opposite the p-type semiconductor layer towards the active region. | 09-11-2008 |
20080217636 | Electroluminescence Device - An electroluminescence device comprising at least one electroluminescence light source ( | 09-11-2008 |
20080217637 | Light Emitting Diode and Method of Fabricating the Same - The present invention relates to a light emitting diode and a method of fabricating the same, wherein the distance between a fluorescent substance and a light emitting diode chip is uniformly maintained to enhance luminous efficiency. To this end, there is provided a light emitting diode comprising at least one light emitting diode chip, lead terminals for use in applying electric power to the light emitting diode chip, and a frame that is used for mounting the light emitting diode chip thereon and is formed to have a predetermined height and a shape corresponding to that of the light emitting diode chip. | 09-11-2008 |
20080217638 | Semiconductor Light Emitting Device and Fabrication Method Thereof - A semiconductor light emitting device includes a first semiconductor layer having a bottom surface with uneven patterns, an active layer formed on the first semiconductor layer, a second semiconductor layer formed on the active layer, a second electrode formed on the second semiconductor layer, and a first electrode formed under the first semiconductor layer. | 09-11-2008 |
20080217639 | Photonic crystal light emitting device using photon-recycling - A photonic crystal light emitting device including: a light emitting diode (LED) light emitting structure including a first conductive semiconductor layer, a second conductive semiconductor layer, and an active layer interposed between the first and second conductive semiconductor layers; and a first photon-recycling light emitting layer formed on one surface of the first conductive semiconductor layer, opposite to the active layer, wherein the first photon-recycling light emitting layer absorbs a primary light emitted from the LED light emitting structure and emits a light having a different wavelength from that of the primary light, and a photonic crystal structure is formed on an entire thickness of the first photon-recycling light emitting layer. | 09-11-2008 |
20080217640 | Semiconductor Light emitting device, LED package using the same, and method for fabricating the same - A semiconductor light emitting device is provided which can prevent the reflectance of a metal film from deteriorating due to heat aging and can prevent wire bonding performance of the semiconductor light emitting element from deteriorating due to the diffusion of Ni contained in a Ni barrier metal layer to the reflection layer during die-bonding of the semiconductor light emitting element. The semiconductor light emitting device includes a metal film formed on a substrate and a semiconductor light emitting element. The metal film includes a barrier metal layer configured to prevent a predetermined material from being diffused into the substrate, a metal layer formed on the barrier metal layer; and a reflection layer formed on the metal layer. The reflection layer is configured to reflect light emitted from the semiconductor light emitting element, and the metal layer is made of Ti or Pd. | 09-11-2008 |
20080217641 | LIGHT EMITTING DEVICES HAVING A ROUGHENED REFLECTIVE BOND PAD AND METHODS OF FABRICATING LIGHT EMITTING DEVICES HAVING ROUGHENED REFLECTIVE BOND PADS - Light emitting devices include an active region of semiconductor material and a first contact on the active region. The first contact is configured such that photons emitted by the active region pass through the first contact. A photon absorbing wire bond pad is provided on the first contact. The wire bond pad has an area less than the area of the first contact. A reflective structure is disposed between the first contact and the wire bond pad such that the reflective structure has substantially the same area as the wire bond pad. A second contact is provided opposite the active region from the first contact. The reflective structure may be disposed only between the first contact and the wire bond pad. Methods of fabricating such devices are also provided. | 09-11-2008 |
20080224156 | Luminescent Diode Provided with a Reflection- Reducing Layer Sequence - A luminescence diode ( | 09-18-2008 |
20080224157 | GRADED DIELECTRIC LAYER - An optoelectronic device includes a passivation layer of a dielectric material having a graded composition that varies with depth, whether continuous or stepwise, to provide a first index of refraction proximate to a semiconductor or conductor material and provide a second index of refraction adjacent to a surrounding material, such as an encapsulant. The resulting graded dielectric layer reduces Fresnel losses by reducing index of refraction mismatches between the adjacent semiconductor or conductor layer and the surrounding medium. Methods for forming graded dielectric layers include supplying a nitrogen-containing source gas at a declining flow rate or concentration, while supplying an oxygen-containing source gas an rising flow rate or concentration, to a deposition chamber. | 09-18-2008 |
20080224158 | Light Emitting Device With Undoped Substrate And Doped Bonding Layer - A light emitting device having a stack of layers bonded to an undoped substrate with a doped layer between the stack of layers and the undoped substrate. The stack of layers include a layer of first conductivity type over the doped layer, an overlying light emitting layer and a layer of second conductivity type. In one embodiment, the doped substrate is grown on a sacrificial substrate along with the remaining stack of layers prior to bonding to the undoped substrate. Electrical contacts are coupled to device on a side opposite the undoped substrate. In one embodiment, the layers of first conductivity, the light emitting layer, and the layer of second conductivity are removed to expose the doped layer and a first electrical contact is coupled to the layer of first conductivity through the doped substrate, while a second electrical contact is coupled to the layer of second conductivity. | 09-18-2008 |
20080224159 | Optical Element, Optoelectronic Component Comprising Said Element, and the Production Thereof - The invention relates to an optical element ( | 09-18-2008 |
20080224160 | High-power light emitting diode and method of manufacturing the same - Provided is a method of manufacturing a high-power LED package, the method including the steps of: preparing a mold having an irregularity pattern; providing a transparent resin solid having an irregularity pattern provided on the surface thereof by using the mold; preparing an irregularity film with the irregularity pattern by cutting a portion of the transparent resin solid; preparing an LED package structure having a cavity in which an LED chip is mounted; filling transparent liquid resin into the cavity having the LED chip mounted therein; mounting the irregularity film on the transparent liquid resin such that the irregularity film projects from the cavity at a predetermined height; and curing the transparent liquid resin having the irregularity film mounted thereon. The irregularity pattern of the irregularity film projects from the cavity at a predetermined height. | 09-18-2008 |
20080224161 | Semiconductor Light Emitting Device and Multiple Lead Frame for Semiconductor Light Emitting Device - A semiconductor light emitting device that is excellent in radiating heat and that can be molded into a sealing shape having intended optical characteristics by die molding is provided. The semiconductor light emitting device includes: a lead frame including a plate-like semiconductor light emitting element mounting portion having an LED chip mounted on a main surface, and a plate-like metal wire connecting portion extending over a same plane as the semiconductor light emitting element mounting portion; a metal wire electrically connecting the LED chip and the metal wire connecting portion; a thermosetting resin molded by die molding or dam-sheet molding so as to completely cover the LED chip and the metal wire; and a resin portion provided to surround the lead frame and having the thickness not greater than the thickness of the lead frame. | 09-18-2008 |
20080224162 | Light emitting diode package - A light emitting diode (LED) package including: an LED chip; a first lead frame having a heat transfer unit with a top where a groove for stably mounting the LED chip is formed; a second lead frame disposed separately from the first lead frame; a package body having a concave portion encapsulating a portion of the heat transfer unit and the second lead frame but exposing a portion of the top of the heat transfer unit and a portion of the lead frame, and a ring-shaped portion extended in a ring shape along an inner wall of the groove of the heat transfer unit and forming an aperture in a center thereof; and a phosphor layer formed on the aperture of the ring-shaped portion and applied to the LED chip, wherein the LED chip is disposed in the inside of the aperture of the ring-shape portion. | 09-18-2008 |
20080224163 | LIGHT EMITTING DEVICE - A light emitting device can be characterized as including a light emitting diode configured to emit light and a phosphor configured to change a wavelength of the light. The phosphor substantially covers at least a portion of the light emitting diode. The phosphor includes a compound having a host material. Divalent copper ions and oxygen are components of the host material. | 09-18-2008 |
20080224164 | Light Emitting Device with a Nanocrystalline Silicon Embedded Insulator Film - A light emitting device using a silicon (Si) nanocrystalline Si insulating film is presented with an associated fabrication method. The method provides a doped semiconductor or metal bottom electrode. Using a high density plasma-enhanced chemical vapor deposition (HDPECVD) process, a Si insulator film is deposited overlying the semiconductor electrode, having a thickness in a range of 30 to 200 nanometers (nm). For example, the film may be SiOx, where X is less than 2, Si3Nx, where X is less than 4, or SiCx, where X is less than 1. The Si insulating film is annealed, and as a result, Si nanocrystals are formed in the film. Then, a transparent metal electrode is formed overlying the Si insulator film. An annealed Si nanocrystalline SiOx film has a turn-on voltage of less than 20 volts, as defined with respect to a surface emission power of greater than 0.03 watt per square meter. | 09-18-2008 |
20080230795 | LIGHT EMITTING DIODE - A light emitting diode and a method of producing white light from the light emitting diode with an active region producing an emission falling in a primary wavelength range. A first part of the active region covered with a first conversion element for converting the emission falling in the primary wavelength range to an emission falling in a second wavelength range. A remaining second part of the active region covered with a second conversion element for converting the emission falling in the primary wavelength rage to an emission falling in a third wavelength range. The light emitting diode is configured to control the intensity of the emission falling in the primary wavelength range to control the color point of the white light generated by mixing the emissions falling the second wavelength range and the third wavelength range. The LED | 09-25-2008 |
20080230796 | Surface mount type light-emitting diode package device and light-emitting element package device - The present invention discloses a surface mount type light-emitting diode package device and a light-emitting element package device. In the device, the encapsulation layer comprises an encapsulation material and at least one material having a refraction index different from the encapsulation material distributed therein. The distribution of the material having a refraction index different from the encapsulation material is in a way such that the refraction index of the encapsulation layer is gradually reduced from the bottom portion upward to the top portion or the inner portion outward to the outer portion of the encapsulation layer. Accordingly, a difference between the refraction indexes of two adjoining media can be reduced to eliminate a total reflection and the Fresnel loss and enhance light extraction efficiency. | 09-25-2008 |
20080237617 | Adhesive Sheet for Light-Emitting Diode Device and Light-Emitting Diode Device - [Problem] To provide an adhesive sheet which is used for a light-emitting diode device, and which is free from cracks and peeling off of the adhered portions. [Means for Solving the Problem] An adhesive sheet for a light-emitting diode device, which comprises a thermoplastic polymer containing epoxy groups and a compound containing functional groups which are addition reactive with the epoxy groups or a polymerization catalyst which can effect a ring opening polymerization of the epoxy groups, and in which said thermoplastic polymer is cross-linked so that its flowability is restrained. | 10-02-2008 |
20080237618 | LIGHT EMITTING DIODE MODULE AND MANUFACTURING METHOD THEREOF - A light emitting diode (LED) module. The LED module includes: an LED chip, for emitting a light beam; a packaging structure, for packaging the LED chip; and a light direction changing unit, connected to the packaging structure, for changing a direction of the light beam, wherein the light direction changing unit has a base material and at least a photoluminescent material, and the photoluminescent material is mixed within the base material to form the light direction changing unit. | 10-02-2008 |
20080237619 | LED with Porous Diffusing Reflector - In one embodiment, an AlInGaP LED includes a bottom n-type layer, an active layer, a top p-type layer, and a thick n-type GaP layer over the top p-type layer. The thick n-type GaP layer is then subjected to an electrochemical etch process that causes the n-type GaP layer to become porous and light-diffusing. Electrical contact is made to the p-GaP layer under the porous n-GaP layer by providing metal-filled vias through the porous layer, or electrical contact is made through non-porous regions of the GaP layer between porous regions. The LED chip may be mounted on a submount with the porous n-GaP layer facing the submount surface. The pores and metal layer reflect and diffuse the light, which greatly increases the light output of the LED. Other embodiments of the LED structure are described. | 10-02-2008 |
20080237620 | LIGHT EMITTING DIODE APPARATUS - A light emitting diode apparatus includes a heat dissipating substrate, a composite layer, an epitaxial layer, a first electrode and a second electrode. The composite layer includes a reflective layer, a transparent conductive layer and a patterned insulating thermoconductive layer, which is disposed between the reflective layer and the transparent conductive layer. The composite layer is disposed between the heat dissipating substrate and the epitaxial layer and allows currents to concentrate to the reflective layer or the transparent conductive layer and then to be diffused evenly through the transparent conductive layer. The epitaxial layer includes a first semiconductor layer electrically connected with the first electrode, an active layer and a second semiconductor layer electrically connected with the second electrode. | 10-02-2008 |
20080237621 | LIGHT EMITTING DEVICE AND METHOD OF PRODUCING THE SAME - To provide a light emitting device that is improved in intensity of light emitted from a light outgoing surface and has excellent heat releasing property, the light emitting device according to the present invention includes an LED chip | 10-02-2008 |
20080237622 | Light emitting device and package having the same - There is provided a light emitting device that can minimize reflection or absorption of emitted light, maximize luminous efficiency with the maximum light emitting area, enable uniform current spreading with a small area electrode, and enable mass production at low cost with high reliability and high quality. A light emitting device according to an aspect of the invention includes a light emitting lamination including a first conductivity type semiconductor layer, a second conductivity type semiconductor layer, and an active layer, and a conductive substrate at one surface thereof. Here, the light emitting device includes a barrier unit separating the light emitting lamination into a plurality of light emitting regions, a first electrode structure, and a second electrode structure. The first electrode structure includes a bonding unit, contact holes, and a wiring unit connecting the bonding unit to the contact holes. | 10-02-2008 |
20080246044 | LED device with combined Reflector and Spherical Lens - A light source and method for making the same are disclosed. The light source includes a substrate having a top surface, a die, and a first encapsulating layer. The die includes an LED attached to the top surface and electrically connected to traces in the substrate that power the LED. The first dome covers the die and is in contact with the top surface, the dome having an angle of contact greater than 90° with respect to the top surface. The first dome has an outer surface that includes a truncated sphere characterized by a center for the spherical portion of the surface, and the die is situated at a position below the center. A second dome can be provided around the outside of the first dome. In addition, the first dome may include light converting and/or scattering materials. | 10-09-2008 |
20080246045 | Light-emitting diode packaging structure - A light-emitting diode packaging structure includes a thermally conductive substrate; a circuit layer provided on one surface of the substrate and having an electric connection element; at least one chip mounted on the circuit layer to electrically connect to the electric connection element; alight-reflective case enclosing at least part of the substrate and being formed of a window, via which light emitted by the chip is projected outward; and a light-pervious colloidal seal fitted in the window of the case to form a protection around the chip. With the above structure, heat produced by the chip during operation thereof may be effectively radiated and dissipated via the thermally conductive substrate. | 10-09-2008 |
20080246046 | Pixel Structure For A Solid State Light Emitting Device - A light emitting device includes an active layer structure, which has one or more active layers with luminescent centers, e.g. a wide bandgap material with semiconductor nano-particles, deposited on a substrate. For the practical extraction of light from the active layer structure, a transparent electrode is disposed over the active layer structure and a base electrode is placed under the substrate. Transition layers, having a higher conductivity than a top layer of the active layer structure, are formed at contact regions between the upper transparent electrode and the active layer structure, and between the active layer structure and the substrate. Accordingly the high field regions associated with the active layer structure are moved back and away from contact regions, thereby reducing the electric field necessary to generate a desired current to flow between the transparent electrode, the active layer structure and the substrate, and reducing associated deleterious effects of larger electric fields. | 10-09-2008 |
20080246047 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device comprises an N-type semiconductor layer, an active layer formed on the surface of the N-type semiconductor layer, a P-type semiconductor layer formed on the surface of the active layer, and a reflective layer formed on the surface of the P-type semiconductor layer. A plurality of ohmic contact blocks with electrical properties of ohmic contact are on the surface of the reflective layer adjacent to the P-type semiconductor layer, and the remaining part of the surface acts as the reflective regions with higher reflectivity, and the reflective regions can effectively reflect the light generated from the active layer. | 10-09-2008 |
20080246048 | Semiconductor Light-Emitting Device - A semiconductor light-emitting device, the device includes a substrate, a semiconductor stacked layer, a lead electrode and a lead, wherein the semiconductor stacked layer at least includes a N-type layer and a P-type layer, at least one of the N-type layer and the P-type layer has an opening, the opening is just beneath the lead; or includes a conductive substrate having a main surface and a back surface, an adhesive metal layer, a reflective/ohmic metal layer, a semiconductor stacked layer, a lead electrode and a lead sequentially deposited on the main surface of the substrate, the reflective/ohmic metal layer has an opening, the opening is just beneath the lead. | 10-09-2008 |
20080251805 | HEAT DISSIPATION PACKAGE FOR HEAT GENERATION ELEMENT - A heat dissipation package is provided. Conducting leads of the package are located between two dissipating parts of a heat dissipation carrier to form the heat dissipation package with a structure of heat outside and electricity inside. Consequently, there is no limitation caused by electrical elements surrounding the heat dissipation carrier, so as to enhance the expandability of the heat dissipation carrier and improve the efficiency for heat dissipation of the heat generation element. | 10-16-2008 |
20080251806 | PATTERNED LIGHT EMITTING DEVICES - Light-emitting devices, and related components, systems and methods are disclosed. A light-emitting device can include a multi-layer stack of materials including a light-generating region and a first layer supported by the light-generating region. During use of the light-emitting device, light generated by the light-generating region can emerge from the light-emitting device via a surface of the first layer. The light-emitting device can have an edge which is at least about one millimeter long and can be designed so that a quantum efficiency of the light-emitting device is substantially independent of the length of the edge. | 10-16-2008 |
20080251807 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - Disclosed are a semiconductor light emitting device and a method for manufacturing the same. The semiconductor light emitting device comprises a substrate, in which concave-convex patterns are in at least a portion of a backside of the substrate, and a light emitting structure on the substrate and comprising a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer. | 10-16-2008 |
20080251808 | Semiconductor light-emitting device, method for manufacturing the same, and light-emitting apparatus including the same - A nitride semiconductor light-emitting device includes a layered portion emitting light on a substrate. The layered portion includes an n-type semiconductor layer, an active layer, and a p-type semiconductor layer. The periphery of the layered portion is inclined, and the surface of the n-type semiconductor layer is exposed at the periphery. An n electrode is disposed on the exposed surface of the n-type semiconductor layer. This device structure can enhance the emission efficiency and the light extraction efficiency. | 10-16-2008 |
20080258160 | Led Device Comprising Thin-Film Phosphor Having Two Dimensional Nano Periodic Structures - Disclosed herein is an LED device which comprises a light-emitting diode (LED) and a laminate formed on the LED, the laminate consisting of a substrate and a phosphor thin film laminated on the substrate, wherein the phosphor thin film has a two-dimensional nanoperiodic structure formed in a forward direction of the thin film and has an extinction coefficient of 10″3 or less. The LED device has higher luminescent efficiency and luminance than conventional LED devices. In addition, since the LED device uses no slurry and a lesser amount of phosphor than conventional LED devices, it is advantageous in terms of optical homogeneity and reduced costs. | 10-23-2008 |
20080258161 | Transparent ohmic Contacts on Light Emitting Diodes with Carrier Substrates - A light emitting diode is disclosed that includes an active structure formed of at least p-type and n-type epitaxial layers of Group III nitride on a conductive carrier substrate. A conductive bonding system joins the active structure to the conductive carrier substrate. A first transparent ohmic contact is on the active structure adjacent the conductive carrier substrate, a second transparent ohmic contact is on the active structure opposite the conductive carrier substrate, and a third ohmic contact is on the conductive carrier substrate opposite from the active structure. | 10-23-2008 |
20080258162 | PACKAGE FOR A HIGH-POWER LIGHT EMITTING DIODE - A package for a high-power light emitting diode (LED) has a packaging substrate, at least one LED chip, at least one pair of conductive wires and an encapsulant. The packaging substrate has a reflective base with a recess, a dissipating board and at least one pair of electrodes. The electrodes and dissipating board are mounted in the reflective base and have upper surfaces. The LED chip is adhered to the dissipating board. The conductive wires connects electrodes of the LED chip and the electrodes. The encapsulant is transparent and fills the recess of the reflective base. Most heat from the LED chip is conducted via the dissipating board, thereby improving thermal conduction efficiency and allowing more powerful or numerous LED chips in the package. Therefore, the package provides different pass ways for conducting heat and electricity to improve heat conduction of the LED. | 10-23-2008 |
20080258163 | Semiconductor light-emitting device with high light-extraction efficiency - The invention discloses a semiconductor light-emitting device and a fabricating method thereof. The semiconductor light-emitting device according to the invention includes a substrate, a multi-layer structure, a top-most layer, and at least one electrode. The multi-layer structure is formed on the substrate and includes a light-emitting region. The top-most layer is formed on the multi-layer structure, and the lower part of the sidewall of the top-most layer exhibits a first surface morphology relative to a first pattern. In addition, the upper part of the sidewall of the top-most layer exhibits a second surface morphology relative to a second pattern. The at least one electrode is formed on the top-most layer. Therefore, the sidewall of the semiconductor light-emitting device according to the invention exhibits a surface morphology, which increases the light-extraction area of the sidewall, and consequently enhances the light-extraction efficiency of the semiconductor light-emitting device. | 10-23-2008 |
20080258164 | Light Emitting Device - Light emitting device | 10-23-2008 |
20080258165 | Light emitting diode chip - A substrate-free LED chip has a multilayer semiconductor structure at least 10 microns thick provided on a growth substrate. One or more arrays of parallel streets are etched into the multilayer semiconductor structure using a first pulsed laser beam. By scanning a second pulsed laser beam through the growth substrate to the multilayer semiconductor structure, the LED chips are detached from the growth substrate while simultaneously forming surface features on the chips. | 10-23-2008 |
20080265266 | Housing for an Optoelectronic Component, Optoelectronic Component and Method for the Production of an Optoelectronic Component - A housing for an optoelectronic component which includes a carrier with a chip mounting surface is disclosed. An optical element which is produced separately from the carrier is applied to the carrier. The chip mounting surface and the optical element define a parting plane, the parting plane between carrier and optical element being arranged in the plane of the chip mounting surface. Also disclosed is an optoelectronic component having a housing of this type and a method for producing an optoelectronic component of this type. | 10-30-2008 |
20080265267 | Light emitting diode - At un upper part of an AlGaInP based compound semiconductor layer including an active layer | 10-30-2008 |
20080265268 | Optoelectronic Component - An optoelectronic component is described, comprising a semiconductor body that emits electromagnetic radiation of a first wavelength when the optoelectronic component is in operation, and a separate optical element disposed spacedly downstream of the semiconductor body in its radiation direction. The optical element comprises at least one first wavelength conversion material that converts radiation of the first wavelength to radiation of a second wavelength different from the first. | 10-30-2008 |
20080265269 | White light emitting device and white light source module using the same - A white light emitting device including: a blue light emitting diode chip having a dominant wavelength of 443 to 455 nm; a red phosphor disposed around the blue light emitting diode chip, the red phosphor excited by the blue light emitting diode chip to emit red light; and a green phosphor disposed around the blue light emitting diode chip, the green phosphor excited by the blue light emitting diode chip to emit green light, wherein the red light emitted from the red phosphor has a color coordinate falling within a space defined by four coordinate points (0.5448, 0.4544), (0.7079, 0.2920), (0.6427, 0.2905) and (0.4794, 0.4633) based on the CIE 1931 chromaticity diagram, and the green light emitted from the green phosphor has a color coordinate falling within a space defined by four coordinate points (0.1270, 0.8037), (0.4117, 0.5861), (0.4197, 0.5316) and (0.2555, 0.5030) based on the CIE 1931 color chromaticity diagram. | 10-30-2008 |
20080272383 | SIDE MOUNTABLE SEMICONDUCTOR LIGHT EMITTING DEVICE PACKAGES, PANELS AND METHODS OF FORMING THE SAME - Side-mountable semiconductor light emitting device packages include an electrically insulating substrate having a front face and a back face and a side face extending therebetween. The side face is configured for mounting on an underlying surface. An electrically conductive contact is provided proximate an edge of the substrate on the back face of the substrate and/or on a recessed region on the side face of the substrate. The contact is positioned to be positioned proximate an electrical connection region of the underlying surface when the semiconductor light emitting device package is side mounted on the underlying surface. A conductive trace extends along the front face of the substrate and is electrically connected to the contact. A semiconductor light emitting device is mounted on the front face of the substrate and electrically connected to the conductive trace. | 11-06-2008 |
20080272384 | Light emitting diode - A light emitting diode (LED) having disposed on a top of a package an optical mechanism comprised of multiple grooves or dots to promote optical use efficiency of the packaging through light condensing effects produced by the optical mechanism to collect a light source inside the LED to emit in a given direction through the optical mechanism for effectively reducing discriminating escape of the light source in both right and left sides of the given direction thus to significantly upgrade general luminance performance of the LED. | 11-06-2008 |
20080272385 | Light emitting diode - A light emitting diode includes a base, a light emitting chip, and a wavelength converting layer. The base is formed with a recessed portion that has a bottom wall surface, and a sidewall surface extending upwardly from the bottom wall surface and cooperating with the bottom wall surface to define a receiving space. The light emitting chip is provided on the bottom wall surface of the receiving space, and has a top chip surface disposed below a top surface of the base, and a peripheral chip surface extending downwardly from the top chip surface and being substantially parallel to and forming a gap with the side wall surface of the recessed portion. The wavelength converting layer is filled in the receiving space in the recessed portion so as to cover the top chip surface and the peripheral chip surface of the light emitting chip. | 11-06-2008 |
20080272386 | Light Emitting Devices for Light Conversion and Methods and Semiconductor Chips for Fabricating the Same - Broad spectrum light emitting devices and methods and semiconductor chips for fabricating such devices include a light emitting element, such as a diode or laser, which emits light in a predefined range of frequencies. The light emitting element includes a shaped substrate suitable for light extraction through the substrate and a cavity in the substrate proximate the light emitting element. For example, a trench adjacent the light emitting element may be provided. The cavity/trench is configured to contain light conversion material such that light extracted from sidewalls of the cavity/trench passes through the light conversion material contained in the cavity/trench. Methods of fabricating such devices and/or chips are also provided. | 11-06-2008 |
20080272387 | ADAPTING SHORT-WAVELENGTH LED'S FOR POLYCHROMATIC, BROADBAND, OR "WHITE" EMISSION - An adapted LED is provided comprising a short-wavelength LED and a re-emitting semiconductor construction, wherein the re-emitting semiconductor construction comprises at least one potential well not located within a pn junction. The potential well(s) are typically quantum well(s). The adapted LED may be a white or near-white light LED. The re-emitting semiconductor construction may additionally comprise absorbing layers surrounding or closely or immediately adjacent to the potential well(s). In addition, graphic display devices and illumination devices comprising the adapted LED according to the present invention are provided. | 11-06-2008 |
20080272388 | Method for fabricating thin film pattern, device and fabricating method therefor, method for fabricating liquid crystal display, liquid crystal display, method for fabricating active matrix substrate, electro-optical apparatus, and electrical apparatus - A method for fabricating a thin film pattern on a substrate, includes the steps of: forming a concave part on the substrate that conforms to the thin film pattern; and applying a function liquid into the concave part. | 11-06-2008 |
20080277680 | Led With Improved Light Emittance Profile - The present invention relates to a LED comprising a substrate layer with a first surface and a second surface opposing the first surface and having a refractive index of n | 11-13-2008 |
20080277681 | LIGHT EMITTING DIODE - A light emitting diode includes a substrate, a reflecting layer, an active layer, a transparent electrode, a first photonic crystal structure, and a second photonic crystal structure. The reflecting layer is disposed on the substrate. The active layer is disposed on the reflecting layer. The transparent electrode is disposed on the active layer and includes an upper surface and a lower surface. The lower surface of the transparent electrode combines with the active layer. The first photonic crystal structure is formed on the upper surface of the transparent electrode. The second photonic crystal structure formed in the active layer. | 11-13-2008 |
20080277682 | DUAL SURFACE-ROUGHENED N-FACE HIGH-BRIGHTNESS LED - A light emitting diode, comprising a substrate, a buffer layer on the substrate, an active layer on the buffer layer and between an n-type layer and a p-type layer, a tunnel junction adjacent the p-type layer, and n-type contacts to the tunnel junction and the n-type layer, wherein the buffer layer, n-type layer, p-type layer, active region and tunnel junction comprise III-nitride material grown in a nitrogen-face (N-face) orientation. The substrate surface upon which the III-nitride material is deposited is patterned to provide embedded backside roughening. A top surface of the tunnel junction, which also the top surface of the III-nitride material, is roughened. | 11-13-2008 |
20080277683 | SOLDERING METHOD FOR SEMICONDUCTOR OPTICAL DEVICE, AND SEMICONDUCTOR OPTICAL DEVICE - A method for soldering a semiconductor optical device including a resin-made optical lens to an object by a reflow soldering process using a lead-free solder, and a semiconductor optical device for use in the method. A semiconductor optical device including a silicone resin-made optical lens as the resin-made optical lens is used; | 11-13-2008 |
20080283855 | Optoelectronic Thin-Film Chip - An optoelectronic thin-film chip is specified, comprising at least one radiation-emitting region ( | 11-20-2008 |
20080283856 | Light-emitting diode module and the manufacturing thereof - A method for manufacturing a light-emitting diode (LED) module is provided. Plural LED package structures are formed on a substrate first. A space is located between two adjacent LED package structures. A Lens laminated plate is subsequently bonded to the LED package structures. The lens laminated plate includes plural lenses, and each lens is located right above a LED of each LED package structure. Finally, plural LED modules are formed by cutting the substrate along the space. A LED module structure is also disclosed. | 11-20-2008 |
20080283857 | Novel phosphor for white light-emitting diodes and fabrication of the same - The present invention provides a light-emitting diode-converted phosphor compound having the following chemical formula: | 11-20-2008 |
20080283858 | LIGHT-EMITTING DIODE AND METHOD FOR MANUFACTURING SAME - A light-emitting diode includes: a light-emitting structure, a transparent electrically conductive thick film, a first electrical contact and a second electrical contact. The light-emitting structure includes a first-type cladding layer, a second-type cladding layer, and an active layer sandwiched between the first-type cladding layer and the second-type cladding layer. The transparent electrically conductive thick film is formed on the first-type cladding layer. The first electrical contact is located on the transparent electrically conductive thick film. The second electrical contact is located on the second-type cladding layer. The transparent electrically conductive thick film is made from a metal-doped metal oxide. | 11-20-2008 |
20080283859 | LIGHT-EMITTING DIODE APPARATUS AND MANUFACTURING METHOD THEREOF - A light-emitting diode (LED) apparatus includes an epitaxial multilayer, a micro/nano rugged layer and an anti-reflection layer. The epitaxial multilayer has a first semiconductor layer, an active layer and a second semiconductor layer in sequence. The micro/nano rugged layer is disposed on the first semiconductor layer of the epitaxial multilayer. The anti-reflection layer is disposed on the micro/nano rugged layer. In addition, a manufacturing method of the LED apparatus is also disclosed. | 11-20-2008 |
20080283860 | Light emitting device - A light emitting device includes an emission portion, an optical control portion for reflecting or refracting light emitted from the emission portion in a predetermined direction, a light guiding member including a light input surface to which the reflected or refracted light is inputted, a refection region formed on a surface thereof for reflecting the inputted light, and a light output surface for externally outputting the reflected light from the refection region, a reflection portion, on which the emission portion is mounted and which covers externally the refection region, for dissipating heat generated from the emission portion and for reflecting light passing through the refection region in a direction of the light output surface, and a space formed between the light guiding member and the reflection portion. | 11-20-2008 |
20080283861 | Power light emitting die package with reflecting lens and the method of making the same - A light emitting die package and a method of manufacturing the die package are disclosed. The die package includes a leadframe, at least one light emitting device (LED), a molded body, and a lens. The leadframe includes a plurality of leads and has a top side and a bottom side. A portion of the leadframe defines a mounting pad. The LED device is mounted on the mounting pad. The molded body is integrated with portions of the leadframe and defines an opening on the top side of the leadframe, the opening surrounding the mounting pad. The molded body further includes latches on the bottom side of the leadframe. The lens is coupled to the molded body. A composite lens is used as both reflector and imaging tool to collect and direct light emitted by LED(s) for desired spectral and luminous performance. | 11-20-2008 |
20080290356 | Reflective Layered System Comprising a Plurality of Layers that are to be Applied to a III/V Compound Semiconductor Material - The invention describes a method for producing a reflective layer system and a reflective layer system for application to a III/V compound semiconductor material, wherein a first layer, containing phosphosilicate glass, is applied directly to the semiconductor substrate Disposed thereon is a second layer, containing silicon nitride. A metallic layer is then applied thereto. | 11-27-2008 |
20080290357 | LIGHT-EMITTING DIODE PACKAGE - A light-emitting diode (LED) package including a carrier, a pair of conductive wire units, an LED chip, and a control circuit module is provided. The carrier has a carrying portion and a ring frame connected to the periphery of the carrying portion. The carrying portion has a dome-like upper surface and a pair of through holes. The pair of conductive wire units is disposed inside the through holes respectively, and each of the conductive wire units has a conductive wire and an insulating material encapsulating the conductive wire. The LED chip is disposed on the upper surface of the carrier and is electrically connected to the conductive wires. The control circuit module is disposed at a bottom of the carrier and is electrically connected to the conductive wires for controlling the operation of the LED chip. | 11-27-2008 |
20080290358 | Semiconductor light-emitting device and a method to produce the same - A new structure of a semiconductor optical device and a method to produce the device are disclosed. One embodiment of the optical device of the invention provides a blocking region including, from the side close to the mesa, a p-type first layer and a p-type second layer. The first layer is co-doped with an n-type impurity and a p-type impurity. The doping concentration of the p-type impurity in the first layer is smaller than that in the second layer, so, the first layer performs a function of a buffer layer for the Zn diffusion from the second layer to the active layer in the mesa structure. | 11-27-2008 |
20080290359 | Light emitting device and manufacturing method of the same - There is provided a light emitting device including: a package body having first and second circumferential surfaces and a plurality of side surfaces formed therebetween, the package body defined into first and second level areas including the first and second circumferential surfaces, respectively; first and second external terminal blocks each having an electrical contact part; an LED chip disposed between the first and second external terminal blocks in the first level area and having an electrode surface where first and second electrodes are formed; and wires electrically connected to first and second electrodes of the LED chip to the electrical contact parts of the first and second external terminal blocks, respectively. | 11-27-2008 |
20080290360 | Silicon-Based Light Emitting Diode Using Side Reflecting Mirror - A silicon light emitting diode capable of effectively utilizing light radiated toward the lateral side of a substrate by including a side reflecting mirror is provided. The silicon-based light emitting diode includes a p-type silicon substrate having a plurality of grooves, a light emitting diode layer formed on each of the grooves of the silicon substrate, the light emitting diode layer including an active layer, an n-type doped layer, and a transparent electrode layer, and a metal electrode including a lower metal electrode formed on the bottom surface of the p-type silicon substrate and an upper metal electrode formed on the top surface of the transparent electrode layer. The lateral surface of each of the grooves is separated from the light emitting diode layer and used as a reflecting mirror The lateral surface is referred to as the side reflecting mirror. | 11-27-2008 |
20080290361 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A light emitting device comprises a first conductive semiconductor layer, an active layer on the first conductive semiconductor layer, a refractive layer on the active layer, and a second conductive semiconductor layer on the refractive layer. | 11-27-2008 |
20080296596 | NOVEL GREEN EMITTING PHOSPHORS AND BLENDS THEREOF - Phosphor compositions, blends thereof and light emitting devices including white light emitting LED based devices, and backlights, based on such phosphor compositions. The devices include a light source and a phosphor material as described. Also disclosed are phosphor blends including such a phosphor and devices made therefrom. | 12-04-2008 |
20080296597 | Chip scale light emitting device - A light emitting device includes: a circuit board having a dielectric substrate and first and second electrodes provided on the dielectric substrate, the dielectric substrate being formed with a retaining hole; and a light emitting diode chip received in the retaining hole in the dielectric substrate and connected electrically to the first and second electrodes. | 12-04-2008 |
20080296598 | CURRENT SPREADING LAYER WITH MICRO/NANO STRUCTURE, LIGHT-EMITTING DIODE APPARATUS AND ITS MANUFACTURING METHOD - A light-emitting diode (LED) apparatus includes an epitaxial layer and a current spreading layer. The epitaxial layer has a first semiconductor layer, an active layer and a second semiconductor layer. The current spreading layer is disposed on the first semiconductor layer of the epitaxial layer and has a micro/nano roughing structure layer and a transparent conductive layer. The micro/nano roughing structure layer has a plurality of hollow parts, and the transparent conductive layer covers a surface of the micro/nano roughing structure layer and is filled within the hollow parts. In addition, a manufacturing method of the LED apparatus and a current spreading layer with a micro/nano structure are also disclosed. | 12-04-2008 |
20080296599 | LED Package with Stepped Aperture - A light emitting diode (LED) package for high temperature operation which includes a printed wire board and a heat sink. The LED package may include a formed heat sink layer, which may be thermally coupled to an external heat sink. The printed wire board may include apertures that correspond to the heat sink such that the heat sink is integrated with the printed wire board layer. The LED package may include castellations for mounting the package on a secondary component such as a printed wire board. The LED package may further comprise an isolator disposed between a base metal layer and one or more LED die. Optionally, the LED die may be mounted directly on a base metal layer. The LED package may include a PWB assembly having a stepped cavity, in which one or more LED die are disposed. The LED package is advantageously laminated together using a pre-punched pre-preg material or a pressure sensitive adhesive. | 12-04-2008 |
20080296600 | Organic light emitting diode (OLED) display and method of manufacturing the same - An organic light emitting display includes a substrate, an OLED including an anode electrode, a cathode electrode and an organic thin film formed between the anode electrode and the cathode electrode, a reflective layer on the OLED, the reflective layer comprising a laminated first material and second material, the first material and the second material having different refractive indices, and an encapsulation layer on the reflective layer, the encapsulation layer comprising at least one of organic thin film and inorganic thin film. | 12-04-2008 |
20080296601 | Light-Emitting Diode Incorporating an Array of Light Extracting Spots - A light-emitting diode includes an optical layer formed in an array of substantially equidistant light extracting spots integrated to its multi-layered structure. The array of light extracting spots includes a distribution of juxtaposed hexagon patterns. The layer thickness of the light extracting spots is less than 800 Å. | 12-04-2008 |
20080303042 | Method for manufacturing substrate for semiconductor light emitting element and semiconductor light emitting element using the same - A light emitting element having a recess-protrusion structure on a substrate is provided. A semiconductor light emitting element | 12-11-2008 |
20080303043 | SEMICONDUCTOR LIGHT EMITTING DEVICE - At least one recess and/or protruding portion is created on the surface portion of a substrate for scattering or diffracting light generated in a light emitting region. The recess and/or protruding portion has a shape that prevents crystal defects from occurring in semiconductor layers. | 12-11-2008 |
20080303044 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a semiconductor light-emitting element which is capable of emitting light, a fluorescent substance which is capable of absorbing at least part of light emitted from the semiconductor light-emitting element and also capable of subsequently converting the wavelength of the absorbed light and emitting the light having a converted wavelength, and a light-transmissive sealing material encapsulating the semiconductor light-emitting element, formed of an inorganic material having, at least partially, a silicon-nitrogen linkage and including a fluorescent substance. | 12-11-2008 |
20080303045 | Semiconductor light emitting device - A semiconductor light emitting device includes a semiconductor light emitting element, a lead electrically connected to the semiconductor light emitting element, and a resin package covering the semiconductor light emitting element and part of the lead. The resin package includes a lens facing the semiconductor light emitting element. The lead includes an exposed portion that is not covered by the resin package. The exposed portion includes a first portion and a second portion, where the first portion has a first mount surface oriented backward along the optical axis of the lens, and the second portion has a second mount surface oriented perpendicularly to the optical axis of the lens. | 12-11-2008 |
20080303046 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a silicon substrate, a p-type semiconductor layer provided on the silicon substrate, a n-type semiconductor layer provided on the silicon substrate, the n-type semiconductor layer adjoining the p-type semiconductor layer, and a light emitting section formed at a p-n homojunction between the p-type semiconductor layer and the n-type semiconductor layer. The p-n homojunction is substantially perpendicular to a major surface of the silicon substrate. The p-n homojunction is corrugated with a period matched with an integer multiple of an emission wavelength at the light emitting section. | 12-11-2008 |
20080303047 | Light-emitting diode device and manufacturing method therof - A light-emitting diode (LED) device and manufacturing methods thereof are disclosed, wherein the LED device comprises a substrate, a plurality of micro-lens, a reflector, a first conductivity type semiconductor layer, an active layer, a second conductivity type semiconductor layer, a first electrode and a second electrode. The substrate has a plurality of micro-lens on its upper surface. The first conductivity type semiconductor layer is on the upper surface of the substrate. The active layer and the second conductivity type semiconductor layer are sequentially on a portion of the first conductivity type semiconductor layer. The first electrode is on the other portion of the first conductivity type semiconductor layer uncovered by the active layer. The second electrode is on the second conductivity type semiconductor layer. The reflector layer is on a lower surface of the substrate. | 12-11-2008 |
20080303048 | Semiconductor light emitting device - This invention discloses a light emitting semiconductor device including a light-emitting structure and an external optical element. The optical element couples to the light-emitting structure circumferentially. In addition, the refractive index of the external optical element is greater than or about the same as that of a transparent substrate of the light-emitting structure, or in-between that of the transparent substrate and the encapsulant material. | 12-11-2008 |
20080303049 | Methods for coupling diamond structures to photonic devices - Various embodiments of the present invention are directed to methods for coupling semiconductor-based photonic devices to diamond. In one embodiment of the present invention, a photonic device is optically coupled with a diamond structure. The photonic device comprises a semiconductor material and is optically coupled with the diamond structure with an adhesive substance that adheres the photonic device to the diamond structure. A method for coupling the photonic device with the diamond structure is also provided. The method comprises: depositing a semiconductor material on the diamond structure; forming the photonic device in the semiconductor material so that the photonic device couples with the diamond structure; and adhering the photonic device to the diamond structure. | 12-11-2008 |
20080308824 | Thin Flash or Video Recording Light Using Low Profile Side Emitting LED - Very thin flash modules for cameras are described that do not appear as a point source of light to the illuminated subject. Therefore, the flash is less objectionable to the subject. In one embodiment, the light emitting surface area is about 5 mm×10 mm. Low profile, side-emitting LEDs optically coupled to solid light guides enable the flash module to be thinner than 2 mm. The flash module may also be continuously energized for video recording. The module is particularly useful for cell phone cameras and other thin cameras. | 12-18-2008 |
20080308825 | Encapsulant with scatterer to tailor spatial emission pattern and color uniformity in light emitting diodes - A light emitting device having an encapsulant with scattering features to tailor the spatial emission pattern and color temperature uniformity of the output profile. The encapsulant is formed with materials having light scattering properties. The concentration of these light scatterers is varied spatially within the encapsulant and/or on the surface of the encapsulant. The regions having a high density of scatterers are arranged in the encapsulant to interact with light entering the encapsulant over a desired range of source emission angles. By increasing the probability that light from a particular range of emission angles will experience at least one scattering event, both the intensity and color temperature profiles of the output light beam can be tuned. | 12-18-2008 |
20080308826 | THIN-FILM TRANSISTOR, ARRAY SUBSTRATE HAVING THE THIN-FILM TRANSISTOR AND METHOD OF MANUFACTURING THE ARRAY SUBSTRATE - A thin-film transistor includes a semiconductor pattern, source and drain electrodes and a gate electrode, the semiconductor pattern is formed on a base substrate, and the semiconductor pattern includes metal oxide. The source and drain electrodes are formed on the semiconductor pattern such that the source and drain electrodes are spaced apart from each other and an outline of the source and drain electrodes is substantially same as an outline of the semiconductor pattern. The gate electrode is disposed in a region between the source and drain electrodes such that portions of the gate electrode are overlapped with the source and drain electrodes. Therefore, leakage current induced by light is minimized. As a result, characteristics of the thin-film transistor are enhanced, after-image is reduced to enhance display quality, and stability of manufacturing process is enhanced. | 12-18-2008 |
20080308827 | PROCESS FOR PREPARING A BONDING TYPE SEMICONDUCTOR SUBSTRATE - The process comprises a step of growing epitaxially mixed crystals of a compound semiconductor represented by the composition formula In | 12-18-2008 |
20080308828 | Phosphor-containing adhesive silicone composition, composition sheet formed of the composition, and method of producing light emitting device using the sheet - An addition curable adhesive silicone composition containing a phosphor dispersed uniformly therein is provided. The dispersive state of the phosphor remains stable over time. The composition, in an uncured state at room temperature, is either a solid or a semisolid, and is therefore easy to handle, and is suited to an adhesive silicone composition sheet which is able to be formed easily on an LED chip using a conventional assembly apparatus. | 12-18-2008 |
20080308829 | VERTICAL LED WITH CURRENT GUIDING STRUCTURE - Techniques for controlling current flow in semiconductor devices, such as LEDs are provided. For some embodiments, a current guiding structure may be provided including adjacent high and low contact areas. For some embodiments, a second current path (in addition to a current path between an n-contact pad and a metal alloy substrate) may be provided. For some embodiments, both a current guiding structure and second current path may be provided. | 12-18-2008 |
20080308830 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR - An active layer of an NTFT includes a channel forming region, at least a first impurity region, at least a second impurity region and at least a third impurity region therein. Concentrations of an impurity in each of the first, second and third impurity regions increase as distances from the channel forming region become longer. The first impurity region is formed to be overlapped with a side wall. A gate overlapping structure can be realized with the side wall functioning as an electrode. | 12-18-2008 |
20080308831 | SEMICONDUCTOR STRUCTURE INCLUDING MIXED RARE EARTH OXIDE FORMED ON SILICON - A method (and resultant structure) of forming a semiconductor structure, includes forming a mixed rare earth oxide on silicon. The mixed rare earth oxide is lattice-matched to silicon. | 12-18-2008 |
20080308832 | Light-emitting device - A light-emitting device comprises a semiconductor light-emitting stack; and an optical field tuning layer formed on the semiconductor light-emitting stack to change beam angles of the light-emitting device. | 12-18-2008 |
20080315227 | Light-Emitting Diode Arrangement - A light-emitting diode arrangement is disclosed, comprising at least one light-emitting diode (LED) chip with a radiation decoupling surface through which a large portion of the electromagnetic radiation generated in the LED chip exits in a main direction of emission; a housing laterally surrounding the LED chip; and a reflective optic disposed after the radiation decoupling surface in the main direction of emission. The LED arrangement is particularly well suited for use in devices such as camera-equipped cell phones, digital cameras or video cameras. | 12-25-2008 |
20080315228 | LOW PROFILE SIDE EMITTING LED WITH WINDOW LAYER AND PHOSPHOR LAYER - Low profile, side-emitting LEDs are described that generate white light, where all light is emitted within a relatively narrow angle generally parallel to the surface of the light-generating active layer. The LEDs enable the creation of very thin backlights for backlighting an LCD. In one embodiment, the LED emits blue light and is a flip chip with the n and p electrodes on the same side of the LED. Separately from the LED, a transparent wafer has deposited on it a red and green phosphor layer. The phosphor color temperature emission is tested, and the color temperatures vs. positions along the wafer are mapped. A reflector is formed over the transparent wafer. The transparent wafer is singulated, and the phosphor/window dice are matched with the blue LEDs to achieve a target white light color temperature. The phosphor/window is then affixed to the LED. | 12-25-2008 |
20080315229 | Light-Emitting Device Comprising Conductive Nanorods as Transparent Electrodes - Disclosed herein is an electrical light-emitting device including a transparent conductive nanorod type electrode, in which transparent conductive nanorods grown perpendicular to a light-emitting layer are used as the electrode. Hence, light is not absorbed by the electrode, and tunneling easily occurs due to nanocontact of the nanorods, thus increasing current injection efficiency, and also, total internal reflections decrease. Thereby, the light-emitting device according to this invention has light-emitting properties and luminous efficiency superior to conventional light-emitting devices, including metal electrodes or thin film type transparent electrodes. | 12-25-2008 |
20080315230 | ELECTRONIC COMPONENT PACKAGE AND METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC COMPONENT DEVICE - An electronic component package, includes a package substrate portion constructed by a silicon substrate in which a through hole is provided, an insulating layer formed on both surface sides of the silicon substrate and an inner surface of the through hole, and a through electrode filled in the through hole, and a frame portion provided upright on a peripheral portion of the package substrate portion to constitute a cavity on the silicon substrate, wherein an upper surface of the through electrode in the cavity is planarized such that a height of the through electrode is set equal to a height of the insulating layer. The frame portion is joined to the package substrate portion by the low-temperature joining utilizing the plasma process after the through electrode is planarized. | 12-25-2008 |
20080315231 | LIGHT SOURCE, OPTICAL PICKUP, AND ELECTRONIC APPARATUS - A light source of the present invention includes: a semiconductor light emitting device which has a light emitting face and emits light from part of the light emitting face; a container which has a light transmitting window for transmitting the light and accommodates the semiconductor light emitting device; and a gettering portion for performing gettering of a material containing at least one of carbon and silicon. The gettering portion is positioned, in the container, in a region other than the part of the light emitting face of the semiconductor light emitting device. | 12-25-2008 |
20080315232 | LIGHT-EMITTING SEMICONDUCTOR DEVICE - A light-generating semiconductor region is grown on a substrate of electroconductive silicon or like light-absorptive material. An anode is placed atop the light-generating semiconductor region, and a cathode under the substrate. The light-generating semiconductor region and the substrate are encapsulated in an epoxy envelope. In order to prevent the substrate from absorbing the light that has been radiated from the light-generating semiconductor region and reflected back from the envelope, the substrate has its side surfaces covered by a reflector layer. The reflector layer has its surfaces roughened, as a result of the roughening of the underlying substrate surfaces by dicing, for scattering the incident light. | 12-25-2008 |
20080315233 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Provided is a semiconductor light emitting device. The semiconductor light emitting device comprises a first conductive type semiconductor layer, an active layer, a second conductive type super lattice layer, and a second conductive type semiconductor layer. The active layer is formed on the first conductive type semiconductor layer. The second conductive type super lattice layer comprises a second conductive type nitride layer and an undoped nitride layer on the active layer. The second conductive type semiconductor layer is formed on the second conductive type super lattice layer. | 12-25-2008 |
20080315234 | Optically Active Compositions and Combinations of Same with Indium Gallium Nitride Semiconductors - New combinations of semi-conductor devices in conjunction with optically active materials are set forth herein. In particular, light emitting semiconductors fashioned as diodes from indium gallium nitride construction are combined with high-performance optically active Langasite La3GasSi0i4 crystalline materials. When Langasite is properly doped, it will respond to the light output emissions of the diode by absorbing high energy photons therefrom and reemitting light of longer wavelengths. High-energy short wavelength light mixes with the longer wavelengths light to produce a broad spectrum which may be perceived by human observers as white light. Langasite, a relatively new material, enjoying great utility in frequency control and stabilization schemes has heretofore never been used in combination with optical emission systems. | 12-25-2008 |
20080315235 | Light emitting device - A light emitting device is provided that has a semiconductor light emitting element and a phosphor which converts a part of the luminescence spectrum emitted from the semiconductor light emitting element. The luminescence spectrum of the semiconductor light emitting element is located between a near ultraviolet region and a short-wavelength visible region, and the phosphor is made by adding a red luminescent activator to a base material of a blue luminescent phosphor. Thereby, improving the color shading generated by the dispersion of the spectra of the light emitting elements and obtaining the light emitting device having a high brightness and a good color rendering properties. With the light emitting device, it is possible to provide the light sources for the lighting apparatus of medical treatments, the flash plate of a copying machine, etc., in which a good color rendering property is required. | 12-25-2008 |
20080315236 | Optoelectronic semiconductor device and manufacturing method thereof - An embodiment of the invention discloses an optoelectronic semiconductor device comprising a semiconductor system capable of performing a conversion between light energy and electrical energy; an interfacial layer formed on at least two surfaces of the semiconductor system; an electrical conductor; and an electrical connector electrically connecting the semiconductor system to the electric conductor. | 12-25-2008 |
20090001395 | LIGHT EMITTING DIODE DEVICE AND FABRICATION METHOD THEREOF - The invention provides a light emitting diode device and a fabrication method thereof. The device comprises a pair of electrodes and one of which is electrically contacted with a holder, an LED chip fixed in the holder, a wrapping material formed in the holder and covering the LED chip, and a plurality of nanocrystals having a quantum dot state dispersed in the wrapping material. The nanocrystals satisfy the formula, Zn | 01-01-2009 |
20090001396 | Semiconductor element mount, semiconductor device, imaging device, light emitting diode component and light emitting diode - A collective substrate has through-holes. The through-holes each have an interior surface including taper surfaces which are tapered as having an opening size progressively decreasing from a main surface and an external connection surface toward a minimum size hole portion. A semiconductor element mount includes an insulative member cut out of the collective substrate. An imaging device includes an imaging element mounted in a region surrounded by a frame which is bonded to the main surface of the insulative member and closed by a cover. A light emitting diode component includes a light emitting element mounted on the main surface of the insulative member with the minimum size hole portion of the through-hole being filled with an electrically conductive material, the light emitting element being sealed with a fluorescent material and/or a protective resin. | 01-01-2009 |
20090001397 | Method and device for providing circumferential illumination - A light source device, comprising at least one light emitting element, an optical for distributing light emitted by the light emitting element(s) into a waveguide material which is in optical communication with the optical funnel, and at least one reflector contacting the waveguide material for redirecting light back into the waveguide material such as to reduce illumination exiting the waveguide material in any direction other than a circumferential direction. | 01-01-2009 |
20090001398 | Semiconductor light emitting device and method of manufacturing the same - There are provided a semiconductor light emitting device that can be manufactured by a simple process and has excellent light extraction efficiency and a method of manufacturing a semiconductor light emitting device that has high reproducibility and high throughput. A semiconductor light emitting device having a substrate and a lamination in which a first conductivity type semiconductor layer, an active layer, and a second conductivity type semiconductor layer are sequentially laminated onto the substrate according to an aspect of the invention includes a silica particle layer; and an uneven part formed at a lower part of the silica particle layer. | 01-01-2009 |
20090001399 | OPTICAL DESIGNS FOR HIGH-EFFICACY WHITE-LIGHT EMITTING DIODES - A method for increasing the luminous efficacy of a white light emitting diode (WLED), comprising introducing optically functional interfaces between an LED die and a phosphor, and between the phosphor and an outer medium, wherein at least one of the interfaces between the phosphor and the LED die provides a reflectance for light emitted by the phosphor away from the outer medium and a transmittance for light emitted by the LED die. Thus, a WLED may comprise a first material which surrounds an LED die, a phosphor layer, and at least one additional layer or material which is transparent for direct LED emission and reflective for the phosphor emission, placed between the phosphor layer and the first material which surrounds the LED die. | 01-01-2009 |
20090001400 | TWO DIMENSIONAL LIGHT SOURCE USING LIGHT EMITTING DIODE AND LIQUID CRYSTAL DISPLAY DEVICE USING THE TWO DIMENSIONAL LIGHT SOURCE - A two-dimensional light source includes a base substrate having holes, wires disposed on a lower surface of the base substrate, a light emitting diode (LED) chip disposed on an upper surface of the base substrate, plugs that connect two electrodes of the LED chip to the wires through the holes, a buffer layer covering the LED chip, and an optical layer that is disposed on the buffer layer and has an optical pattern formed at a portion of the optical layer corresponding to the LED chip. | 01-01-2009 |
20090008662 | LIGHTING DEVICE PACKAGE - The invention provides a lighting device package with one or more light-emitting elements operatively coupled to a substrate; a compound lens disposed to interact with light emitted by the one or more light-emitting elements, the compound lens including at least an inner lens element and an outer lens element, the inner lens element having a first index of refraction and the outer lens element having a second index of refraction, the first index of refraction being greater than the second index of refraction; the compound lens, the one or more light-emitting elements and the substrate defining an enclosed space between them; and an encapsulation material filling at least part of said space, the encapsulation material having a third index of refraction equal or greater than the first index of refraction. | 01-08-2009 |
20090008663 | PHOSPHOR AND METHOD FOR PRODUCTION THEREOF, AND APPLICATION THEREOF - A phosphor containing a crystal phase having a chemical composition expressed by the following general formula [1], and exhibiting an average variation rate of the emission intensity of 1.3 or less upon excitation with light having a peak in the wavelength range of 420 nm to 480 nm, the variation rate of the emission intensity being calculated by the following general formula [2]. | 01-08-2009 |
20090008664 | NANOWIRE LIGHT EMITTING DEVICE - A nanowire light emitting device is provided. The nanowire light emitting device includes a substrate, a first conductive layer formed on the substrate, a plurality of nanowires vertically formed on the first conductive layer, each nanowire comprising a p-doped portion and an n-doped portion, a light emitting layer between the p-doped portion and the n-doped portion, a second conductive layer formed on the nanowires, and an insulating polymer in which a light emitting material is embedded, filling a space between the nanowires. The color of light emitted from the light emitting layer varies according to the light emitting material. | 01-08-2009 |
20090008665 | ORGANIC LIGHT EMITTING ELEMENT AND METHOD OF MANUFACTURING THE SAME - An organic light emitting element includes an organic light emitting diode formed on a substrate, coupled to a transistor including a gate, a source and a drain and including a first electrode, an organic thin film layer and a second electrode; a photo diode formed on the substrate and having a semiconductor layer including a high-concentration P doping region, a low-concentration P doping region, an intrinsic region and a high-concentration N doping region; and a controller that controls luminance of light emitted from the organic light emitting diode, to a constant level by controlling a voltage applied to the first electrode and the second electrode according to the voltage outputted from the photo diode. | 01-08-2009 |
20090008666 | OPTICAL SEMICONDUCTOR DEVICE - A semiconductor light-emitting element is disposed in a depression of a container. A first fluorescent material layer is located in the depression. At least a portion of the first fluorescent material layer is provided between the opening of the depression and the semiconductor light-emitting element. A second fluorescent material layer having first and second portions is disposed in the depression. The first portion is provided between the bottom of the depression and the semiconductor light-emitting element. The second portion is provided between the side surface of the depression and the semiconductor light-emitting element. The first and second fluorescent material layers are excited by the light radiated from the semiconductor light-emitting element to emit a light having a first wavelength longer than the emission wavelength of the semiconductor light-emitting element and another light having a second wavelength longer than the first wavelength respectively. | 01-08-2009 |
20090008667 | METHOD FOR FORMING PATTERN, METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE, AND LIGHT EMITTING DEVICE - Oxidation treatment is performed to the surface of a substrate provided with a photocatalytic conductive film and an insulating film; treatment with a silane coupling agent is performed, so that a silane coupling agent film is formed and the surface of the substrate is modified to be liquid-repellent; and the surface of the substrate is irradiated with light of a wavelength (less than to equal to 390 nm) which has energy of greater than or equal to a band gap of a material for forming the photocatalytic conductive film, so that only the silane coupling agent film over the surface of the photocatalytic conductive film is decomposed and the surface of the photocatalytic conductive film can be modified to be lyophilic. | 01-08-2009 |
20090008668 | Semiconductor Light Emitting Device and Method for Fabricating the Same - A semiconductor light emitting device, which includes: a first conductivity-type semiconductor layer; a second conductivity-type semiconductor layer; a semiconductor light emitting portion having a light emitting layer which is disposed between the first conductivity-type semiconductor layer and the second conductivity-type semiconductor layer; a first conductivity-type semiconductor side electrode connected to the first conductivity-type semiconductor layer; and a second conductivity-type semiconductor side electrode connected to the second conductivity-type semiconductor layer, wherein the second conductivity-type semiconductor side electrode is disposed separated from an insulator film covering the semiconductor light emitting portion by a separation area. | 01-08-2009 |
20090008669 | Package for micromirror device - The present invention discloses a mirror device that includes a mirror element which further comprising an elastic hinge and a mirror and which modulates incident light emitted from a light source, a device substrate on which a drive circuit for driving the mirror element is placed, a package substrate which is made of transparent glass or a silicon material and on which the device substrate is placed, a metallic thermal transfer path connected to the device substrate, and a cover glass connected to the package substrate so that the device substrate is covered. | 01-08-2009 |
20090014735 | Semiconductor device and semiconductor device fabrication method - There is provided a semiconductor device in which a light emitting element is mounted on a substrate, having a bonding wire which is connected to the light emitting element, and a through electrode which is connected to the bonding wire and is formed in such a manner as to pass through the substrate at a position lying directly below a connecting portion with the bonding wire. | 01-15-2009 |
20090014736 | Coating method utilizing phosphor containment structure and devices fabricated using same - Methods for fabricating a semiconductor devices, and in particular light emitting diodes (LEDS) comprising providing a plurality of semiconductor devices on a substrate and forming a contact on at least some of the semiconductor devices. A containment structure is formed on at least some of the semiconductor devices having a contact with each containment structure defining a deposition area excluding the contact. A coating material is deposited then within the deposition area, with the coating material not covering the contact. A light emitting diode (LED) chip wafer comprising a plurality of LEDs on a substrate wafer with at least some of the LEDs having a contact. A plurality of containment structures are included, each of which is associated with a respective one of the plurality of LEDs. Each of the containment structures at least partially on its respective one of the LEDs and defining a deposition area on its respective one of the LEDs. The deposition area excludes the contact. A coating is included in each of the deposition areas. | 01-15-2009 |
20090014737 | LIGHT-EMITTING DIODES LAMP LENS STRUCTURE - The present invention is an LED lamp lens, on which orderly arranged surface plural protuberances. And with the differences of light perviousness, a particular luminous pattern of the LED lamp is displayed when the LED lamp is turned on. | 01-15-2009 |
20090014738 | Light emitting diode devices and manufacturing method thereof - A light emitting diode (LED) device includes a stacked epitaxial structure, a heat-conductive plate and a seed layer. The stacked epitaxial structure sequentially includes a first semiconductor layer (N—GaN), a light emitting layer, and a second semiconductor layer (P—GaN). The heat-conductive plate is disposed on the first semiconductor layer, and the seed layer is disposed between the first semiconductor layer and the heat-conductive plate. Also, the present invention discloses a manufacturing method thereof including the steps of: forming at least one temporary substrate, which is made by a curable polymer material, on an LED device, and forming at least a heat-conductive plate on the LED device. | 01-15-2009 |
20090014739 | LIGHT-EMITTING DIODE PACKAGE STRUCTURE - The present invention is an improved Light-Emitting Diode (LED) package structure comprising a light-emitting diode chip, a package board of heat conductive semiconductor material, a lead frame, and a circuit. Whereon the package board installs plural thermal vias to conduct the electricity circuit and transmit the heat out of the package due to the LED luminescing as well. | 01-15-2009 |
20090014740 | LIGHT EMITTING DEVICES AND RELATED METHODS - Devices, such as light-emitting devices (e.g., LEDs), and methods associated with such devices are provided. A light-emitting device may include an interface through which emitted light passes therethrough. The interface having a dielectric function that varies spatially according to a pattern, wherein the pattern is arranged to provide light emission that has a substantially isotropic emission pattern and is more collimated than a Lambertian distribution of light. | 01-15-2009 |
20090014741 | GROUP OF PHOSPHOR PARTICLES FOR LIGHT-EMITTING DEVICE, LIGHT-EMITTING DEVICE AND BACKLIGHT FOR LIQUID CRYSTAL DISPLAY - A group of phosphor particles for a light-emitting device contains a plurality of types of phosphor particles having different emission peak wavelengths, while phosphor particles of a type having a relatively longer emission peak wavelength have a relatively larger median diameter as compared with phosphor particles of a type having a relatively shorter emission peak wavelength. | 01-15-2009 |
20090014742 | PATTERNED LIGHT EMITTING DEVICES - Light-emitting devices, and related components, systems and methods are disclosed. A light-emitting device can include a multi-layer stack of materials that includes a light-generating region and a first layer supported by the light-generating region. During use of the light-emitting device, light generated by the light-generating region can emerge from the light-emitting device via a surface of the first layer. The surface of the first layer can have a dielectric function that varies spatially as a pattern and at least about 45% of a total amount of light generated by the light-generating region can emerge from the light-emitting device emerges via the surface of the light-emitting device. | 01-15-2009 |
20090014743 | METHOD OF MAKING A LIGHT-EMITTING DIODE - Methods are disclosed for forming a vertical semiconductor light-emitting diode (VLED) device having an active layer between an n-doped layer and a p-doped layer; and securing a plurality of balls on a surface of the n-doped layer of the VLED device. | 01-15-2009 |
20090014744 | Semiconductor light-emitting device and method - The present invention discloses a semiconductor light-emitting device including a semiconductor light-emitting element, a first attaching layer and a wavelength conversion structure. The primary light emitted from the semiconductor light-emitting element enters the wavelength conversion structure to generate a converted light, whose wavelength is different form that of the primary light. In addition, the present invention also provides the method for forming the same. | 01-15-2009 |
20090014745 | Method of manufacturing high power light-emitting device package and structure thereof - A method of manufacturing high power light-emitting device packages and structure thereof, wherein the method thereof includes the steps of: (a) forming a plurality of lead frames, each of the lead frames includes a heat-dissipating element and a plurality of leads; (b) electroplating an outer surface of the lead frames each; (c) coating conductive gel on a surface of the heat-dissipatings each; (d) arranging at least one light-emitting chip on the conductive gel; (e) forming an encapsulant on each of the lead frames; (f) connecting at least one top electrode of the light-emitting chip with one of the leads; (g) coating silicon gel for covering the at one light-emitting chip, and forming integrally a focusing light convex surface on a top surface of the silicon gel; and (h) cutting off the tie-bars to separate the lead frames from one another, whereby forming a plurality of high power light-emitting device packages. | 01-15-2009 |
20090020772 | LIGHT-EMITTING DEVICE AND METHOD FOR MAKING THE SAME - A light-emitting device is capable of emitting a light having a wavelength ranging from 300 to 550 nm, and includes: a substrate; a p-type semiconductor layer disposed on the substrate; an active layer disposed on the p-type semiconductor layer; a n-type semiconductor layer disposed on the active layer and having a waveguide-disposing surface; and a waveguide structure formed on the waveguide-disposing surface of the n-type semiconductor layer and having a plurality of spaced apart nanorods extending from the waveguide-disposing surface. | 01-22-2009 |
20090020773 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a semiconductor light emitting device. The method includes: mounting a semiconductor light emitting element on a flat substrate; covering the semiconductor light emitting element on the flat substrate by a cover layer in a domed shape to form a light emitting device, the cover layer including at least a phosphor layer and a coating resin layer that are laminated in order, so as to fill around the semiconductor light emitting element; measuring an emission condition of the light emitting device; and forming a convex lens unit on the outermost of the coating resin layer using a liquid droplet discharging apparatus to adjust an emission distribution of the light emitting device based on the measured emission condition. | 01-22-2009 |
20090020774 | PACKAGE OF LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - Provided is a package of a light emitting diode. The package includes a metal plate, a light-emitting diode chip, an insulating layer, a lead frame, a reflective coating layer, and a molding material. The light-emitting diode chip is surface-mounted on the metal plate, and the insulating layer is formed on the metal plate and is separated from the light-emitting diode chip. The lead frame is provided on the insulating layer, the reflective coating layer is formed on the lead frame, and the molding material molds the light-emitting diode chip in a predetermined shape. | 01-22-2009 |
20090020775 | RED LINE EMITTING COMPLEX FLUORIDE PHOSPHORS ACTIVATED WITH Mn4+ - New phosphor materials including a complex fluoride phosphor activated with Mn | 01-22-2009 |
20090020776 | Light-emitting device - A light-emitting device comprises a channel structure in the semiconductor layer for connecting an electrode and an ohmic contact layer by means of a substrate transfer process including a wafer-bonding process and a substrate-lifting-off process. The channel structure is formed in the semiconductor stack for electrically connecting the ohmic contact layer and the electrode and driving the current into the light-emitting device. Thereby, a horizontal type or a vertical type of light-emitting device has a good ohmic contact and high light efficiency. | 01-22-2009 |
20090020777 | VERTICAL RESONATOR TYPE LIGHT EMITTING DIODE - A novel vertical resonator type light emitting diode of which has a simplified structure of the reflector layer of its light emitting side an which is resistant to declination of its emission output power towards a high temperature range, has an active layer | 01-22-2009 |
20090026470 | SUPER THIN SIDE-VIEW LIGHT-EMITTING DIODE (LED) PACKAGE AND FABRICATION METHOD THEREOF - A fabrication method of a side-view LED package is provided. A chip carrier is provided. An opaque housing is bonded with the chip carrier. An LED chip electrically connects the chip carrier by performing a chip-bonding process and the opaque housing has a cavity for accommodating the LED chip. A transparent encapsulant is disposed in the cavity wherein the transparent encapsulant has a side-view light output surface uncovered by the opaque housing and light emitted from the LED chip is output via the side-view light output surface. A portion of the opaque housing and a portion of the transparent encapsulant are removed for reducing an overall thickness of the opaque housing such that a top surface of the transparent encapsulant is uncovered by the opaque housing beside the side-view light output surface. An opaque protective layer is formed on the top surface of the transparent encapsulant and the opaque housing. | 01-29-2009 |
20090026471 | LIGHT-SCATTERING STRUCTURE, LIGHT EMITTING DEVICE COMPRISING THE SAME AND METHOD OF FORMING THE SAME - A light-scattering structure with micron-scale or submicron-scale protruding portions is provided to improve the light extraction efficiency of light emitting devices. The protruding portions function as scattering sites and can be assembled closely. A method of forming a light-scattering structure is also provided, wherein all the conventional substrate materials can be used for the substrate of the light-scattering structure, and scattering sites of submicron-scale, micron-scale or larger size can be fabricated. | 01-29-2009 |
20090026472 | Silicon LED package having horn and contact edge with (111) planes | 01-29-2009 |
20090026473 | InGaAlN LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - There is provided an InGaAlN light-emitting device and a manufacturing method thereof. The light emitting device includes a conductive substrate having a main surface and a back surface, a metal bonding layer formed on the main surface of the substrate, a light reflecting layer formed on the bonding layer, a semiconductor multilayer structure including at least a p-type and an n-type InGaAlN layer disposed on the reflecting layer, the p-type InGaAlN layer directly contacting the reflecting layer, and ohmic electrodes disposed on said n-type InGaAlN layer and on the back surface of the conductive substrate, respectively. | 01-29-2009 |
20090026474 | RADIATION-EMITTING ELEMENT AND METHOD FOR PRODUCING A RADIATION-EMITTING ELEMENT - A radiation-emitting component comprises an optical element and a housing body that has a fastening device that engages with or wraps around the optical element, wherein the fastening device is bent or is provided with projections in such a way that the optical element is irreversibly fixed on the housing body. | 01-29-2009 |
20090026475 | Semiconductor Light Emitting Device and Method for Manufacturing the Same - Concaves and convexes are formed in a light transmitting conductive layer provided on a surface of a light emitting device made of nitride semiconductor, thereby light emitted from a light emitting layer is totally reflected repeatedly in a semiconductor lamination portion and a substrate and can be effectively taken out without attenuation, and external quantum efficiency can be improved. A semiconductor lamination portion ( | 01-29-2009 |
20090026476 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND SEMICONDUCTOR LIGHT-EMITTING ELEMENT MANUFACTURING METHOD - An aspect of the present invention inheres in a semiconductor light-emitting element includes a light-emitting functional stacked body including a light-emitting region having a light-emitting function, and including a light extraction surface for extracting light emitted from the light-emitting region, and an upward convex lens disposed on the light extraction surface. | 01-29-2009 |
20090026477 | NOVEL PHOSPHOR AND FABRICATION OF THE SAME - The present invention provides a novel phosphor represent by the following general formula: | 01-29-2009 |
20090026478 | SEMICONDUCTOR LIGHT EMITTING DEVICE - There is provided a semiconductor light emitting device having excellent light extraction efficiency to efficiently reflect light moving into the device by increasing the total reflectivity of a reflective layer. A semiconductor light emitting device according to an aspect of the invention includes: a substrate, a reflective electrode, a first conductivity semiconductor layer, an active layer, and a second conductivity type semiconductor layer that are sequentially stacked. Here, the reflective electrode includes; a first reflective layer provided on the substrate and including a conductive reflective material reflecting light generated from the active layer; and a second reflective layer provided on the first reflective layer, including one or more dielectric portions reflecting light generated from the active layer, and one or more contact holes filled with a conductive filler to electrically connect the first conductivity type semiconductor layer and the first reflective layer, and having a greater thickness than a wavelength of the generated light. | 01-29-2009 |
20090026479 | OPTICAL WAVEGUIDE DEVICE AND MANUFACTURING METHOD THEREOF - An optical waveguide device including a substrate; a light emitting element provided on a light emitting element provision region of an upper surface of the substrate; an under-cladding layer provided on a portion of the upper surface of the substrate except for the light emitting element provision region; and a core covering the light emitting element and the under-cladding layer on the substrate, and serving as a path of light emitted from the light emitting element. An optical waveguide device manufacturing method including the steps of: forming an under-cladding layer on a portion of an upper surface of a substrate except for the light emitting element provision region; placing a light emitting element on the light emitting element provision region; and forming a core on the resultant substrate to cover the light emitting element and the under-cladding layer. | 01-29-2009 |
20090026480 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is a light emitting device with high extraction efficiency, in which absorption of light by a conductive wire is prevented effectively. The light emitting device includes a conductive wire electrically connecting an electrode of a light emitting element and an electrically conductive member. The surface of the bonding portion of the conductive wire between the conductive wire and at least one of the electrode of the light emitting element and the electrically conductive member is covered with a metal film. The reflectivity of the metal film is higher than that of the conductive wire at the emission peak wavelength of the light emitting element. | 01-29-2009 |
20090026481 | Nitride semiconductor light-emitting device and method of manufacturing nitride semiconductor light-emitting device - A nitride semiconductor light-emitting device including a coating film and a reflectance control film successively formed on a light-emitting portion, in which the light-emitting portion is formed of a nitride semiconductor, the coating film is formed of an aluminum oxynitride film or an aluminum nitride film, and the reflectance control film is formed of an oxide film, as well as a method of manufacturing the nitride semiconductor light-emitting device are provided. | 01-29-2009 |
20090026482 | Optoelectronic Component - An optoelectronic component having a basic housing or frame and at least one semiconductor chip, specifically a radiation-emitting or-receiving semiconductor chip, in a cavity of the basic housing. In order to increase the efficiency of the optoelectronic component, reflectors are provided in the cavity in the region around the semiconductor chip. These reflectors are formed by virtue of the fact that a filling compound filled at least partly into the cavity is provided, the material and the quantity of the filling compound being chosen in such a way that the filling compound, on account of the adhesion force between the filling compound and the basic housing, assumes a form which widens essentially conically from bottom to top in the cavity, and the conical inner areas of the filling compound serve as reflector. | 01-29-2009 |
20090032829 | LED Light Source with Increased Thermal Conductivity - A light source and method for making the same are disclosed. The light source includes a substrate, a plurality of dies and a transparent layer of encapsulant. The substrate includes an insulating layer having top and bottom surfaces, the top surface having a first metal patterned layer thereon, and the bottom surface having a second metal patterned layer thereon. The first metal patterned layer has a plurality of die mounting areas thereon, and the second metal patterned layer includes a first contact layer that underlies the die mounting area, the die mounting area and the first contact layer being connected by metal lined vias at each of the die mounting areas. The transparent encapsulant covers the plurality of dies and is bonded to the first metal patterned layer and the top surface of the insulating layer. | 02-05-2009 |
20090032830 | LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - A light-emitting diode and the manufacturing method thereof are disclosed. The manufacturing method comprises the steps of: sequentially forming a refraction dielectric layer, a bonding layer, an epitaxy structure and a first electrode on a permanent substrate, wherein the epitaxy structure comprises a first conductivity type semiconductor layer, an active layer and a second conductivity type semiconductor layer stacked in sequence; and forming a second electrode on the portion surface of the second conductivity type semiconductor layer. Therefore the light-emitting diode is achieved. | 02-05-2009 |
20090032831 | Optical waveguide apparatus and method for manufacturing the same - An optical waveguide apparatus having a very simple structure that can modulate a signal light guided through an optical waveguide is provided. A photoresist | 02-05-2009 |
20090032832 | LIGHT EMITTING DIODE STRUCTURE - A light emitting diode structure has a silicon substrate, a conductive layer, and a light emitting diode. The top surface of the silicon substrate has a cup-structure like paraboloid, and the bottom of the cup-structure has a plurality of through-holes penetrating the silicon substrate. The conductive layer fills up the through-holes and protrudes out from the through-holes. The light emitting diode is disposed on the top of the conductive layer protruding out from the through-holes and is located at the focus of the cup-structure. | 02-05-2009 |
20090039365 | SEMICONDUCTOR LIGHT EMITTING DEVICES WITH APPLIED WAVELENGTH CONVERSION MATERIALS AND METHODS OF FORMING THE SAME - A semiconductor structure includes an active region configured to emit light upon the application of a voltage thereto, a window layer configured to receive the light emitted by the active region, and a plurality of discrete phosphor-containing regions on the window layer and configured to receive light emitted by the active region and to convert at least a portion of the received light to a different wavelength than a wavelength of light emitted by the active region. Methods of forming a semiconductor structure including an active region configured to emit light and a window layer include forming a plurality of discrete phosphor-containing regions on the window layer. | 02-12-2009 |
20090039366 | Semiconductor light-emitting device with high heat-dissipation efficiency and method for fabricating the same - The invention discloses a semiconductor light-emitting device and a method of fabricating the same. The semiconductor light-emitting device according to the invention includes a substrate, a multi-layer structure, a first electrode structure, and a second electrode structure. The substrate has an upper surface and a lower surface. The substrate therein includes at least one formed-through hole which is filled with a thermally conductive material. The multi-layer structure is formed on the upper surface of the substrate and includes a light-emitting region. The first electrode structure is formed on the multi-layer structure, and the second electrode structure is formed on the lower surface of the substrate. In particular, the heat generated during the operation of the semiconductor light-emitting device is conducted to the thermally conductive material and then is dissipated therefrom. | 02-12-2009 |
20090039367 | LIGHT EMITTING DIODES WITH A P-TYPE SURFACE BONDED TO A TRANSPARENT SUBMOUNT TO INCREASE LIGHT EXTRACTION EFFICIENCY - An (Al,Ga,In)N-based light emitting diode (LED), comprising a p-type surface of the LED bonded with a transparent submount material to increase light extraction at the p-type surface, wherein the LED is a substrateless membrane. | 02-12-2009 |
20090039368 | LIGHT-EMITTING DEVICE - A light-emitting device offering satisfactory light emission characteristics combined with improved reliability has a substrate on the principal surface of which a non-polar electrode layer is formed, an LED chip mounted in a predetermined region on the non-polar electrode layer, a plurality of cathode and anode electrode layers formed on the principal surface of the substrate for supplying electric power to the LED chip, and a reflective frame formed of a metal material containing aluminum as its main content, the reflective frame having its inner circumferential surface formed into a reflective surface for reflecting the light from the LED chip. The reflective frame is fixed, directly, or indirectly with adhesive, to the non-polar electrode layer so as to surround the LED chip, with the inside of the reflective frame sealed with a light-transmitting member. An anodized aluminum coat with a thickness of 2 μm to 10 μm is formed by anodization at least on the inner circumferential surface, formed into the reflective surface, of the reflective frame. | 02-12-2009 |
20090039369 | SEMICONDUCTOR LIGHT EMITTING APPARATUS - A semiconductor light emitting apparatus can include a housing filled with a wavelength conversion material-containing resin material which seals a semiconductor light emitting device inside the recess of the housing. A transparent resin material can be charged on the wavelength conversion material-containing resin material, and can be configured to prevent the resin materials from being detached from each other or from other portions, such as a housing. Furthermore, such a semiconductor light emitting apparatus can emit light with less color unevenness. The housing can include a first recessed portion and a second recessed portion. The second recessed portion can have a larger diameter than the first recessed portion so as to form a stepped area at the boundary therebetween. The first recessed portion is filled with the wavelength conversion material-containing resin material as a first resin. The first resin extends along from an inner surface of the first recessed portion up to an inner surface of the second recessed portion to cover the inner surface of the second recessed portion. Accordingly, the first resin is recessed at its center area toward the semiconductor light emitting device to form a curved upper surface, and the second resin on the first resin is not in contact with the housing. | 02-12-2009 |
20090039370 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device and a method of manufacturing the semiconductor light emitting device are provided. The semiconductor light emitting device comprises a substrate having a top surface that is curved to protrude, and a light emitting structure that is curved to protrude on the substrate and comprises an active layer. | 02-12-2009 |
20090039371 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT EMITTING APPARATUS HAVING THE SAME - A semiconductor light emitting device and a light emitting apparatus having the semiconductor light emitting device are provided. The semiconductor light emitting device comprises a substrate, a light emitting structure on the substrate, comprising a first conductive type semiconductor layer, an active layer, a second conductive type semiconductor layer, and a first electrode unit on sidewalls of the substrate and the first conductive type semiconductor layer. | 02-12-2009 |
20090039372 | Semiconductor light emitting device - A semiconductor light emitting device includes a semiconductor light emitting element, a lead electrically connected to the semiconductor light emitting element, and a resin package covering the semiconductor light emitting element and part of the lead. The resin package includes a lens facing the front of the semiconductor light emitting element. The lead includes an elongated mounting portion projecting from the resin package. The mounting portion includes a pair of first projections spaced from each other in the longitudinal direction and a second projection positioned between the first projections. The first projections and the second projection project in opposite directions from each other in the width direction of the mounting portion. | 02-12-2009 |
20090039373 | Group III nitride-based compound semiconductor light emitting device - A group III nitride-based compound semiconductor light emitting device includes a polarity inversion layer including a surface with a convex portion, and a transparent electrode formed on the polarity inversion layer. The polarity inversion layer may have a magnesium concentration of not less than 1×10 | 02-12-2009 |
20090039374 | Flip chip type light-emitting element - In a flip chip type light-emitting element of the present invention, an n type contact electrode | 02-12-2009 |
20090039375 | SEMICONDUCTOR LIGHT EMITTING DEVICES WITH SEPARATED WAVELENGTH CONVERSION MATERIALS AND METHODS OF FORMING THE SAME - A semiconductor device includes a semiconductor light emitting device (LED) that emits light having a first peak wavelength upon the application of a voltage thereto, and first and second phosphor-containing regions on the LED that receive the light and convert at least a portion of the light to light having a longer wavelength. The first phosphor-containing region is between the second phosphor-containing region and the LED so that a light ray emitted by the LED passes through the first phosphor-containing region before passing through the second phosphor-containing region. The first phosphor-containing region is configured to convert light emitted by the LED to light having a second peak wavelength and the second phosphor-containing region is configured to convert light emitted by the LED to light having a third peak wavelength, shorter than the second peak wavelength. | 02-12-2009 |
20090045420 | Backlight Including Side-Emitting Semiconductor Light Emitting Devices - Individual side-emitting LEDs are separately positioned in a waveguide, or mounted together on a flexible mount then positioned together in a waveguide. As a result, the gap between each LED and the waveguide can be small, which may improve coupling of light from the LED into the waveguide. Since the LEDs are separately connected to the waveguide, or mounted on a flexible mount, stress to individual LEDs resulting from changes in the shape of the waveguide is reduced. | 02-19-2009 |
20090045421 | Surface mount type light emitting diode package device - The invention discloses a surface mount type light emitting diode (LED) package device, which has a cup-shaped structure and comprises a specific lens bulged out over the cup opening. The lens is an aspheric lens having a specific curved surface not fully symmetric with respect to its central point, while it exhibits a similarly symmetric curved surface with respect to a bisector line or a diagonal line passing through the central point. The LED package device according to the present invention may have a wider view angle. | 02-19-2009 |
20090045422 | MEMBER FOR SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SUCH MEMBER, AND SEMICONDUCTOR LIGHT EMITTING DEVICE USING SUCH MEMBER - To provide novel semiconductor light-emitting device member superior in transparency, light resistance, and heat resistance and capable of sealing semiconductor light-emitting device and holding phosphor without generating cracks or peelings even after use for a long time, the member meets the following requirements: (1) comprising functional group forming hydrogen bond with hydroxyl group or oxygen in a metalloxane bond, on the surface of ceramic or metal, (2) maintenance rate of transmittance at 400 nm wavelength before and after left at 200° C. for 500 hours is between 80% to 110%, (3) no change is observed by visual inspection after irradiated with light having 380 nm to 500 nm wavelength, whose center wavelength is between 400 nm and 450 nm both inclusive, for 24 hours with 4500 W/m | 02-19-2009 |
20090045423 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - An object of the present invention is to provide a light-emitting device with a high output and a high efficiency by improving the efficiency for utilizing light emitted from a semiconductor light-emitting element. | 02-19-2009 |
20090045424 | SILICONE BASED CIRCUIT BOARD INDICATOR LED LENS - The present invention relates generally to a light transmitting device. In one embodiment, the light transmitting device includes a light emitting diode (LED) chip, a surface mounting device and a lens comprising a silicone based material, wherein a portion of the lens achieves a total internal reflection of a light emitted by the LED chip. | 02-19-2009 |
20090045425 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light emitting device includes: a support substrate; a metal layer provided on the support substrate; a semiconductor layer provided on the metal layer and including a light emitting layer; a contact layer containing a semiconductor, selectively provided between the semiconductor layer and the metal layer, and being in contact with the semiconductor layer and the metal layer; and an insulating film provided between the semiconductor layer and the metal layer at a position not overlapping the contact layer. | 02-19-2009 |
20090045426 | Semiconductor chip and method for producing a semiconductor chip - A semiconductor chip ( | 02-19-2009 |
20090045427 | Photonic Crystal Light Emitting Device - A photonic crystal structure is formed in an n-type region of a III-nitride semiconductor structure including an active region sandwiched between an n-type region and a p-type region. A reflector is formed on a surface of the p-type region opposite the active region. In some embodiments, the growth substrate on which the n-type region, active region, and p-type region are grown is removed, in order to facilitate forming the photonic crystal in an n-type region of the device, and to facilitate forming the reflector on a surface of the p-type region underlying the photonic crystal. The photonic crystal and reflector form a resonant cavity, which may allow control of light emitted by the active region. | 02-19-2009 |
20090050916 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND SEMICONDUCTOR LIGHT EMITTING APPARATUS - A semiconductor light emitting device includes a first semiconductor layer, a second semiconductor layer, a light emitting layer provided between the first semiconductor layer and the second semiconductor layer, a first electrode provided on the first semiconductor layer, a second electrode including a first metal film provided on the second semiconductor layer and containing at least one of silver and a silver alloy, and a second metal film provided on the first metal film and made of a metal substantially not containing silver, and a dielectric film spaced from the first metal film on the second semiconductor layer. The second metal film covers the first metal film, at least part of the dielectric film, and a surface of the second semiconductor layer exposed between the first metal film and the dielectric film. | 02-26-2009 |
20090050917 | Semiconductor light emitting device - A semiconductor light emitting device includes a substrate, and a light emitting portion that is disposed on the substrate, and includes an active layer formed of a group III nitride semiconductor using a nonpolar plane or a semipolar plane as a growth principal surface, in which side end surfaces of the active layer are specular surfaces. | 02-26-2009 |
20090050918 | Phosphor, its preparation method and light emitting devices using the same - A phosphor can be excited by UV, purple or blue light LED, its preparation method, and light emitting devices incorporating the same. The phosphor contains rare earth, silicon, alkaline-earth metal, halogen, and oxygen, as well as aluminum or gallium. Its General formula of is aLn | 02-26-2009 |
20090050919 | LIGHT EMITTING DIODE MODULE - The present invention relates to a light emitting diode (LED) module ( | 02-26-2009 |
20090057697 | LED ASSEMBLY WITH LED-REFLECTOR INTERCONNECT - The present invention provides a high output LED assembly including a heat sink ( | 03-05-2009 |
20090057698 | LIGHT EMISSION DEVICE - A light emitting apparatus | 03-05-2009 |
20090057699 | LED with Particles in Encapsulant for Increased Light Extraction and Non-Yellow Off-State Color - In one embodiment, sub-micron size granules of TiO | 03-05-2009 |
20090057700 | Light emitting element and a manufacturing method thereof - A light emitting element and a method for manufacturing the same are disclosed. In accordance with the element and the method, the dielectric thin film including the embossed pattern partially covering the sapphire substrate prevents damage of a sapphire substrate that occurs during a texturing of the sapphire substrate and a defect of an epitaxial thin film formed in a subsequent process. | 03-05-2009 |
20090057701 | Phosphor coating method for fabricating light emmitting semiconductor device and applications thereof - A phosphor coating method for fabricating a light-emitting semiconductor is provided. The phosphor coating method comprises the steps as follows: First a light emitting semiconductor wafer having a plurality of die units formed thereon is provided, and a photoresist is then formed on the light emitting semiconductor wafer to cover the die units. A pattern process is conducted to form a plurality of openings associated with the die units, whereby each die can be exposed via one of the openings. Subsequently, a compound mixed with phosphor is filled into the openings. | 03-05-2009 |
20090057702 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - The invention discloses a semiconductor light-emitting device. The semiconductor light-emitting device according to the invention includes a substrate, a multi-layer structure, at least one electrode structure, and a light reflector. The substrate has an upper surface. The multi-layer structure is formed on the upper surface of the substrate. The multi-layer structure includes a light-emitting region and at least one semiconductor material layer. The multi-layer structure also has a top surface. The at least one electrode structure is formed on the top surface of the multi-layer structure. The light reflector is formed on the top surface of the multi-layer structure. | 03-05-2009 |
20090057703 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THEREOF - A semiconductor light emitting device and a method of fabricating thereof are provided. The semiconductor light emitting device comprises: a first conductive semiconductor layer having an uneven pattern side; an active layer on the first conductive semiconductor layer; and a second conductive semiconductor layer on the active layer. | 03-05-2009 |
20090057704 | LIGHT EMITTING DIODE PACKAGE HAVING HEAT DISSIPATING SLUGS - A light emitting diode package having heat dissipating slugs is provided. The light emitting diode package comprises first and second heat dissipating slugs formed of a conductive material and spaced apart from each other; a package main body coupled to the first and second heat dissipating slugs to support the first and second heat dissipating slugs; and a light emitting diode die electrically connected to the first and second heat dissipating slugs, wherein the respective first and second heat dissipating slugs are exposed to the outside through lower and side surfaces of the package main body. As such, the first and second heat dissipating slugs can be used as external leads. | 03-05-2009 |
20090065791 | WHITE LIGHT LED WITH MULTIPLE ENCAPSULATION LAYERS - Light-emitting semiconductor devices with multiple encapsulation layers having more uniform white light when compared to conventional light-emitting devices and methods for producing the same are provided. The uniformity of the emitted white light may be quantified by comparing correlated color temperature (CCT) variations between devices, where embodiments of the present invention have a lower CCT variation when compared to conventional devices over a substantial range of light emission angles. | 03-12-2009 |
20090065792 | METHOD OF MAKING AN LED DEVICE HAVING A DOME LENS - A method of making a light emitting device is disclosed herein. The method includes providing an LED die and dispensing a photopolymerizable composition to form a photopolymerizable dome lens, wherein the photopolymerizable composition is optically coupled to the LED die. The photopolymerizable dome lens may be formed by the photopolymerizable composition using a single drop or a plurality of drops. In one embodiment, the photopolymerizable composition comprises a metal-containing catalyst and a silicon-containing resin comprising silicon-bonded hydrogen and aliphatic unsaturation. The photopolymerizable dome is polymerized to form a polymerized dome lens. Light emitting devices prepared according to the methods are also described. | 03-12-2009 |
20090065793 | LIGHT EMITTING DEVICE - A light emitting device is disclosed herein. An embodiment of the light emitting device comprises a substrate and a reflector extending from the substrate. The reflector forms a cavity in conjunction with the substrate. A light emitter is located in the cavity. At least one first recessed portion is located in the reflector, the at least one first recessed portion extends substantially axially around the reflector. | 03-12-2009 |
20090065794 | Light emitting diode device and manufacturing method therof - A light-emitting diode (LED) device and manufacturing methods thereof are provided, wherein the LED device comprises a substrate, a first type conductivity semiconductor layer, an active layer, a second type conductivity semiconductor layer, a transparent conductive oxide stack structure, a first electrode, and a second electrode. The first semiconductor layer on the substrate has a first portion and a second portion. The active layer and the second semiconductor layer are subsequently set on the first portion. The transparent conductive oxide stack structure on the second semiconductor layer has at least two resistant interfaces. The first electrode is above the second portion, and the second electrode is above the transparent conductive oxide stack structure. | 03-12-2009 |
20090072255 | PHOSPHOR AND LIGHT-EMITTING DEVICE USING SAME - The present invention relates to a phosphor that satisfies requirements (1) to (3):
| 03-19-2009 |
20090072256 | Light emitting diode package and method of manufacturing the same - Provided is a light emitting diode (LED) package including a phosphor substrate; an LED chip mounted on the phosphor substrate; a circuit board mounted on the other region of the phosphor substrate excluding the region where the LED chip is mounted; an electrode connection portion for electrically connecting the LED chip and the circuit board; and a sealing member that covers the LED chip, the circuit board, and the phosphor substrate. | 03-19-2009 |
20090072257 | Light emitting device - An upper electrode is formed on one surface of a semiconductor multilayer structure including a light emitting layer. An interface electrode is formed at a region of another surface of the semiconductor multilayer structure except a region right under the upper electrode. A center of the interface electrode coincides with a center of the upper electrode. At least a part of the interface electrode has a similar shape to a shape of an outer periphery of the upper electrode. A current blocking layer is formed at another region of another surface of the semiconductor multilayer structure except the region where the interface electrode is formed. A reflecting layer for reflecting a part of the light emitted from the light emitting layer is electrically connected to the interface electrode. A conductive supporting substrate is electrically connected to the semiconductor multilayer structure. | 03-19-2009 |
20090072258 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display includes an organic light emitting diode formed on a substrate, coupled to a transistor; a photodiode formed on the substrate and including a semiconductor layer including a high-concentration P doping region, an intrinsic region with defects and a high-concentration N doping region; and a controller that uniformly controls the luminance of light emitted from the organic light emitting diode by controlling a voltage applied to the first electrode and the second electrode according to the voltage outputted from the photodiode. | 03-19-2009 |
20090072259 | LIGHT-EMITTING DIODE APPARATUS AND MANUFACTURING METHOD THEREOF - A light-emitting diode (LED) apparatus includes a thermoconductive substrate, a thermoconductive adhesive layer, an epitaxial layer, a current spreading layer and a micro- or nano-roughing structure. The thermoconductive adhesive layer is disposed on the thermoconductive substrate. The epitaxial layer is disposed opposite to the thermoconductive adhesive layer and has a first semiconductor layer, an active layer and a second semiconductor layer. The current spreading layer is disposed between the second semiconductor layer of the epitaxial layer and the thermoconductive adhesive layer. The micro- or nano-roughing structure is disposed on the first semiconductor layer of the epitaxial layer. In addition, a manufacturing method of the LED apparatus is also disclosed. | 03-19-2009 |
20090072260 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic electroluminescence device and a method for manufacturing the same are disclosed. The organic electroluminescence device includes a transparent substrate, a semiconductor layer including a source region, a channel region and a drain region, a gate insulating film having first contact holes on the source and drain regions and formed on the substrate including the semiconductor layer, a gate electrode formed on the gate insulating film above the channel region, an interlayer insulating film having second contact holes on the source and drain regions and formed on an entire surface of the gate insulating film including the gate electrode, and a source electrode and a drain electrode formed on the interlayer insulating film to be electrically connected to the source and drain regions through the first and second contact holes, wherein at least one of the source electrode and the drain electrode is formed to cover the semiconductor layer. | 03-19-2009 |
20090072261 | LIGHT EMITTING DIODE DEVICE - A light emitting diode device includes a substrate, a light emitting diode chip, a plurality of wires, a plurality of lead frames, an insulating body, an encapsulant and a lens. The light emitting diode chip is electrically connected with a lead frame and the substrate. The substrate is electrically connected with another lead frame. Hence, the length of the wires can be decreased, and the reliability of the light emitting diode device can be improved. | 03-19-2009 |
20090072262 | (Al,In,Ga,B)N DEVICE STRUCTURES ON A PATTERNED SUBSTRATE - A nitride light emitting diode, on a patterned substrate, comprising a nitride interlayer having at least two periods of alternating layers of In | 03-19-2009 |
20090072263 | Color Control By Alteration of Wavelength Converting Element - A light emitting device is produced by depositing a layer of wavelength converting material over the light emitting device, testing the device to determine the wavelength spectrum produced and correcting the wavelength converting member to produce the desired wavelength spectrum. The wavelength converting member may be corrected by reducing or increasing the amount of wavelength converting material. In one embodiment, the amount of wavelength converting material in the wavelength converting member is reduced, e.g., through laser ablation or etching, to produce the desired wavelength spectrum. | 03-19-2009 |
20090078948 | ILLUMINATOR AND METHOD FOR PRODUCING SUCH ILLUMINATOR - The present invention relates to an illuminator ( | 03-26-2009 |
20090078949 | LIGHT EMITTING DEVICE WITH CONVERSION STRUCTURE - The invention relates to a light-emitting device comprising a conversion structure and one or several LEDs ( | 03-26-2009 |
20090078950 | Package structure with replaceable element for light emitting diode - A package structure for an LED is disclosed. The structure includes a first substrate, an LED chip, a second substrate, a protection layer and a replaceable optical element. The LED chip is disposed on the first substrate. The second substrate is disposed on the first substrate, and surrounds the LED chip. The second substrate has a first thread. The protection layer covers the LED chip. The replaceable optical element has a second thread, and is fastened to the second substrate through the first thread. An interior wall of the optical element corresponds to a surface of the protection layer in arc shape. | 03-26-2009 |
20090078951 | GALLIUM NITRIDE-BASED COMPOUND SEMICONDUCTOR LIGHT-EMITTING DEVICE - An object of the present invention is to provide a gallium nitride-based compound semiconductor light-emitting device having a reflective positive electrode configured to achieve excellent light extraction efficiency. | 03-26-2009 |
20090078952 | LIGHT-EMITTING CHIP DEVICE WITH HIGH THERMAL CONDUCTIVITY - This invention provides a light-emitting chip device with high thermal conductivity, which includes an epitaxial chip, an electrode disposed on a top surface of the epitaxial chip and a U-shaped electrode base cooperating with the electrode to provide electric energy to the epitaxial chip for generating light by electric-optical effect. The epitaxial chip includes a substrate and an epitaxial-layer structure with a roughening top surface and a roughening bottom surface for improving light extracted out of the epitaxial chip. A thermal conductive transparent reflective layer is formed between the substrate and the epitaxial-layer structure. The electrode base surrounds the substrate, the transparent reflective layer and a first cladding layer of the epitaxial-layer structure to facilitate the dissipation of the internal waste heat generated when the epitaxial chip emitting light. A method for manufacturing the chip device of the present invention is provided. | 03-26-2009 |
20090078953 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - The present invention provides a light emitting diode (LED) package, which includes a carrier substrate having a first surface and a second surface; a metal layer formed in the first surface of the carrier substrate, and a through hole formed in the central area of the metal layer to expose the portion of the first surface of the carrier substrate; a LED having a semiconductor layer capable of light emitting, and an N electrode and a P electrode on the two sides of the semiconductor layer, in which P electrode is electrically connected to the first surface of the metal layer; a first connecting element is electrically connected to the metal layer; a second connecting element is electrically connected to the N electrode; and an encapsulated material is formed to cover the LED, the metal layer, the exposed first connecting element and the second connecting element. | 03-26-2009 |
20090078954 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - Disclosed is a semiconductor light emitting device and a method for manufacturing the same. The semiconductor light emitting device comprises a first conductive semiconductor layer comprising a first concave-convex pattern, a second concave-convex pattern on at least one pattern of the first concave-convex pattern, an active layer on the first conductive semiconductor layer, and a second conductive semiconductor layer on the active layer. | 03-26-2009 |
20090078955 | Micro-Emitter Array Based Full-Color Micro-Display - Disclosed is a semiconductor micro-emitter array for use in a full-color microdisplay. Each pixel includes three vertically-stacked red, green, and blue micro-emitters which minimizes pixel size. The microdisplay may be exclusively based on Group III-nitride semiconductors, with differing indium concentrations in three respective InGaN/GaN active regions for emitting the three RGB colors. Alternatively the microdisplay may be based on hybrid integration of InGaN based III-nitride semiconductors for blue and green emissions, and AlGaInP based (e.g., Group III-V) semiconductors for red emissions. | 03-26-2009 |
20090078956 | PACKAGE STRUCTURE OF PHOTOELECTRONIC DEVICE AND FABRICATING METHOD THEREOF - A package structure for photoelectronic devices comprises a silicon substrate, a first insulating layer, a reflective layer, a second insulating layer, a first conductive layer, a second conductive layer and a die. The silicon substrate has a first surface and a second surface, wherein the first surface is opposed to the second surface. The first surface has a reflective opening, and the second surface has at least two electrode via holes connected to the reflective opening and a recess disposed outside the electrode via holes. The first insulating layer overlays the first surface, the second surface and the recesses. The reflective layer is disposed on the reflective opening. The second insulating layer is disposed on the reflective layer. The first conductive layer is disposed on the surface of the second insulating layer. The second conductive layer is disposed on the surface of the second surface and inside the electrode via holes. The die is fixed inside the reflective opening and electrically connected to the first conductive layer. | 03-26-2009 |
20090078957 | LIGHT EMITTING DEVICE - A light emitting device includes a board, a semiconductor light emitting element formed on the board optionally via a submount, a cap sealing the semiconductor light emitting element and a reflector provided surrounding the cap. The cap has top and bottom surfaces that are parallel to the top surface of the semiconductor light emitting element, and the spacing between the top and bottom surfaces is 1-3 times the longest diagonal or the diameter of the semiconductor light emitting element. Also disclosed is a process for producing the device. | 03-26-2009 |
20090085049 | Phosphor down converting element for an LED package and fabrication method - There is provided a phosphor down converting element based on fluoropolymer resin and a method for fabricating the same. There is further provided a method for using said phosphor down converting element to generate white light from a radiation source. The method for fabricating phosphor down converting element includes preparing an appropriate phosphor powder mixture that is capable of absorbing a first band of wavelengths and emitting a second band of wavelengths being greater in length than the first bands, incorporating the phosphor powder mixture into or on a phosphor carrier element comprising a fluoropolymer material, and molding the phosphor down converting elements into useful shapes. Fluoropolymers are the most chemically inert of all plastics, can withstand both extremely high and low temperatures, and show a resistance to weavering and UV degradation, making fluoropolymers optimal for use as a phosphor carrier. | 04-02-2009 |
20090085050 | ISLAND SUBMOUNT AND A METHOD THEREOF - An island submount used for carrying at least one light-emitting element having at least one electrical contact. The island submount includes a substrate, at least one island structure having a top surface and an inclined surface, and a conductive layer. The island structure is located on the substrate and corresponds to the electrical contact. The conductive layer is formed on the surface of the island structure and at least covers the top surface, so as to be electrically connected with the electrical contact. The island submount is capable of enhancing the light extraction efficiency of the light-emitting element, and avoids the energy loss due to re-absorption when the light emerging from below the light-emitting element is reflected back to the light-emitting element. | 04-02-2009 |
20090085051 | Light emitting diode device - A light emitting diode device includes a light emitting diode chip, a thermal conducting part, two electric conducting parts and two first conducting wires. The light emitting diode chip has a surface and two electrodes disposed on the surface. The thermal conducting part is electrically insulated to the electrodes. The thermal conducting part includes a core bearing the light emitting diode chip, and four outward lead-frames connected to the core. The electric conducting parts are electrically insulated to the thermal conducting part. The first conducting wires have ends electrically connected to the electrodes. | 04-02-2009 |
20090085052 | GAN TYPE LIGHT EMITTING DIODE DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention relates to a GaN type LED device and a method of manufacturing the same. More particularly, there are provided a GaN type LED device including an LED chip; and a submount eutectic-bonded with the LED chip through an adhesive layer, wherein the adhesive layer is configured by soldering a plurality of metallic layers in which a first metallic layer and a second metallic layer are sequentially stacked, and the second metallic layer is formed in a paste form. | 04-02-2009 |
20090090924 | INTERMEDIATE OPTICAL PACKAGES AND SYSTEMS COMPRISING THE SAME, AND THEIR USES - Methods and apparatuses for forming optical packages, and intermediate structures resulting from the same are disclosed, which provide an optical element over a device. The optical element is formed by applying a force to lateral portions of a liquid material layer formed below an elastomeric material layer such that the liquid material layer has a radius of curvature sufficient to direct light to a light sensitive portion of the device, after which the liquid material layer is exposed to conditions which maintain the radius of curvature after the lateral force is removed. | 04-09-2009 |
20090090925 | SEMICONDUCTOR DEVICE - There are a silicon laser device having a IV-group semiconductor such as silicon or germanium equivalent to the silicon as a basic constituent element on a substrate made of the silicon, and the like by a method capable of easily forming the silicon laser device by using a general silicon process, and a manufacturing method thereof. The silicon laser device is an ultrathin silicon laser that includes a first electrode unit injecting electrons, a second electrode unit injecting holes, a light emitting unit electrically connected to the first electrode unit and the second electrode unit, wherein the light emitting unit is made of single-crystal silicon and has a first surface (top surface) and a second surface (bottom surface) opposed to the first surface, a waveguide made of a first dielectric, which is disposed in the vicinity of the light emitting unit, by setting surface directions of the first and second surfaces as a surface (100) and thinning a thickness of the light emitting unit in a direction perpendicular to the first and second surfaces, and a mirror formed by alternately adjoining the first dielectric and a second dielectric. | 04-09-2009 |
20090095966 | Multiple conversion material light emitting diode package and method of fabricating same - An emitter package comprising a light emitting diode (LED) emitting light at a wavelength within a wavelength range and a plurality of phosphors. Each of the phosphors absorbs at least some light from the LED and re-emits a different wavelength of light. The package emits a combination of light from the LED and the plurality of phosphors, with the phosphors having excitation characteristics such that the emitter package emits light within a standard deviation of a target color for LEDs emitting at the wavelengths with the wavelength range. A method for fabricating emitter packages comprising fabricating a plurality of LEDs, each of which emits at a wavelength within a range of wavelengths. Each of the LEDs are arranged in a respective package with a plurality of conversion materials so that at least some light from each of the LEDs is absorbed and re-emitted by its corresponding conversion materials. The plurality of conversion materials have excitation characteristics that compensate for different LED emission wavelengths within the LED range of wavelengths such that each of the LED packages emits light within a standard deviation from a target color. | 04-16-2009 |
20090095967 | LIGHT EMITTING DEVICE - The lighting device ( | 04-16-2009 |
20090095968 | Image Sensor and Method for Manufacturing the Same - Provided are an image sensor and a method for manufacturing the same. A trench can be formed through metal interconnection layers of the image sensor in a region corresponding to a light receiving device for each unit pixel. A passivation layer pattern can be provided at sidewalls of the trench to inhibit light incident into the metal interconnection layers and reduce cross-talk and noise. A filler material can be provided to fill the trench. A color filter layer and microlens can be formed on the filler material. The filler material can be, for example, a polymer, an oxide layer, or a photoresist. | 04-16-2009 |
20090095969 | Substrate for mounting an optical semiconductor element, manufacturing method thereof, an optical semiconductor device, and manufacturing method thereof - A substrate for mounting optical semiconductor elements is provided, including a base substrate having an insulating layer and a plurality of wiring circuits formed on the upper face of the insulating layer, and having at least one external connection terminal formation opening portion which penetrates the insulating layer and reaches the wiring circuits; and an optical reflection member, which is provided on the upper face of the base substrate, and which forms at least one depressed portion serving as an area for mounting an optical semiconductor element. | 04-16-2009 |
20090095970 | WHITE PHOSPHORS, METHODS OF MAKING WHITE PHOSPHORS, WHITE LIGHT EMITTING LEDS, METHODS OF MAKING WHITE LIGHT EMITTING LEDS, AND LIGHT BULB STRUCTURES - Phosphor compositions, white phosphor compositions, methods of making white phosphor compositions, tinted white phosphor compositions, methods of making tinted white phosphor compositions, LEDs, methods of making LEDs, light bulb structures, paints including phosphor compositions, polymer compositions including phosphor compositions, ceramics including phosphor compositions, and the like are provided. | 04-16-2009 |
20090101928 | LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - Provided are a light emitting diode and a method of fabricating the same. In an inorganic light emitting diode, at least one layer selected from a group consisting of an oxide layer, a nitride layer, and a metal layer is formed on an upper doping layer which is in contact with a transparent electrode, and the plasma treatment is performed on the resultant structure to form a plasma etching layer, thereby enhancing adhesion between the upper doping layer and the transparent electrode. In an organic light emitting diode, at least one layer selected from a group consisting of an oxide layer, a nitride layer, and a metal layer is formed on a plastic substrate which is in contact with a transparent electrode, and the plasma treatment is performed on the resultant structure to form a plasma etching layer, thereby enhancing adhesion between the substrate and the transparent electrode. As a result, the adhesion between the substrate and the transparent electrode or between the upper doping layer and the transparent electrode is enhanced and the layer separation from the transparent electrode is prevented, thereby improving efficiency of the light emitting diode and increasing the production yield. | 04-23-2009 |
20090101929 | ROBUST LED STRUCTURE FOR SUBSTRATE LIFT-OFF - An etching step is performed on an LED/substrate wafer to etch through the LED epitaxial layers entirely around each LED on the substrate wafer to form a gap between each LED on the wafer. The substrate is not etched. When the LEDs/substrates are singulated, edges of each substrate extend beyond edges of the LED die. The LEDs are flip-chips and are mounted on a submount with the LED die between the submount and the substrate. An insulating underfill material is injected under the LED die and also covers the sides of the LED die and “enlarged” substrate. The substrate is then removed by laser lift-off. The raised walls of the underfill that were along the edges of the enlarged substrate are laterally spaced from the edges of the LED die so that a phosphor plate can be easily positioned on top to the LED die with a relaxed positioning tolerance. | 04-23-2009 |
20090101930 | Light emitting device with phosphor wavelength conversion - A light emitting device comprises an excitation source ( | 04-23-2009 |
20090101931 | Light Emitting Diode Structures - Light emitting diode (LED) structures are described that include a first layer and a light-generating layer, wherein light generated in the light-generating layer generally emerges from the LED structure through the upper surface of the first layer. The coupling out of light generated by spontaneous emission is enhanced by the presence of patterning in the first layer, which may take the form of an embedded photonic quasicrystal, a photonic structure comprising an amorphous array of subregions, or a zone plate structure. The invention provides the benefit of improved light extraction from the LED without undesirable far field illumination patterns. | 04-23-2009 |
20090108277 | PERIODICALLY STRUCTURED SUBSTRATE AND LIGHT EMITTING DEVICE INCLUDING THE SAME - A periodically structured substrate includes a slab and a periodic structure formed on the slab and including a plurality of spaced apart first surrounding elements and a plurality of spaced apart central elements. The first surrounding elements are periodically arranged in such a manner to form repeating polygonal patterns. Each of the central elements is disposed at a center of a respective one of the polygonal patterns. The periodic structure further includes a spacer medium that fills a space among the central element and the first surrounding elements of each of the polygonal patterns and that has a refractive index different from those of the central element and the first surrounding elements. | 04-30-2009 |
20090108278 | Manufacturing Method of an Antistatic Flip Chip Substrate Connected to Several Chips - The present invention provides the manufacturing method and device of an antistatic flip chip substrate that can be connected to several chips; this device could protect LED semiconductors against electrostatic discharge damage, and also save cost and space for the assembly of LED semiconductors. | 04-30-2009 |
20090108279 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device having a light extraction structure, which is capable of achieving an enhancement in light extraction efficiency and reliability, and a method for manufacturing the same. The light emitting device includes a semiconductor layer having a multi-layered structure including a light emission layer; and a light extraction structure formed on the semiconductor layer in a pattern having unit structures. Further, the wall of each of the unit structures is sloped at an angle of −45° to +45° from a virtual vertical line being parallel to a main light emitting direction of the light emitting device. | 04-30-2009 |
20090108280 | PIXEL STRUCTURE AND FABRICATION METHOD THEREOF - A fabrication method of a pixel structure includes utilizing only a single photomask in two different lithographic processes for defining patterns of the source/drain and passivation layer respectively. Therefore, the total amount of photomasks of the fabrication process can be decreased. | 04-30-2009 |
20090108281 | Light emitting diode package and method for fabricating same - An LED package comprising a submount having a top and bottom surface with a plurality of top electrically and thermally conductive elements on its top surface. An LED is included on one of the top elements such that an electrical signal applied to the top elements causes the LED to emit light. The electrically conductive elements also spread heat from the LED across the majority of the submount top surface. A bottom thermally conductive element is included on the bottom surface of said submount and spreads heat from the submount, and a lens is formed directly over the LED. A method for fabricating LED packages comprising providing a submount panel sized to be separated into a plurality of LED package submounts. Top conductive elements are formed on one surface of the submount panel for a plurality of LED packages, and LEDs are attached to the top elements. Lenses are molded over the LEDs and the substrate panel is singulated to separate it into a plurality of LED packages. | 04-30-2009 |
20090108282 | CHIP-TYPE LED AND METHOD FOR MANUFACTURING THE SAME - In a chip-type LED according to an embodiment of the present invention, a first recess hole for mounting an LED chip and a second recess hole for connecting a fine metal wire are formed in an insulating substrate, a metal sheet serving as a first wiring pattern is formed at a portion that includes the first recess hole, a metal sheet serving as a second wiring pattern is formed at a portion that includes the second recess hole, an LED chip is mounted on the metal sheet within the first recess hole, the LED chip is electrically connected to the metal sheet within the second recess hole via a fine metal wire, the LED chip including the first recess hole and the fine metal wire including the second recess hole are encapsulated in a first transparent resin that contains a fluorescent material, a surface of the insulating substrate including the first transparent resin is encapsulated in a second transparent resin. | 04-30-2009 |
20090108283 | ILLUMINATION DEVICE AND METHOD FOR MANUFACTURING THE SAME - An illumination device includes a circuit board ( | 04-30-2009 |
20090114934 | Led light emitter with heat sink holder and method for manufacturing the same - An LED light emitter with heat sink holder and a method for manufacturing it are both disclosed. The LED light emitter with heat sink holder includes a heat sink holder and at least an LED chip. The heat sink holder is made of high thermal conductivity coefficient, and includes a reflecting mirror having a central portion and a reflecting portion surrounding the central portion. A normal of a top surface of the reflecting portion forms an acute angle relative to a normal of a top surface of the central portion. The LED chip is unitarily connected with a top surface of the central portion, and an electrode unit connecting with and Ohmic contacting the light emitting film for supplying power for the light emitting film. The LED light emitter with heat sink holder improves heat dissipation and working duration. | 05-07-2009 |
20090114935 | Light emitting diode and process for fabricating the same - A light emitting diode (LED) is provided. The LED at least includes a substrate, a saw-toothed multilayer, a first type semiconductor layer, an active emitting layer and a second type semiconductor layer. In the LED, the saw-tooth multilayer is formed opposite the active emitting layer below the first type semiconductor layer by an auto-cloning photonic crystal process. Due to the presence of the saw-tooth multilayer on the substrate of the LED, the scattered light form a back of the active emitting layer can be reused by reflecting and recycling through the saw-tooth multilayer. Thus, all light is focused to radiate forward so as to improve the light extraction efficiency of the LED. Moreover, the saw-tooth multilayer does not peel off or be cracked after any high temperature process because the saw-tooth multilayer has the performance of releasing thermal stress and reducing elastic deformation between it and the substrate. | 05-07-2009 |
20090114936 | LIGHT EMITTING DEVICE - To provide a light emitting device that makes it possible to form a surface light emitting apparatus of less unevenness in luminance. | 05-07-2009 |
20090114937 | RESIN-SEALED LIGHT EMITTING DEVICE AND ITS MANUFACTURING METHOD - An LED package is formed by separating a sealed body containing a substrate having a plurality of regions into individual bodies. The LED package includes an LED chip mounted on a recessed part in an upper surface of a substrate, a sealing resin to cover an entire surface of the region, a setting pattern provided on a bottom surface of the recessed part to set the LED chip, a wiring pad provided on the bottom surface of the recessed part, a wiring pattern provided on a slanted surface of the recessed part and serving as a light reflection part also, a wire to connect an electrode of the LED chip to the wiring pad, an external terminal provided on a lower surface of the substrate, a connection part to connect the wiring pattern connected to the wiring pad to the external terminal, and a heat radiating pattern provided on a lower surface to radiate a heat generated in the LED chip outside the LED package. The setting pattern is connected to the heat radiating pattern through the connection part. | 05-07-2009 |
20090114938 | Light emitting diode with sealant having filling particles - An exemplary light emitting diode (LED) includes an LED chip and a transparent sealant covering the LED chip. The sealant contains transparent filling particles and phosphor particles, wherein the filling particles are adjacent each other. Intervals are defined between the filling particles, and the phosphor particles are located in the intervals. | 05-07-2009 |
20090114939 | ILLUMINATION SYSTEM COMPRISING A RADIATION SOURCE AND A LUMINESCENT MATERIAL - An illumination system, comprising a radiation source and a luminescent material comprising at least one phosphor capable of absorbing a part of the light emitted by the radiation source and emitting light having a wavelength different from that of the absorbed light; wherein said at least one phosphor is a yellow to red-emitting europium(II)-activated ortho-phosphosilicate of general formula EA | 05-07-2009 |
20090121244 | LED packaging structure and production method thereof - An LED packaging structure and a production method thereof; the LED packaging structure includes an LED die placed on a metal substrate and packed with seal in conjunction with a transparent substrate to deliver advantages of compact, simplified process and long service life and provide significant advancement and industrial value when compared to the prior art. | 05-14-2009 |
20090121245 | Optoelectronic Semiconductor Chip - An optoelectronic semiconductor chip is disclosed which emits electromagnetic radiation from its front side ( | 05-14-2009 |
20090121246 | LED with current confinement structure and surface roughening - An LED having a p-type layer of material with an associated p-contact, an n-type layer of material with an associated n-contact and an active region between the p-type layer and the n-type layer, includes a confinement structure that is formed within one of the p-type layer of material and the n-type layer of material. The confinement structure is generally aligned with the contact on the top and primary emission surface of the LED and substantially prevents the emission of light from the area of the active region that is coincident with the area of the confinement structure and the top-surface contact. The LED may include a roughened emitting-side surface to further enhance light extraction. | 05-14-2009 |
20090121247 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes: a base portion having a concaved portion; a light emitting element provided in the concaved portion; a resin filled in the concaved portion; and a phosphor contained resin layer containing a wave converting substance and provided to close an opening portion of the concaved portion. The phosphor contained resin layer has a lower thermal expansion coefficient than the resin filled in the concaved portion. | 05-14-2009 |
20090121248 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND PLANAR LIGHT SOURCE - A semiconductor light emitting device includes: a base portion having a concave portion formed in one of major surfaces thereof; and a light emitting element mounted on a bottom surface of the concave portion of the base portion. The base portion comprises a side wall portion that surrounds the light emitting element. The light emitting element is covered with a resin portion filled in the concave portion. At least a part of an upper surface of the resin portion is positioned closer to the bottom surface of the concave portion than an upper surface of the side wall portion. | 05-14-2009 |
20090121249 | PACKAGE STRUCTURE OF A LIGHT EMITTING DIODE DEVICE AND METHOD OF FABRICATING THE SAME - A package structure for light emitting diode devices comprises a substrate having a reflective cavity, a die mounted inside the reflective cavity, a reflective layer disposed on the surface of the reflective cavity, a plurality of electrodes disposed under the surface of the substrate which is opposite to the reflective cavity, and a dual brightness enhancement film overlaid on the reflective cavity. The dual brightness enhancement film efficiently reflects the polarized light that is generated from the die and is not in a transparent direction back to the reflective layer. Subsequently, this light is reflected from the reflective layer to the dual brightness enhancement film. The portions of the reflected light propagating in the same direction as the transparent direction will transmit through the package structure. | 05-14-2009 |
20090121250 | HIGH LIGHT EXTRACTION EFFICIENCY LIGHT EMITTING DIODE (LED) USING GLASS PACKAGING - An (Al, Ga, In)N and ZnO direct wafer bonded light emitting diode (LED) combined with a shaped optical element in which the directional light from the ZnO cone or any high refractive index material in contact with the LED surface entering the shaped optical element is extracted to air. | 05-14-2009 |
20090127573 | OPTOELECTRONIC COMPONENT WITH A WIRELESS CONTACTING - An optoelectronic component contains a semiconductor chip ( | 05-21-2009 |
20090127574 | Semiconductor Structure and Method of Manufacturing a Semiconductor Structure - A semiconductor structure is formed of nitrides of group III metals having wurtzite crystal structure and grown in vapor phase on a (0001) oriented semiconductor substrate. The structure comprises a bottom cladding layer, a top cladding layer, and a diffusion region positioned between the cladding layers for diffusing light propagating within the semiconductor structure. The diffuse region has refractive index different from those of the cladding layers and non-flat surfaces for providing light diffusing interfaces between the diffusion region and the cladding layers. According to the invention, the diffusion region comprises a plurality of diffusion layers, compositions and thicknesses of said diffusion layers having been chosen to avoid formation of strain-induced dislocations in the diffusion region, and adjacent diffusion layers having different refractive indices in order to further enhance the diffusion efficiency. | 05-21-2009 |
20090127575 | Light-Emitting Diode Chip With High Light Extraction And Method For Manufacturing The Same - This invention provides a light-emitting diode chip with high light extraction, which includes a substrate, an epitaxial-layer structure for generating light by electric-optical effect, a transparent reflective layer sandwiched between the substrate and the epitaxial-layer structure, and a pair of electrodes for providing power supply to the epitaxial-layer structure. A bottom surface and top surface of the epitaxial-layer structure are roughened to have a roughness not less than 100 nm root mean square (rms). The light generated by the epitaxial-layer structure is hence effectively extracted out. A transparent reflective layer not more than 5 μm rms is formed as an interface between the substrate and the epitaxial-layer structure. The light toward the substrate is more effectively reflected upward. The light extraction and brightness are thus enhanced. Methods for manufacturing the light-emitting diode chip of the present invention are also provided. | 05-21-2009 |
20090127576 | NANOCRYSTAL LIGHT-EMITTING DIODE - A nanocrystal light-emitting diode with improved structural stability is disclosed. Specifically, the nanocrystal light-emitting diode comprises an excitation source, a nanocrystal-containing light conversion layer and an air layer formed therebetween to be exposed to the outside. | 05-21-2009 |
20090127577 | OPTICAL WAVEGUIDE DEVICE PRODUCTION METHOD, OPTICAL WAVEGUIDE DEVICE PRODUCED BY THE METHOD, AND OPTICAL WAVEGUIDE CONNECTION STRUCTURE TO BE USED FOR THE DEVICE - An optical waveguide device production method which ensures that a receptacle structure can be easily and highly accurately produced in a single step, an optical waveguide device produced by the method, and an optical waveguide connection structure to be used for the optical waveguide device. The optical waveguide device includes a light emitting element ( | 05-21-2009 |
20090127578 | LIGHT-EMITTING DIODE - A light-emitting diode ( | 05-21-2009 |
20090134411 | Light Emitting Device and Backlight Unit Using the Same - An object of the present invention is to provide a light emitting device that shows high adhesion between a sealing member and a package member. A light emitting device | 05-28-2009 |
20090134412 | White light emitting diode and method of manufacturing the same - Provided is a white LED including a reflector cup; an LED chip mounted on the bottom surface of the reflector cup; transparent resin surrounding the LED chip; a phosphor layer formed above the transparent resin; and a reflecting film interposed between the transparent resin and the phosphor layer, the reflecting film reflecting phosphorescence, which is directed downward from the phosphor layer, in the upward direction. | 05-28-2009 |
20090134413 | LIGHT EMITTING DEVICE - The present invention relates to a light emitting device comprising at least one light emitting diode which emits light in a predetermined wavelength region, copper-alkaline earth metal based inorganic mixed crystals activated by rare earths, which include copper-alkaline earth silicate phosphors which are disposed around the light emitting diode and absorb a portion of the light emitted from the light emitting diode and to emit light different in wavelength from the absorbed light. | 05-28-2009 |
20090134414 | LIGHT EMITTING DEVICE WITH PHOSPHOR WAVELENGTH CONVERSION AND METHODS OF PRODUCING THE SAME - A method of fabricating a light emitting device comprises: mounting a light emitting diode chip in a package; heating the light emitting diode chip package assembly to a pre-selected temperature; and dispensing a pre-selected volume of a mixture of at least one phosphor and a light transmissive thermosetting material (silicone, epoxy) on a surface of the chip. The pre-selected volume and temperature are selected such that the phosphor/material mixture flows over the entire light emitting surface of the chip before curing. In an alternative method, using a light transmissive UV curable material such as an epoxy, the phosphor/material mixture is irradiated with UV radiation after a pre-selected time to cure the material. The pre-selected volume and pre-selected time are selected such that the phosphor/material mixture flows over at least the light emitting surface of the chip before curing. | 05-28-2009 |
20090134415 | LIGHT EMITTING ELEMENT AND METHOD FOR PRODUCING THE SAME - A light scattering section is formed on at least part of a surface of a sealing resin section including fluorescent bodies and covering light emitting diode chips. Light from the light emitting diode chips is scattered by the light scattering section, and then is returned to the sealing resin section so as to excite the fluorescent bodies so that fluorescence is generated. Part of the light to be emitted outside a light emitting element from the light emitting diode chips returns to the sealing resin section so that chromaticity of the light is converted by the fluorescent bodies, thereby adjusting a chromaticity variation among the light emitting elements. In this way, the chromaticity variation among the light emitting elements can be adjusted. | 05-28-2009 |
20090134416 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Provided are a semiconductor light emitting device. The semiconductor light emitting device comprises: a light emitting structure; a light transmitting layer under a second portion of the light emitting structure; and a reflective electrode layer electrically connected to the light emitting structure, a portion of the reflective electrode layer being disposed unparallel to the light emitting structure. | 05-28-2009 |
20090134417 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHTING DEVICE - A semiconductor light emitting device can include a light emitting element with a semiconductor epitaxial layer which has a light emitting portion, and an element substrate which supports the semiconductor epitaxial layer and does not transmit light from the light emitting portion. A resin layer can be provided on the element substrate in a way covering side surfaces and an upper surface of the semiconductor epitaxial layer. The resin layer can contain fluorescent substances that wavelength-convert light from the light emitting portion, and can be inclined toward the top at one cross section. Therefore, the semiconductor light emitting device can exhibit a front luminance distribution having a difference in front luminance between the light emitting portion and the light non-emitting portion at an end portion of the semiconductor light emitting device. | 05-28-2009 |
20090134418 | METHOD FOR FORMING OHMIC ELECTRODE AND SEMICONDUCTOR LIGHT EMITTING ELEMENT - The present invention relates to a method of forming an ohmic electrode in a semiconductor light emitting element, comprising: forming a semiconductor layer having a light emitting structure on a substrate, sequentially laminating a bonding layer, a reflective layer and a protective layer on the semiconductor layer, and forming an ohmic electrode by performing a heat treatment process to form ohmic bonding between the semiconductor layer and the bonding layer and to form an oxide film on at least a portion of the protective layer; and a semiconductor light emitting element using the ohmic electrode. According to the present invention, since a reflective layer is formed of Ag, Al and an alloy thereof with excellent light reflectivity, the light availability is enhanced. Further, since contact resistance between a semiconductor layer and a bonding layer is small, it is easy to apply large current for high power. | 05-28-2009 |
20090134419 | REFLECTIVE ELECTRODE FOR A SEMICONDUCTOR LIGHT EMITTING APPARATUS - A process is disclosed for forming a reflective electrode on a semiconductor light emitting device, the light emitting device having an active layer for generating light and a cladding layer in electrical contact with the active layer. The process involves depositing an intermediate layer of electrically conductive material on the cladding layer and causing at least a portion of the electrically conductive material to diffuse into the cladding layer. The process further involves depositing a reflective layer on the intermediate layer, the reflective layer being electrically conductive and in electrical contact with the intermediate layer. | 05-28-2009 |
20090134420 | SEMICONDUCTOR LIGHT EMITTING DEVICE, LIGHTING MODULE, LIGHTING APPARATUS, DISPLAY ELEMENT, AND MANUFACTURING METHOD FOR SEMICONDUCTOR LIGHT EMITTING DEVICE - In an LED array chip ( | 05-28-2009 |
20090134421 | SOLID METAL BLOCK SEMICONDUCTOR LIGHT EMITTING DEVICE MOUNTING SUBSTRATES AND PACKAGES - A mounting substrate for a semiconductor light emitting device includes a solid metal block having first and second opposing metal faces. The first metal face includes an insulating layer and a conductive layer on the insulating layer. The conductive layer is patterned to provide first and second conductive traces that connect to a semiconductor light emitting device. The second metal face may include heat sink fins therein. A flexible film including an optical element, such as a lens, also may be provided, overlying the semiconductor light emitting device. | 05-28-2009 |
20090140275 | NANOPARTICLE COUPLED TO WAVEGUIDE - A nanoparticle is able to emit single photons. A waveguide is coupled to the nanoparticle and able to receive the single photons. A backreflector is optically coupled to the waveguide and configured to reflect the single photons toward the waveguide. | 06-04-2009 |
20090140276 | OPTICAL FUNCTIONAL FILM AND METHOD OF MANUFACTURING THE SAME - A light emitting element includes a light emitting layer emitting light and a refractive index composite structure layer arranged in a light path of the light output from the light emitting layer. The refractive index composite structure layer includes a structure having characteristics (1) to (4) as follows: (1) an internal configuration includes two or more types of phases differing in refractive index; (2) at least one of the two or more types of phases includes a structural unit having a size greater than or equal to 1 nm and smaller than or equal to ¼ of a wavelength within a visible light wavelength range; (3) an average refractive index is higher than 1 and lower than a refractive index of a plurality of layers between a light emitter and the refractive index composite structure layer excepting a layer including a gas phase; and (4) the internal configuration in a thickness direction includes a plurality of interfaces between the two or more types of phases in a near-field region into which light as energy can enter from an interface between the optical functional film and another layer adjacent to the refractive index composite structure layer. | 06-04-2009 |
20090140277 | SOLIDE-STATE LIGHT SOURCE - A solid-state light source includes a substrate, a solid-state light-emitting chip, a plurality of micro-members and a light-permeable encapsulation. The substrate has a substantially flat surface. The solid-state light-emitting chip is arranged on the substantially flat surface of the substrate and electrically connected to the substrate. The micro-members are arranged on the surface of the substrate and parallel with the solid-state light emitting chip. The light-permeable encapsulation is arranged on the surface of the substrate and covers the solid-state light-emitting chip and the micro-members. | 06-04-2009 |
20090140278 | Tunable LED module - The objective of the invention is to provide with a tunable LED module capable of easily selecting a wavelength and reducing particular parts, comparing to that of prior art. The tunable LED module according to the present invention is applicable to a certain short range communication. The module comprises: an LED | 06-04-2009 |
20090140279 | Substrate-free light emitting diode chip - A light emitting diode (LED) chip has a multilayer semiconductor structure that is at least 10 microns thick and does not require an attached growth substrate or transfer substrate for structural rigidity or support. The multilayer semiconductor structure includes a first doped layer, a second doped layer and an active region interposed between the first doped layer and the second doped layer. Optionally, the multilayer semiconductor structure includes an undoped layer. At least one of the layers of the multilayer semiconductor structure is at least 5 microns thick and is preferably deposited by hydride vapor phase epitaxy. | 06-04-2009 |
20090140280 | Light-emitting device - A light-emitting device comprises a substrate, an epitaxial structure formed on the substrate including a first semiconductor layer, a second semiconductor layer, and a light-emitting layer formed between the first semiconductor layer and the second semiconductor layer. A trench is formed in the epitaxial structure to expose a part of side surface of the epitaxial structure and a part of surface of the first semiconductor layer, so that a first conductive structure is formed on the part of surface of the first semiconductor layer in the trench, and a second conductive structure is formed on the second semiconductor layer. The first conductive structure includes a first electrode and a first pad electrically contacted with each other. The second conductive structure includes a second electrode and a second pad electrically contacted with each other. Furthermore, the area of at least one of the first pad and the second pad is between 1.5×10 | 06-04-2009 |
20090140281 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND A METHOD OF MANUFACTURING THE SAME - Disclosed is a semiconductor light emitting device comprising a seed layer, a first conductive semiconductor layer into which the seed layer is partially inserted, a first electrode electrically connected to the first conductive semiconductor layer, an active layer under the first conductive semiconductor layer, a second conductive semiconductor layer under the active layer, and a second electrode layer under the second conductive semiconductor layer. | 06-04-2009 |
20090146164 | Blue-Shifted Triarylamine Polymer - A semiconductive conjugated polymer comprising a first repeat unit comprising general formula I: | 06-11-2009 |
20090146165 | LED Structure - A light emitting device, a wafer for making the same, and method for fabricating the same are disclosed. The device and wafer include a first layer of a first conductivity type, an active layer, and a layer of a second conductivity type. The active layer overlies the first layer, the active layer generating light. The second layer overlies the active layer, the second layer having a first surface in contact adjacent to the active layer and a second surface having a surface that includes features that scatter light striking the second surface. A layer of transparent electrically conducing material is adjacent to the second surface and covered by a first layer of a dielectric material that is transparent to the light generated by the active layer. A mirror layer that has a reflectivity greater than 90 percent is deposited on the first layer of dielectric material. | 06-11-2009 |
20090146166 | Structure Applying Optical Limit Guide Layer - A structure applying an optical wave guide layer includes an incident light source and at least one optical wave guide layer. The structure can be in any geometric shape such as a planar, hemispherical or conical shape. The geometric structure is designed for collecting and guiding the incident light source in specific directions. The light can be guided by a combination of materials having different optical properties. The incident angle of the collected light is controlled and the materials are selected to effectively overcome a drawback of the prior art that a portion of the light of some optical components cannot be extracted by a light extraction method. | 06-11-2009 |
20090146167 | JACKETED LED ASSEMBLIES REMOVABLE FROM LAMP HUSKS AND LIGHT STRINGS CONTAINING SAME - A jacketed light emitting diode assembly is provided, which includes a light emitting diode, a lens body containing a semiconductor chip and a set of positive and negative contacts exiting said lens body from a base portion. An electrical wire set of first and second electrical wires are connected to the positive contact and the negative contact, respectively. An integrally molded plastic jacket is provided at the base portion of the lens body around said positive and negative contacts and connection points between the contacts and the wire set to provide a seal at the base portion and at the connection points against moisture and airborne contaminants, whereby a portion of the first and second electrical wires project from the jacket to define bendable contacts for providing an electrical connection with a lamp husk. An optional light transmissive cover may further be provided. A waterproof light string including one or more of the jacketed light emitting diode assemblies is also provided, as are related methods. | 06-11-2009 |
20090146168 | HIGH EFFICIENCY LED WITH MULTI-LAYER REFLECTOR STRUCTURE AND METHOD FOR FABRICATING THE SAME - Provided are a high efficiency light emitting diode and a method for fabricating the same, in which a multi-layer reflector is laminated to a surface emission type light emitting diode to improve the efficiency of a light emitting diode. A high efficiency reflector is integrated on the light emitting diode using a dry etching process and a wet etching process. Although light produced from an active layer when applying a current thereto is emitted in several directions, the reflectors formed both sides of the active layer reflect the emitted light toward a surface of a semiconductor substrate, thus improving the light efficiency. Compared with the existing light emitting diode, the structure of the proposed light emitting diode is more efficient and therefore it can be used as a light source having low power consumption and high brightness. Also, the light emitting diode can be fabricated using the existing semiconductor process, thus reducing the complexity of the fabricating process. | 06-11-2009 |
20090146169 | METHOD OF FABRICATING LIGHT EMITTING DIODE PACKAGE WITH SURFACE TREATED RESIN ENCAPSULANT AND THE PACKAGE FABRICATED BY THE METHOD - Disclosed are a method of fabricating a light emitting diode package with a surface treated resin encapsulant and a package fabricated by the method. According to the method of fabricating a light emitting diode package, a resin encapsulant encapsulating a light emitting diode chip is surface treated using plasma. Thus, a bonding force between the surface treated resin encapsulant and a resin molding member covering it is increased. | 06-11-2009 |
20090146170 | HIGH LIGHT EXTRACTION EFFICIENCY NITRIDE BASED LIGHT EMITTING DIODE BY SURFACE ROUGHENING - A III-nitride light emitting diode (LED) and method of fabricating the same, wherein at least one surface of a semipolar or nonpolar plane of a III-nitride layer of the LED is textured, thereby forming a textured surface in order to increase light extraction. The texturing may be performed by plasma assisted chemical etching, photolithography followed by etching, or nano-imprinting followed by etching. | 06-11-2009 |
20090146171 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light-emitting device and a method for manufacturing the same can include a soft silicon resin encapsulating an LED chip with a thin overcoat of microparticles located on the silicon resin to prevent dirt and dust from attaching to the silicon resin. The semiconductor light-emitting device can include a base board having at least one LED chip, a reflector fixed on the base board so as to enclose the LED chip, a soft silicon resin having a tacky surface disposed in the reflector, and an overcoat of microparticles on the silicon resin. Thus, manufacturing lead time can be reduced because the microparticles can attach to the silicon resin in a thin and single layer and a solidifying process for an extra layer on top of the silicon resin is not necessary. The overcoat of microparticles can prevent dirt and dust from attaching to the silicon resin, and can decrease optical variability in an inclined direction from an optical axis of the device. | 06-11-2009 |
20090152579 | LIGHT-EMITTING DIODE AND LIGHT-EMITTING DIODE LAMP - The present invention provides a light-emitting diode ( | 06-18-2009 |
20090152580 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting chip includes a base, a transparent material layer and a light-emitting chip. The base has an upper surface including a conductive pattern. The transparent material layer is disposed on the upper surface of the base and has an opening part which is located on region at least part of the conductive pattern. The light-emitting chip is mounted on the conductive pattern and located in the opening part of the transparent material layer. | 06-18-2009 |
20090152581 | LIGHT REFLECTING MATERIAL, PACKAGE FOR LIGHT EMITTING ELEMENT ACCOMMODATION, LIGHT EMITTING DEVICE AND PROCESS FOR PRODUCING PACKAGE FOR LIGHT EMITTING ELEMENT ACCOMODATION - [Problems] To provide a package for light emitting element accommodation that realizes enhanced reflectance without application of a metal plating onto a ceramic. | 06-18-2009 |
20090152582 | LIGHT EMITTING DIODE - A light emitting diode includes a reflective cup, an LED chip, and many electrodes, a first light scattering layer, and a phosphor layer. The reflective cup includes a bottom and a sidewall extending from the bottom. The LED chip is received in the reflective cup and mounted on the bottom thereof for emitting first light of a first wavelength. The electrodes each has a first end electrically connected to the LED chip and an opposite second end exposed at an outer surface of the reflective cup. The first light scattering layer formed in the reflective cup on the bottom thereof and covering the LED chip, which has a concave surface at an opposite side thereof to the LED chip. The phosphor layer formed on the concave surface of the light scattering layer for converting part of the first light into second light of a second wavelength. | 06-18-2009 |
20090152583 | LIGHT-EMITTING DIODE DEVICE AND MANUFACTURING METHOD THEREOF - A light-emitting diode device includes an epitaxial layer, a current blocking layer and a current spreading layer. The current blocking layer is disposed on one side of the epitaxial layer and contacts with a portion of the epitaxial layer. The current spreading layer is disposed on one side of the epitaxial layer and contacts with at least a portion of the current blocking layer. | 06-18-2009 |
20090159910 | LIGHT EMITTING DIODE STRUCTURE AND METHOD FOR FABRICATING THE SAME - The present invention discloses a light emitting diode structure and a method for fabricating the same. In the present invention, a substrate is placed in a solution to form a chemical reaction layer on carved regions; the carved region is selectively etched to form a plurality of concave zones and form a plurality of convex zones; a semiconductor layer structure is epitaxially grown on the element regions and carved regions of the substrate; the semiconductor layer structure on the element regions is fabricated into a LED element with a photolithographic process. | 06-25-2009 |
20090159911 | LIGHT-EMITTING DIODE PACKAGE AND METHOD FOR FABRICATING THE SAME - An LED package ( | 06-25-2009 |
20090159912 | HOUSING FOR A LUMINESCENCE DIODE COMPONENT - What is specified is a housing for a luminescence diode component comprising a housing cavity within which is arranged at least one chip mounting region for a luminescence diode chip and which has an output opening. In accordance with one embodiment, the housing has, at least at a vertical distance from the chip mounting region, inner walls which laterally bound the housing cavity and are at a maximum lateral distance of less than or equal to 500 μm from the chip mounting region. What is additionally specified is a housing in which the housing cavity has at least one partial region which is laterally covered by a housing material that laterally delimits the housing cavity. Moreover, a description is given of a luminescence diode component, in particular for a motor vehicle headlight. | 06-25-2009 |
20090159913 | LIGHT-EMITTING DIODE - An LED includes an LED chip having a first electrode and a second electrode, a first electrically conductive block and a second electrically conductive block insulated from the first electrically conductive block, a cavity defined in the first electrically conductive block configured for accommodating the LED chip, and a light pervious encapsulation covering the LED chip, the first electrically conductive block and the second electrically conductive block. The first electrically conductive block is electrically connected to the first electrode, and the second electrically conductive block is electrically connected to the second electrode. | 06-25-2009 |
20090159914 | WHITE LIGHT EMITTING DIODE (WLED) AND PACKING METHOD THEREOF - A white light emitting diode (LED) and the packing method thereof are described. The white LED includes a supporting frame, a LED chip, glue for mixing phosphor powder, and phosphor powder, wherein the glue for mixing phosphor powder comprises a first set of compositions and a second set of compositions, the first set of compositions comprises polydimethyl-siloxane, and the second set of compositions comprises the copolymer having dimethyl-siloxane, methyl hydrogen siloxane and vinyl-siloxane wherein the copolymer has a weight percentage from about 94% to 99%, the dimethyl-siloxane has a weight percentage from about 84% to 90%, the methyl hydrogen siloxane has a weight percentage from about 4% to 9%, and the vinyl-siloxane has a weight percentage from about 2% to 7%. | 06-25-2009 |
20090159915 | LED INSERT MODULE AND MULTI-LAYER LENS - An LED insert module formed of a conducting carrier and a multi-layer lens is described. Layers of the lens are doped with phosphorescent material in order to control appearance of light produced by an LED die located in the insert module. Multiple modules may be embedded in a heat sink in order that the multiple modules may provide illumination to an area. | 06-25-2009 |
20090159916 | LIGHT SOURCE WITH REFLECTIVE PATTERN STRUCTURE - A light source includes a substrate and a light-emitting unit. The substrate has a pattern structure, which includes a plurality of concave-convex structures. The light-emitting unit is formed on the pattern structure, and has a backlight surface connected to the pattern structure and a light outputting surface disposed opposite the backlight surface. The pattern structure reflects light, which is outputted from the light-emitting unit in a direction toward the backlight surface, to the light outputting surface. | 06-25-2009 |
20090159917 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Provided are a semiconductor light emitting device and a method for fabricating the same. The semiconductor light emitting device comprises a first conductive semiconductor layer, an active layer on the first conductive semiconductor layer, and a second conductive semiconductor layer comprising a dual roughness structure on the active layer. | 06-25-2009 |
20090159918 | SEMICONDUCTOR LIGHT EMITTING DEVICES AND SUBMOUNTS AND METHODS FOR FORMING THE SAME - A submount for a semiconductor light emitting device includes a semiconductor substrate having a cavity therein configured to receive the light emitting device. A first bond pad is positioned in the cavity to couple to a first node of a light emitting device received in the cavity. A second bond pad is positioned in the cavity to couple to a second node of a light emitting device positioned therein. Light emitting devices including a solid wavelength conversion member and methods for forming the same are also provided. | 06-25-2009 |
20090166651 | LIGHT-EMITTING DEVICE WITH INORGANIC HOUSING - The present invention relates to a light-emitting device comprising at least one light-emitting diode, which emits light, and a housing arranged to receive at least a portion of said light. The housing comprises a translucent inorganic material and is provided with at least one recess, which comprises positioning and orientating means. The at least one light-emitting diode is arranged in the at least one recess and is positioned and orientated by said positioning and orientating means, and a translucent inorganic contact layer material is arranged between the at least one light-emitting diode and the housing in the at least one recess to receive at least portion of the light and to connect said light-emitting diode to said housing. | 07-02-2009 |
20090166652 | White LED, Backlight Using the Same, and Liquid Crystal Display Device - Disclosed are a white LED, which has color reproducibility comparable with that of a cold-cathode tube and improved brightness, and a backlight and a liquid crystal display device comprising the white LED. The white LED comprises at least one light emitting element selected from ultraviolet light emitting diodes, purple light emitting diodes, ultraviolet light emitting lasers, and purple light emitting lasers, and a phosphor layer. The phosphor layer comprises a green phosphor satisfying formula 1, a blue phosphor satisfying formula 2 or 3, and a red phosphor satisfying formula 4 or 5:
| 07-02-2009 |
20090166653 | INCORPORATING REFLECTIVE LAYERS INTO LED SYSTEMS AND/OR COMPONENTS - A light emitting apparatus includes a support having circuitry disposed thereon, at least one light emitting diode (LED) chip mounted on the support and in electrical communication with the circuitry and a reflective layer on the support adjacent the at least one chip. | 07-02-2009 |
20090166654 | LIGHT-EMITTING DIODE WITH INCREASED LIGHT EFFICIENCY - A novel light-emitting diode structure is proposed wherein the epitaxial layers are cleaved to micro-units to suppress transverse propagation of light generated in active layer and improve light extraction efficiency. Further enhancement in light output will be obtained by introducing a light extraction layer with microstructures or directly structuring the top surface of each micro-unit. Another advantage of the method is effective thermal dissipation due to the hollowed-out pattern and possible buried heat conductive materials. | 07-02-2009 |
20090166655 | LIGHT-EMITTING DIODE STRUCTURE - An LED structure includes a first conductive body, a first insulating body on the first conductive body, a second conductive body on the first insulating body, and an LED. The first conductive body has a conducting portion upward projected from the insulating body and the second conductive body, so that a conducting section at a top of the conducting portion is exposed at the insulating sleeve portion. The LED is mounted on the conducting section of the first conductive body and electrically connected to the second conductive body. With these arrangements, the LED structure may be mounted on a power supply board without the need of distinguishing the polarities of electrodes, and can therefore be easily mounted to and dismounted from the power supply board and be conveniently replaced when necessary. | 07-02-2009 |
20090166656 | LIGHT EMITTING DIODE - A light emitting diode (LED) ( | 07-02-2009 |
20090166657 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate provided with a conductor wiring, a light emitting element mounted on the substrate and a light reflecting resin member configured and arranged to reflect light emitted from the light emitting element. The light emitting device also includes at least one of an electrically conductive wire electrically connecting the conductor wiring and the light emitting element, an exposed region of the substrate on which the conductor wiring is not disposed, and a protective element mounted on the conductor wiring. At least a part of the electrically conductive wire, the exposed region or the protective element is buried in the light reflecting resin member. | 07-02-2009 |
20090166658 | LIGHT EMITTING DIODES INCLUDING TWO REFLECTOR LAYERS - A light emitting diode includes a diode region having a gallium nitride based n-type layer, an active region and a gallium nitride based p-type layer. A first reflector layer is provided on the gallium nitride based p-type layer, and a second reflector layer is provided on the gallium nitride based n-type layer. Bonding layers, a mounting support, a wire bond and/or transparent oxide layers also may be provided. | 07-02-2009 |
20090166659 | High Efficiency Group III Nitride LED with Lenticular Surface - A light emitting diode is disclosed having a vertical orientation with an ohmic contact on portions of a top surface of the diode and a mirror layer adjacent the light emitting region of the diode. The diode includes an opening in the mirror layer beneath the geometric projection of the top ohmic contact through the diode that defines a non-contact area between the mirror layer and the light emitting region of the diode to encourage current flow to take place other than at the non-contact area to in turn decrease the number of light emitting recombinations beneath the ohmic contact and increase the number of light emitting recombinations in the more transparent portions of the diode. | 07-02-2009 |
20090173957 | WAVELENGTH-CONVERTING CONVERTER MATERIAL, LIGHT-EMITTING OPTICAL COMPONENT, AND METHOD FOR THE PRODUCTION THEREOF - Disclosed is a wavelength-converting converter material comprising at least one wavelength-converting phosphor comprising phosphor particles, wherein a portion of said phosphor or all of said phosphor is present in the form of nanoparticles. Also disclosed is a light-emitting optical component comprising such a converter material and a method for producing such components. | 07-09-2009 |
20090173958 | LIGHT EMITTING DEVICES WITH HIGH EFFICIENCY PHOSPOR STRUCTURES - A light emitting device includes a light emitting die configured to emit light having a first dominant wavelength, and an index matched wavelength conversion structure configured to receive light emitted by the light emitting die. The index matched wavelength conversion structure includes wavelength converting particles having a first index of refraction embedded in a matrix material. The matrix material has a second index of refraction that may be substantially matched to the first index of refraction. The light emitting device may include a graded index layer having an index of refraction that is continuously graded from a first index of refraction in a first region of the graded index layer near the light emitting die to a second index of refraction in the graded index layer away from the light emitting die. | 07-09-2009 |
20090173959 | Panel-Shaped Semiconductor Module - A solar battery module as a panel-shaped semiconductor module comprises multiple rod-shaped electric power generation semiconductor elements arranged in multiple rows and columns, a conductive connection mechanism connecting in series multiple semiconductor elements in each column and electrically connecting in parallel multiple semiconductor elements in each row, and a conductive inner metal case housing the multiple semiconductor elements and constituting the conductive connection mechanism, wherein each row of semiconductor elements is housed in each reflecting surface-forming groove of the inner metal case, the positive electrodes of the semiconductor electrodes are connected to the bottom plate and the negative electrodes are connected to finger leads, and the top is covered with a transparent cover member. | 07-09-2009 |
20090173960 | SEMICONDUCTOR LIGHT EMITTING DEVICE WITH PRE-FABRICATED WAVELENGTH CONVERTING ELEMENT - A semiconductor light emitting device is provided with a separately fabricated wavelength converting element. The wavelength converting element, of e.g., phosphor and glass, is produced in a sheet that is separated into individual wavelength converting elements, which are bonded to light emitting devices. The wavelength converting elements may be grouped and stored according to their wavelength converting properties. The wavelength converting elements may be selectively matched with a semiconductor light emitting device, to produce a desired mixture of primary and secondary light. | 07-09-2009 |
20090179213 | PHOSPHOR COATING SYSTEMS AND METHODS FOR LIGHT EMITTING STRUCTURES AND PACKAGED LIGHT EMITTING DIODES INCLUDING PHOSPHOR COATING - Methods are disclosed including applying a layer of binder material onto an LED structure. A luminescent solution including an optical material suspended in a solution is atomized using a flow of pressurized gas, and the atomized luminescent solution is sprayed onto the LED structure including the layer of binder material using the flow of pressurized gas. | 07-16-2009 |
20090179214 | LIGHT EITTING DEVICE WITH MAGNETIC FIELD - A light emitting device with magnetic field includes a light-emitting structure and a first magnetic-source layer. The light-emitting structure includes a first doped structural layer, a second doped structural layer, an active layer between the two doped structural layers, a first electrode, and a second electrode. The first magnetic-source layer is integrated with the light-emitting structure to produce a magnetic field in the light-emitting structure. The magnetic field transversely shifts a driving current of the light-emitting structure to redistribute in the light-emitting structure. | 07-16-2009 |
20090179215 | Semiconductor light emitting device and fabrication method for the semiconductor light emitting device - A semiconductor light emitting device includes a first metal layer placed on the p-type semiconductor layer on the substrate, and includes a first pattern width W | 07-16-2009 |
20090179216 | LIGHT EITTING DEVICE WITH MAGNETIC FIELD - A light emitting device with magnetic field includes a light emitting device, a thermal conductive material layer and a magnetic layer. The thermal conductive material layer is coupled with the light emitting device to dissipate heat generated by the light emitting device. The magnetic layer is coupled with thermal conductive material layer to produce a magnetic filed on the light emitting device. | 07-16-2009 |
20090184334 | PHOTONIC CRYSTAL LIGHT EMITTING DEVICE AND MANUFACTURING METHOD OF THE SAME - There is provided a photonic crystal light emitting device including: a light emitting structure including first and second conductivity type semiconductor layers and an active layer interposed therebetween; a transparent electrode layer formed on the second conductivity type semiconductor layer, the transparent electrode layer having a plurality of holes arranged with a predetermined size and period so as to form a photonic band gap for light emitted from the active layer, whereby the transparent electrode layer includes a photonic crystal structure; and first and second electrode electrically connected to the first conductivity type semiconductor layer and the transparent electrode layer, respectively. The photonic crystal light emitting device has a transparent electrode layer formed of a photonic crystal structure defined by minute holes, thereby improved in light extraction efficiency. | 07-23-2009 |
20090184335 | OPTICAL SEMICONDUCTOR DEVICE - An optical semiconductor device includes: a package having a bottom portion and a sidewall portion; a semiconductor chip having an optical element formed on one surface thereof and having an opposite surface to the one surface fixed to the bottom portion of the package; a transparent member fixed to the semiconductor chip so as to cover the optical element; and a sealing resin filling a space between the package and the semiconductor chip. The sidewall portion has in an upper part thereof an overhang portion that projects toward inside of the package. The transparent member is exposed from a window portion formed by the overhang portion. | 07-23-2009 |
20090189168 | White Light Emitting Device - A white light emitting device is provided, which includes a light emitting element that emits a first light having a wavelength between 300 nm and 410 nm; and a fluorescent layer positioned over the light emitting element. The fluorescent layer includes a fluorescent whitening agent capable of absorbing at least a portion of the first light, and subsequently emitting a second light having a wavelength between 420 nm and 510 nm; and a photoluminescent material capable of absorbing at least a portion of the first light and at least a portion of the second light, and subsequently emitting a third light having a wavelength longer than wavelengths of the first light and the second light. | 07-30-2009 |
20090189169 | Light emitting diode lamp - A light emitting diode lamp includes a heat sink, a socket, a light emitting module, a holder and a lens. The socket and the holder are respectively positioned opposite sides of the heat sink. The light emitting module is combined with the heat sink and has a light emitting diode unit. The lens is mounted on the light emitting diode unit and combined inside the holder. The heat sink includes a substrate and a plurality of heat dissipating fins. The substrate has a plurality of extending arms in a manner that a slot is formed between two neighboring extending arms. A plurality of heat dissipating fins is inserted into the corresponding slots. One of opposite sidewall surfaces of each extending arm is against one of opposite surfaces of each heat dissipating fin. Thereby, there is no need of producing a heat sink by soldering. | 07-30-2009 |
20090189170 | Light emitting diode - A light emitting diode includes a casing, comprising a concave accommodation space; a lead frame, disposed in the casing, wherein the lead frame has at least two individual leads which extend into the accommodation space; a light emitting chip, disposed in the accommodation space and electrically connected to the leads; and an encapsulating material, inside the accommodation space. The light emitting diode emits light along an optical axis. The ratio of a first tilt angle between the first reflecting wall and the optical axis, and a second tilt angle to a second tilt angle between the second reflecting wall and the optical axis is no more than 4. | 07-30-2009 |
20090189171 | Light emitting diode package - An LED package includes a housing, a substrate, a pad frame and an LED chip. The housing includes a plastic material, and has a recess with an opening at a top of the housing. The substrate includes substantially the same material with the housing. The pad frame includes conductive material, and is inserted on the substrate, and is fixed between the housing and the substrate. The LED chip is mounted on the pad frame and is disposed at a center of the recess. The housing is protruded and extended to a lower portion of the LED chip. Thicknesses of the housing's sidewalls facing to each other through the recess are substantially the same with each other. | 07-30-2009 |
20090189172 | Light emitting diode with higher illumination efficiency - A light emitting diode (LED) with higher illumination efficiency is revealed. The LED includes a LED chip and an optical layer arranged on the bottom of the LED chip. The optical layer is a light-guiding layer, a light reflective layer or an energy-conversion layer that increases light emitting efficiency of the LED. Furthermore, a rough layer is disposed between the LED chip and the optical layer so as to increase surface area of the LED chip. Thus light emitted from the LED chip enters the optical layer more easily and the illumination efficiency of the LED is increased. | 07-30-2009 |
20090189173 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THEREOF - To provide a liquid crystal display device having high quality display by obtaining a high aperture ratio while securing a sufficient storage capacitor (Cs), and at the same time, by dispersing a load (a pixel writing-in electric current) of a capacitor wiring in a timely manner to effectively reduce the load. A scanning line is formed on a different layer from a gate electrode and the capacitor wiring is arranged so as to be parallel with a signal line. Each pixel is connected to the individually independent capacitor wiring via a dielectric. Therefore, variations in the electric potential of the capacitor wiring caused by a writing-in electric current of a neighboring pixel can be avoided, whereby obtaining satisfactory display images. | 07-30-2009 |
20090194776 | Light-Emitting Diode Arragement Comprising a Color-Converting Material - With a light-emitting diode arrangement ( | 08-06-2009 |
20090194777 | OPTOELECTRONIC DEVICE SUBMOUNT - A submount for an optoelectronic device includes a substrate, a first top pad on a top surface of the substrate, a first bottom pad on a bottom surface of the substrate and a first wrap-around contact in a sidewall recess of the substrate, in which the first wrap-around contact is coupled electrically to the first top pad and to the first bottom pad. Alternatively, or in addition, the submount includes a device mounting pad on the top surface of the substrate, a wire-bond pad on the top surface of the substrate, a contact pad on the bottom surface of the substrate and a feedthrough contact which extends through the substrate and electrically couples the wire-bond pad to the contact pad. | 08-06-2009 |
20090194778 | LIGHT EMITTING DIODE - A light emitting diode ( | 08-06-2009 |
20090194779 | LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - A light emitting diode includes a current leakage passage electrically connected in parallel to an active layer to better protect the light emitting diode from static electricity. The light emitting diode includes a substrate, an n-type nitride semiconductor layer on the substrate, an active layer on the n-type nitride semiconductor layer, a p-type semiconductor layer on the active layer, a p-electrode on the p-type semiconductor layer, and an n-electrode formed from the n-type semiconductor layer, exposed by etching, to a portion of the p-type semiconductor layer. | 08-06-2009 |
20090194780 | Organic light emitting diode display device and method of fabricating the same - An organic light emitting diode (OLED) display device and a method of fabricating the same are provided. When an organic layer having an emission layer (EML) is formed using a deposition mask, damage to a pixel defining layer due to inconsistencies or unevenness of the deposition mask is prevented or reduced using spherical spacers disposed on the pixel defining layer. A plurality of spherical spacers are applied on the pixel defining layer prior to forming an opening in the pixel defining layer exposing the first electrode. An organic layer having an emission layer (EML) is formed on the first electrode at the opening by using a deposition mask. The spherical spacers prevent or reduce damage to the pixel defining layer caused by inconsistencies or unevenness in the deposition mask by maintaining a spacing between the pixel defining layer and the deposition mask. | 08-06-2009 |
20090194781 | WAVELENGTH CONVERSION MEMBER, LIGHT-EMITTING DEVICE AND PHOSPHOR - A wavelength conversion member provided with a composite phosphor obtained by coating surfaces of phosphor particles with coating material particles and has an average particle diameter of the coating material of not more than 1/10 of an average particle diameter of the phosphor particles, and a light emitting device using the same. It is possible to control dispersibility of the phosphor particles in the wavelength conversion member, and it is possible to provide a light emitting device free from color variability and having good light emission efficiency by combining the wavelength conversion member with a semiconductor light emitting element. | 08-06-2009 |
20090194782 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A silver-plated metal member region on which a light emitting element is disposed, an extraction electrode having a copper plate pattern, and a convex resin portion separating the metal member region into a plurality of sections are provided on the bottom surface of a concave portion in a package of a semiconductor light emitting device. A covering resin is partially formed on the metal member region and a sealing resin is placed to cover the metal member region, the covering resin and the convex resin portion. According to this configuration, the area of contact is decreased between the sealing resin and the metal member region having the light emitting element placed thereon, to thereby prevent the light emitting element from falling off and being displaced from the metal member region, with the result that a semiconductor light emitting device of high reliability can be provided. | 08-06-2009 |
20090194783 | LIGHT EMITTING ELEMENT, PRODUCTION METHOD THEREOF, BACKLIGHT UNIT HAVING THE LIGHT EMITTING ELEMENT, AND PRODUCTION METHOD THEREOF - A light emitting element includes: A light emitting element, includes: at least one LED chip provided on an installation surface of a substrate; a metallic reflecting plate, provided upright in a light projecting direction of the LED chip on the installation surface so as to surround an entire periphery of the LED chip, the metallic reflecting plate reflecting light projected from the LED chip to guide the light to a light projecting surface provided in the light projecting direction; and a first metallic portion and a second metallic portion, respectively connected to the LED chip as electrode terminals for supplying a driving current to the LED chip, each being formed in an area surrounded by the metallic reflecting plate on the installation surface, wherein an insulating section is formed surrounding the second metallic portion, to electrically insulate the second metallic portion from other portion in the area, and the first metallic portion is formed outside the insulating section in the area as an installation surface metallic reflecting film so as to be in contact with the metallic reflecting plate. | 08-06-2009 |
20090200566 | SIDE-VIEW LIGHT EMITTING DIODE PACKAGE HAVING A REFLECTOR - Disclosed herein is a side-view light emitting diode package with a reflector. The side-view light emitting diode package of the present invention comprises first and second lead terminals spaced apart from each other. The package body supports the first and second lead terminals and has an elongated opening through which a light emitting diode chip mounting region and the first and second lead terminals are exposed. Reflectors are formed between the chip mounting region and sidewalls positioned in a major axis direction of the opening. Each of the reflectors has a height lower than that of the sidewall of the opening. Accordingly, light emitted from a light emitting diode chip can be reflected using the reflectors, thereby improving light emitting efficiency of the side-view light emitting diode package. | 08-13-2009 |
20090200567 | CHIP-TYPE LED PACKAGE AND LIGHT EMITTING APPARATUS HAVING THE SAME - Disclosed are a chip-type LED package and a light emitting apparatus having the same. The chip-type LED package includes a thermally conductive substrate with lead electrodes formed thereon. An LED chip is mounted on the thermally conductive substrate, and a lower molding portion covers the LED chip. In addition, an upper molding portion having hardness higher than that of the lower molding portion covers the lower molding portion. The upper molding portion is formed by performing transfer molding using resin powder. Accordingly, since the lower molding portion can be formed of a resin having hardness smaller than that of the upper molding portion, it is possible to provide a chip-type LED package in which device failure due to thermal deformation of the molding portion can be prevented. | 08-13-2009 |
20090200568 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - An etching process includes forming a metal-fluoride layer at least as a part of an etching mask formed over a semiconductor layer at a temperature of 150° C. or higher; patterning the metal-fluoride layer; and etching the semiconductor layer using the patterned metal-fluoride layer as a mask. Using this etching method, even an etching-resistant semiconductor layer such as a Group III-V nitride semiconductor can be easily etched by a relatively simpler process. | 08-13-2009 |
20090200569 | OPTOELECTRONIC SUBSTRATE AND METHODS OF MAKING SAME - A method of producing an optoelectronic substrate by detaching a thin layer from a semi-conducting nitride substrate and transferring it to an auxiliary substrate to provide at least one semi-conducting nitride layer thereon, metallizing at least a portion of the surface of the auxiliary substrate that includes the transferred nitride layer, bonding to a final substrate the metallized surface portion of the transferred nitrate layer of the auxiliary substrate, and removing the auxiliary substrate to provide an optoelectronic substrate comprising a semi-conducting nitride surface layer over a subjacent metallized portion and a supporting final substrate. Resultant optoelectronic substrates having low dislocation densities are also included. | 08-13-2009 |
20090206352 | Luminescence conversion led - A luminescence conversion LED having a radiation emitting chip that is connected to electrical connections and is surrounded by a housing that comprises at least a basic body and a cap, the chip being seated on the basic body, in particular in a cutout of the basic body, and the primary radiation of the chip being converted at least partially into longer wave radiation by a conversion element, wherein the cap is formed by a vitreous body, the conversion means being contained in the vitreous body, the refractive index of the vitreous body being higher than 1.6, preferably at least n=1.7. | 08-20-2009 |
20090206353 | THIN-LIGHT EMITTING DIODE LAMP, AND METHOD OF MANUFACTURING THE SAME - A thin-type light emitting diode lamp includes a blue light emitting diode chip ( | 08-20-2009 |
20090206354 | Semiconductor light-emitting device - A semiconductor light-emitting device includes a support structure, and a light-emitting structure. The support structure includes a support substrate, and a support substrate side bonding layer disposed on one surface of the support substrate. The light-emitting structure includes a light-emitting structure side bonding layer bonded to the support substrate side bonding layer, a reflection region disposed on the support substrate side bonding layer opposite the support substrate, and a semiconductor multilayer structure including a light-emitting layer disposed on the reflection region opposite the light-emitting structure side bonding layer for emitting a light with a predetermined wavelength, and a light-extraction surface disposed on the light-emitting layer opposite the reflection region for reflecting diffusely the light. The reflection region includes a transparent layer of a material with a lower refractive index than that of the semiconductor multilayer structure, and a reflection layer of a metallic material. The transparent layer has such a thickness that interference caused by multiple reflection of light inputted to the transparent layer can be suppressed. | 08-20-2009 |
20090206355 | LIGHT EMITTING DEVICES - Light-emitting devices, and related components, systems and methods are disclosed. | 08-20-2009 |
20090206356 | LIGHT-EMITTING DIODE - A through-hole extending from an element-mounting surface to a back surface of a substrate is formed along an edge area of a bottom surface of the substrate. This through-hole is filled with a conductive resin paste directly into a quarter through-hole made in the substrate. This makes the conductive resin paste firmly adhere to the substrate preventing the conductive resin paste from being peeling off from the quarter through-hole even if the through-hole is reduced in diameter or has a cross-sectional configuration. | 08-20-2009 |
20090212306 | DEVICE FOR AN OPTOELECTRONIC COMPONENT AND MODULE WITH AN OPTOELECTRONIC COMPONENT AND A DEVICE - An apparatus having at least one fixing element is specified, the fixing dement being provided for fixing the apparatus to a housing body of an optoelectronic device and the apparatus being designed as a mount for a separate optical element. | 08-27-2009 |
20090212307 | Light-emitting diode chip comprising a contact structure - In a luminescence diode chip having a radiation exit area ( | 08-27-2009 |
20090212308 | METHOD FOR PRODUCING AN LED CHIP AND LED CHIP - A method is disclosed in which a base body is prepared that comprises a layer sequence intended for the LED chip and suitable for emitting electromagnetic radiation. A cap layer is applied to at least one main surface of the base body. A cavity is introduced into the cap layer and is completely or partially filled with a luminescence conversion material. The luminescence conversion material comprises at least one phosphor. A method is also disclosed in which the cap layer comprises photostructurable material and at least one phosphor, such that it is able to function as a luminescence conversion material and can be photostructured directly. LED chips that are producible by means of the method are also described. | 08-27-2009 |
20090212309 | Light emitting diode package structure and a packaging method thereof - An LED package structure and an LED packaging method are disclosed. The LED package structure includes a substrate, an LED unit and a transparent holding wall. The LED unit is electrically connected and located on the surface of the substrate. The transparent holding wall that corresponds to the LED unit is formed on the surface of the substrate, and has a receiving space. The LED unit is received in the receiving space. By utilizing the transparent holding wall, the colloid is controllably received in the receiving space and uniformly spread on the surface of the LED unit and around the LED unit. Thereby, the quantity of the colloid is easily controlled, and the LED package structure has a wide lighting angle due to the light emitted from the LED unit can pass through the transparent holding wall. | 08-27-2009 |
20090212310 | SOFT LITHOGRAPHIC MOLDING OF SURFACE RELIEF OUTPUT COUPLERS FOR ORGANIC LIGHT EMITTING DIODES - The present invention provides a method and apparatus for surface relief output coupling in organic light emitting diodes is provided. The method includes forming a pattern in a surface of an elastomer ( | 08-27-2009 |
20090212311 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - The invention discloses a semiconductor light-emitting device, which includes a substrate, a first conductive type semiconductor material layer, a second conductive type semiconductor material layer, a light-emitting layer, a first electrode, a second electrode, and a plurality of bump structures. The first conductive type semiconductor material layer is formed on the substrate and has an upper surface which includes a first region and a second region distinct from the first region. The first electrode is formed on the first region. The light-emitting layer and the second conductive type semiconductor material layer are formed on the second region. The bump structures are formed on the upper surface of the first conductive type semiconductor material layer and between the first region and the second region. Each bump structure is made of ITO, SiO2, SiN, ZnO, polymide, BCB, SOG, InO, or SnO. | 08-27-2009 |
20090212312 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - The invention discloses a semiconductor light-emitting device, which includes a substrate, a first conductive type semiconductor material layer, a second conductive type semiconductor material layer, a light-emitting layer, a first electrode, a second electrode, and a plurality of bump structures. The first conductive type semiconductor material layer is formed on the substrate and has an upper surface which includes a first region and a second region distinct from the first region. The first electrode is formed on the first region. The light-emitting layer and the second conductive type semiconductor material layer are formed on the second region. The bump structures are formed on the upper surface of the first conductive type semiconductor material layer and between the first region and the second region. Each bump structure is made of ITO, SiO2, SiN, ZnO, polymide, BCB, SOG, InO, or SnO. | 08-27-2009 |
20090212313 | LED Module with Application-Specific Color Setting - An LED module with a blue LED chip, over which is arranged a conversion layer, which has a luminous material mixture mixing a further proportion of greater wavelength into the blue light, so that a reddish or greenish or yellowish white light is emitted from the LED module, the emitted light of the LED module having a peak or secondary peak in the red or green or yellow range. | 08-27-2009 |
20090212314 | YELLOW EMITTING PHOSPHORS BASED ON Ce3+-DOPED ALUMINATE AND VIA SOLID SOLUTION FOR SOLID-STATE LIGHTING APPLICATIONS | 08-27-2009 |
20090212315 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light emitting device is provided so that an optical axis thereof is properly set parallel with the mounting board when the device is mounted on the mounting board. The semiconductor light emitting device can have a structure in that light can be incident on the light guide plate with high efficiency and uniform introduction into the light guide plate. A multi-piece substrate can include electrodes, a plurality of semiconductor light emitting elements, and a sealing resin for sealing them simultaneously. The thus obtained integrated substrate is cut into individual semiconductor light emitting device bodies. On one of the cut end faces, which serves as a surface to be mounted onto a mounting board, a light-shielding reflective film can be coated over an area from the edge of the light emission surface of the sealing resin to at least part of the substrate. On the other cut end face, the sealing resin can be covered with a light-shielding reflective film. | 08-27-2009 |
20090218581 | ILLUMINATION SYSTEM COMPRISING A RADIATION SOURCE AND A LUMINESCENT MATERIAL - An illumination system, comprising a radiation source ( | 09-03-2009 |
20090218582 | OPTICAL DEVICE AND METHOD OF FABRICATING THE SAME - A method of fabricating an optical device is disclosed. The method comprises the step of forming an optical stack of laminated lenses and a phosphor film therebetween. The method further comprises the step of attaching the optical stack to an LED die. In addition, an optical device fabricated by the above method is also disclosed. | 09-03-2009 |
20090218583 | LIGHT-EMITTING DEVICE, ELECTRONIC APPARATUS, AND LIGHT-EMITTING DEVICE MANUFACTURING METHOD - Disclosed is a light-emitting device. The light-emitting device includes an EL layer and a heat dissipation layer. The EL layer includes a first semiconductor layer, a second semiconductor layer, and an active layer, the first semiconductor layer having a first conductivity type that is one of n type and p type, the second semiconductor layer having a second conductivity type that is opposite to the first conductivity type, the active layer being provided between the first semiconductor layer and the second semiconductor layer. The heat dissipation layer has the first conductivity type and is bonded to a side of the EL layer closer to the second semiconductor layer than the first semiconductor layer. | 09-03-2009 |
20090218584 | Housing for an Optoelectronic Component, Optoelectronic Component, and Method for Producing a Housing for an Optoelectronic Component - A housing for an optoelectronic component is disclosed, having a plastic base body that has a front side with an assembly region for at least one radiation emitting or radiation detecting body, wherein the plastic base body is formed from at least one first plastic component and at least one second plastic component. The second plastic component is disposed on the front side of the plastic base body, and is formed from a material that differs from the first plastic component in at least one optical property, and forms an optically functional region of the plastic base body. Further, a method for producing a housing for an optoelectronic component and a light emitting diode component is disclosed. | 09-03-2009 |
20090218585 | ALUMINATE PHOSPHOR CONTAINING BIVALENCE METAL ELEMENTS, ITS PREPARATION AND THE LIGHT EMITTING DEVICES INCORPORATING THE SAME - A phosphor can be excited by UV, purple or blue light LED, its production and the light emitting devices. The general formula of the phosphor is Ln | 09-03-2009 |
20090230414 | LED LIGHT ENGINE KERNEL AND METHOD OF MAKING THE KERNEL - A light engine kernel for a light emitting diode (LED) includes a solid body of transparent material having an index of refraction of at least 1.5. The body has a domed, light-emitting top that is an inverted parabola of revolution, a reflective sidewall that is a compound parabolic concentrator (CPC), and a cavity at a focus of the CPC that is adapted to receive an LED. The domed top may include a phosphor coating of a yellow-emitting phosphor. The cavity may be adapted to receive only a single LED or plural LEDs of the same or different colors on a board. The kernel and LED are not integrally formed, reducing thermal degradation of the phosphor coating and improving application flexibility. | 09-17-2009 |
20090230415 | ORGANIC LIGHT EMITTING ELEMENT AND METHOD OF MANUFACTURING THE SAME - The present invention relates to an organic light emitting element comprising: a first light emitting unit comprising a light emitting layer between a pair of electrodes; and a second light emitting unit comprising a light emitting layer between a pair of electrodes. In the organic light emitting element, one electrode of the two pairs of electrodes which is positioned on the outermost side has light reflective properties, and the other electrodes have light transmission properties, while a light-transmitting insulating layer is provided between the first and second light emitting units. The insulating layer is formed in a thickness to prevent light emitted by the light emitting layer of the light emitting unit without the light reflective electrode from interfering with other lights, or formed to have properties of scattering the emitted light. Thus, the interference between the lights emitted by the light emitting layers and the other lights is reduced, so that in the organic light emitting element, the angular dependence of light emission spectrum is small, making it possible to adjust color. | 09-17-2009 |
20090230416 | Al-Ni-B ALLOY MATERIAL FOR REFLECTIVE FILM - With respect to a reflection-type display device, an Al-based alloy material for a reflective film, which has excellent reflective characteristics and can be directly bonded to a transparent electrode layer such as ITO and IZO is provided. The present invention is Al—Ni—B alloy material for a reflective film, comprising aluminum containing nickel and boron, wherein a nickel content is 1.5-4 at %, a boron content is 0.1-0.5 at %, and the balance is aluminum. It is more preferable if the nickel content is 1.5-3 at %, and the boron content is 0.1-0.4 at %. | 09-17-2009 |
20090230417 | LIGHT EMITTING DIODE PACKAGE STRUCTURE AND METHOD FOR FABRICATING THE SAME - The present invention discloses a light emitting diode (LED) package structure, which includes a carrier, a first protrusion, a LED chip, and an adhesion layer. The first protrusion is disposed on the carrier and has a first opening to expose the carrier, wherein the first protrusion is formed by a thermal conductive material. The LED chip is disposed in the first opening on the carrier, and a ratio between a width of the first opening and a width of the LED chip is 1˜1.5. The adhesion layer is disposed between the LED chip and the carrier to bond the LED chip to the carrier. | 09-17-2009 |
20090230418 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURING THE SAME - There is provided a light emitting diode package and a method of manufacturing the same in which a light emitting diode chip is separated from phosphors, and a phosphor area is variable in shape to improve thermal balance and luminous efficiency. A light emitting diode package according to an aspect of the invention may include: a package body; at least one LED chip mounted in an area of the package body and emitting excitation light; and a lens unit separated from the LED chip by a distance and mounted on an upper surface of the package body, wherein the lens unit may include a phosphor area located at one side of a lower part thereof, absorbing the excitation light of the LED chip, and generating wavelength-converted light. According to the invention, since the lens unit corresponding to the LED chip is separated from the LED chip by the predetermined distance, there can be provided the light emitting diode package having improved luminous efficiency and reliability that the phosphors are not thermally deformed by heat generated from the LED chip. | 09-17-2009 |
20090230419 | LIGHT EMITTING DEVICE - The present invention provides a light emitting device which comprises a blue light emitting diode, and at least an orthosilicate based phosphor for emitting light ranging from a green to yellow regions and a nitride or oxynitride based phosphor for emitting light in a red region over the light emitting diode. Accordingly, since white light with a continuous spectrum ranging from green to red can be implemented, a light emitting device with improved color rendering can be provided, and the light emitting device can be used for a general illumination or a flash. Further, since the phosphors having stable chemical characteristics against their external environment such as moisture are employed, the stability in optical characteristics of the light emitting device can also be improved. | 09-17-2009 |
20090236622 | White Semiconductor Light Emitting Device and Method for Manufacturing the Same - An LED chip ( | 09-24-2009 |
20090236623 | Light emitting diode device - A light emitting diode device includes a substrate, a reflector cup, a light emitting diode chip, and a phosphor paste. The reflector cup is set on the substrate, and has a wall of a first length and a first height wherein the first length is defined by a corresponding inner edge thereof. The LED chip is mounted on the substrate, and comprises a second length and a second height. The phosphor paste covers on the LED chip. The first height of the wall and the second height of the LED chip has a first ratio, and the first length of the wall and the second length of the LED chip has a second ratio wherein the first ratio is larger than the second ratio. | 09-24-2009 |
20090236624 | Organic light emitting device and organic light emitting display apparatus comprising the same - An organic light emitting device includes an anode electrode having an improved characteristic. The organic light emitting device is constructed with a first electrode including indium tungsten oxide (IWO) so that the anode electrode can be readily patterned, an organic light emitting layer formed on the first electrode, and a second electrode formed on the organic light emitting layer. An organic light emitting display apparatus may be constructed with the organic light emitting device. | 09-24-2009 |
20090242913 | SILICON BASED LIGHT EMITTING DIODE - Provided is a highly efficient silicon-based light emitting diode (LED) including a Distributed Bragg Reflector (DBR), an n-type doping layer, and a p-type substrate structure. The silicon-based LED includes: a substrate having a p-type mesa substrate structure; an active layer that is formed on the substrate and has a first surface and a second surface opposite the first surface; a first reflective layer facing the first surface of the active layer; a second reflective layer that is located on either side of the p-type substrate structure and faces the second surface of the active layer; an n-type doping layer sandwiched between the active layer and the first reflective layer; a first electrode electrically connected to the n-type doping layer; and a second electrode electrically connected to the p-type substrate structure. | 10-01-2009 |
20090242914 | LED ASSEMBLY WITH HIGH HEAT DISSIPATING CAPABILITY - An LED assembly includes a substrate and a plurality of LEDs mounted on the substrate. Each LED comprises an LED die mounted on the substrate via an adhesive, a base spacedly surrounding the LED die, a pair of leads inserted in the base to be in electrical connection with the LED die, and an encapsulant sealing the LED die and inner parts of the leads therein. A thickness of the adhesive is selected to be less than 0.01 inches. The substrate contains a kind of coolant therein to rapidly remove heat from the LED die to atmosphere. | 10-01-2009 |
20090242915 | Semiconductor light-emitting device - A semiconductor light-emitting device includes: a hollow body including a bottom wall and a surrounding wall cooperating with the bottom wall to define an encapsulant-receiving recess, the bottom wall being formed with a through-hole, the surrounding wall having a diffuse surface that surrounds the encapsulant-receiving recess; a heat-dissipating body provided on a bottom side of the bottom wall and covering the through-hole in the bottom wall; a light-emitting chip disposed in the through-hole in the bottom wall; a transparent encapsulant filling the encapsulant-receiving recess and the through-hole; and a wavelength-converting layer covering the transparent encapsulant. | 10-01-2009 |
20090242916 | Method for packaging a light emitting device - A method for packaging a light emitting element includes a step of providing a carrier formed with an anode electrode and a cathode electrode, a step of providing a light emitting object by utilizing a light emitting diode chip having a positive and negative electrodes, a step of directly contacting the carrier and the light emitting diode chip to establish electrical communication among the anode and cathode electrodes and the positive and negative electrodes; and a step of firmly bonding the carrier and the light emitting diode chip by which to simplify assembling procedure and further to reduce manufacturing cost and enhance production efficiency. | 10-01-2009 |
20090242917 | Light-emitting device including light-emitting diode - A light-emitting device includes a light-emitting diode, a red light-emitting phosphor layer, a yellow light-emitting phosphor layer, and a blue light-emitting phosphor layer. These layers are stacked in the stacking sequence of the yellow, blue, and red phosphor layers in order of increasing distance from the LED. The stacking sequence of the yellow and blue phosphor layers is first determined in such a manner that these layers do not interact with each other. The stacking sequence of the red and yellow phosphor layers and the stacking sequence of the red and blue phosphor layers are determined by the discriminant D. This determination of the stacking sequence suppresses a reduction in the conversion efficiency of the phosphors due to concentration quenching, improving the emission efficiency of the light-emitting device. | 10-01-2009 |
20090242918 | High Efficiency Group III Nitride LED with Lenticular Surface - A light emitting diode is disclosed that includes a conductive substrate, a bonding metal on the conductive substrate and a barrier metal layer on the bonding metal. A mirror layer is encapsulated by the barrier metal layer and is isolated from the bonding metal by the barrier layer. A p-type gallium nitride epitaxial layer is on the encapsulated mirror, an indium gallium nitride active layer is on the p-type layer, and an n-type gallium nitride layer is on the indium gallium nitride layer, and a bond pad is made to the n-type gallium nitride layer. | 10-01-2009 |
20090242919 | LIGHT EMITTING DEVICE - A light emitting device includes a leadframe, a light emitting unit, a transparent encapsulant, and a fluorescent colloid layer. The light emitting unit is disposed on the leadframe. The transparent encapsulant covers the light emitting unit, wherein the transparent encapsulant has a concave on which at least one reflective surface is disposed. The fluorescent colloid layer is disposed outside the transparent encapsulant, wherein a chamber is formed between the fluorescent colloid layer and the transparent encapsulant. The light generated by the light emitting unit is reflected by the reflective surface and guided to a side wall of the fluorescent colloid layer. | 10-01-2009 |
20090242920 | SIDE VIEW LED PACKAGE AND BACK LIGHT MODULE COMPRISING THE SAME - Disclosed is a side view LED package that can be more accurately mounted onto a surface of a substrate such as a printed circuit board without distortion includes a first portion of a body allowing light to be emitted in front thereof, the first portion having a horizontal plane formed on a top or bottom side thereof; and a second portion of the body positioned backward with respect to a back end boundary line of the first portion, the second portion being formed with an inclined plane that is adjacent to the horizontal plane and has height decreased from the back end boundary line, wherein the inclined plane is partially formed with an added thickness portion that is flush with the horizontal plane. | 10-01-2009 |
20090242921 | METHOD FOR COATING PHOSPHOR, APPARATUS TO PERFORM THE METHOD, AND LIGHT EMITTING DIODE COMPRISING PHOSPHOR COATING LAYER - A method of forming a phosphor coating layer on a light emitting diode (LED) chip using electrophoresis includes separating phosphor particles in a suspension according to a particle size, and coating the phosphor particles on a surface of the LED chip by sequentially depositing the separated phosphor particles on the surface of the LED chip according to the particle size. An apparatus to form a phosphor coating layer on an LED chip includes an electrophoresis bath to accommodate a suspension containing phosphor particles separated into layers according to a particle size, and electrodes disposed inside the electrophoresis bath. The electrodes may include a cathode electrode on which the LED chip may be arranged, and an anode electrode. | 10-01-2009 |
20090250713 | Reflective Contact for a Semiconductor Light Emitting Device - A light emitting device includes a semiconductor structure comprising a light emitting layer disposed between an n-type region and a p-type region. A contact is formed on the semiconductor structure, the contact comprising a reflective metal in direct contact with the semiconductor structure and an additional metal or semi-metal disposed within the reflective metal. In some embodiments, the additional metal or semi-metal is a material with higher electronegativity than the reflective metal. The presence of the high electronegativity material in the contact may increase the overall electronegativity of the contact, which may reduce the forward voltage of the device. In some embodiments, an oxygen-gathering material is included in the contact. | 10-08-2009 |
20090250714 | White light emitting diode and lighting apparatus using the same - Provided is a white LED including a substrate having a reflecting body provided thereon; an LED chip mounted on the substrate; a fluorescence reflecting layer formed on the LED chip; and a phosphor layer formed on the fluorescence reflecting layer and having a higher refractive index than the fluorescence reflecting layer. | 10-08-2009 |
20090250715 | LED TRANSPARENT BRICK - An LED transparent brick comprises a transparent brick body, a photo catalyst layer, a connecting layer, a light reflection layer and one or more ultraviolet LEDs. The photo catalyst layer is placed at a first surface of the transparent brick body, and the connecting layer combines the transparent brick body and the photo catalyst layer. The light reflection layer is placed at a second surface of the transparent brick body, and the second surface corresponds to the first surface. The ultraviolet LED is placed at a side of the transparent brick body, and illuminates the photo catalyst layer to generate oxidative decomposition and hydrophilic capabilities. The light reflection layer can increase light reflection in the transparent brick body to the photo catalyst layer. | 10-08-2009 |
20090250716 | LIGHT EMITTING DEVICES HAVING ROUGHENED/REFLECTIVE CONTACTS AND METHODS OF FABRICATING SAME - Light emitting devices include an active region of semiconductor material and a first contact on the active region. The first contact is configured such that photons emitted by the active region pass through the first contact. A photon absorbing wire bond pad is provided on the first contact. The wire bond pad has an area less than the area of the first contact. A reflective structure is disposed between the first contact and the wire bond pad such that the reflective structure has substantially the same area as the wire bond pad. A second contact is provided opposite the active region from the first contact. The reflective structure may be disposed only between the first contact and the wire bond pad. Methods of fabricating such devices are also provided. | 10-08-2009 |
20090250717 | LIGHT EMITTING DEVICE - A light emitting device includes a light emitting element having at least two electrodes disposed at the side of the light output surface thereof, and a base member having a recess and lead portions corresponding to the electrodes, the light emitting element being mounted on the base member and received in the recess, wherein the light output surface faces toward opening of the recess that becomes smaller while approaching the light output surface, and the electrodes are respectively in electrical connection with the lead portions that extend from the connection positions to outer edge of the base member for power connection, and a light reflecting portion is disposed in the recess adjacent to the light output surface such that the light emitted from the light emitting element can be reflected to walls of the recess to form a substantially collimated light beam so as to improve light efficiency. | 10-08-2009 |
20090256166 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device | 10-15-2009 |
20090256167 | LIGHT-EMITTING DEVICE - A light-emitting device ( | 10-15-2009 |
20090256168 | DISPLAY ELEMENT, MANUFACTURING METHOD OF THE SAME AND DISPLAY DEVICE - A display element including: a first electrode; an auxiliary wiring formed on the periphery of the first electrode in such a manner as to be insulated from the first electrode; an insulating portion having first and second openings, the first opening adapted to expose the first electrode, and the second opening adapted to expose the auxiliary wiring, an organic layer adapted to cover at least the exposed surface of the first electrode in the first opening; and a second electrode adapted to cover at least the organic layer and the exposed surface of the auxiliary wiring in the second opening, wherein the organic layer has a layered structure which includes at least a hole injection layer and light-emitting layer stacked in this order from the side of the first electrode, and the edge of the hole injection layer is provided more inward than the edge of the organic layer. | 10-15-2009 |
20090256169 | Deposition Substrate and Method for Manufacturing Light-Emitting Device - The deposition substrate of the present invention includes a light-transmitting substrate having a first region and a second region. In the first region, a first heat-insulating layer transmitting light is provided over the light-transmitting substrate, a light absorption layer is provided over the first heat-insulating layer, and a first organic compound-containing layer is provided over the light absorption layer. In the second region, a reflective layer is provided over the light-transmitting substrate, a second heat-insulating layer is provided over the reflective layer, and a second organic compound-containing layer is provided over the second heat-insulating layer. The edge of the second heat-insulating layer is placed inside the edge of the reflective layer, and there is a space between the first heat-insulating layer and the second heat-insulating layer. | 10-15-2009 |
20090261364 | Fluorescent substance - A fluorescent substance characterized by comprising a base crystal composed of a compound represented by the formula: M | 10-22-2009 |
20090261365 | Optoelectronic Componet Which Emits Electromagnetic Radiation, and Method for Production of an Optoelectronic Component - An optoelectronic component comprising a housing and a luminescence diode chip arranged in the housing is specified, which component emits a useful radiation. The housing has a housing material which is transmissive to the useful radiation and which is admixed with radiation-absorbing particles in a targeted manner for setting a predetermined radiant intensity or luminous intensity of the emitted useful radiation. The radiation-absorbing particles reduce the radiant intensity or the luminous intensity by a defined value in a targeted manner in order thus to set a predetermined radiant intensity or luminous intensity for the component. A method for producing an optoelectronic component of this type is additionally disclosed. | 10-22-2009 |
20090261366 | OPTOELECTRONIC COMPONENT - An optoelectronic component with a semiconductor body that comprises an active semiconductor layer sequence is disclosed, which is suitable for generating electromagnetic radiation of a first wavelength that is emitted from a front face of the semiconductor body. The component also comprises a first wavelength conversion substance following the semiconductor body in its direction of emission, which converts radiation of the first wavelength into radiation of a second wavelength different from the first wavelength, and a first selectively reflecting layer between the active semiconductor layer sequence and the first wavelength conversion substance that selectively reflects radiation of the second wavelength and is transparent to radiation of the first wavelength. | 10-22-2009 |
20090261367 | OPTICAL ERASE MEMORY STRUCTURE - A method for providing an optical erase memory structure including: forming a metal-insulator-metal memory cell; positioning a light emitting diode adjacent to the metal-insulator-metal memory cell; and emitting a light emission from the light emitting diode for erasing the metal-insulator-metal memory cell. | 10-22-2009 |
20090261368 | LED CHIP PACKAGE STRUCTURE USING A SUBSTRATE AS A LAMPSHADE AND METHOD FOR MAKING THE SAME - An LED chip package structure using a substrate as a lampshade includes a substrate unit and a light-emitting unit. The substrate unit has a substrate body with a lampshade shape. The light-emitting unit has a plurality of light-emitting elements electrically disposed on an inner surface of the substrate body. Therefore, one part of light beams projected by the light emitting elements is reflected out of the lampshade by the inner surface of the substrate body. | 10-22-2009 |
20090261369 | Light-Emitting Device and Manufacturing Method Thereof - A first conductive film, a first insulating film, a semiconductor film, an impurity semiconductor film, and a second conductive film are stacked in this order (a thin-film stacked body); first etching is performed to expose the first conductive film and form at least a pattern of the thin-film stacked body; second etching is performed to form a pattern of the first conductive film. The second etching is performed under a condition in which the first conductive film is side-etched. Further, after forming the patterns, an EL layer can be formed selectively by utilizing a depression and a projection due to the patterns. | 10-22-2009 |
20090261370 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device comprises a first conductive semiconductor layer, an active layer under the first conductive semiconductor layer, a second conductive semiconductor layer under the active layer, a second electrode layer under the second conductive semiconductor layer, and a transmissive conductive layer at least one part between the second conductive semiconductor layer and the second electrode layer. | 10-22-2009 |
20090261371 | Light-Emitting Device - An embodiment of the invention concerns a light-emitting device with an adjustable, time-variable luminance. This is achieved through electrically conductive tracks that are applied to the first electrode area. The conductive tracks are driven in a time-variable manner with different levels of electrical power. | 10-22-2009 |
20090261372 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor light emitting device is composed of a blue light emitting diode, a red light emitting layer grown epitaxially on the blue light emitting diode, and an insulating material containing a YAG fluorescent material. The red light emitting layer is made of, e.g., undoped In | 10-22-2009 |
20090261373 | LOW OPTICAL LOSS ELECTRODE STRUCTURES FOR LEDS - An electrode structure is disclosed for enhancing the brightness and/or efficiency of an LED. The electrode structure can have a metal electrode and an optically transmissive thick dielectric material formed intermediate the electrode and a light emitting semiconductor material. The electrode and the thick dielectric cooperate to reflect light from the semiconductor material back into the semiconductor so as to enhance the likelihood of the light ultimately being transmitted from the semiconductor material. Such LED can have enhanced utility and can be suitable for uses such as general illumination. | 10-22-2009 |
20090267092 | LIGHT-EMITTING DEVICE - A light-emitting device of the present invention includes: a semiconductor layer | 10-29-2009 |
20090267093 | LIGHT EMITTING DEVICE - A light emitting device includes a light emitting diode chip, a heat conductive plate mounting thereon the light emitting diode chip, a sub-mount member disposed between said light emitting diode chip and said heat conductive plate, a dielectric substrate stacked on the heat conductive plate and being formed with a through-hole through which the sub-mount member is exposed, an encapsulation member for encapsulation of said light emitting diode chip, and a lens superimposed on the encapsulation member. The sub-mount member is formed around a coupling portion of the light emitting diode chip with a reflective film which reflects a light emitted from a side face of the light emitting diode chip. The sub-mount member is selected to have a thickness such that the reflecting film has its surface spaced away from said heat conductive plate by a greater distance than said dielectric substrate. | 10-29-2009 |
20090267094 | LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to a light emitting diode and a method for manufacturing the same. The light emitting diode includes a base, a light emitting chip on the base, a light permeable encapsulation encapsulating the light emitting chip to the base. The encapsulation defines a plurality of apertures extending from a bottom end toward a top end of the encapsulation. | 10-29-2009 |
20090267095 | Light-Emitting Device with Reflection Layer and Structure of the Reflection Layer - The present invention provides a light-emitting device with a reflection layer and the structure of the reflection layer. The reflection layer comprises a variety of dielectric materials. The reflection layer includes a plurality of dielectric layers. The materials of the plurality of dielectric layers have two or more types with two or more thicknesses, except for the combination of two material types and two thicknesses, for forming the reflection layer with a variety of structures. The reflection layer according to the present invention can be applied to light-emitting diodes of various types to form new light-emitting devices. Owing to its excellent reflectivity, the reflection layer can improve light-emitting efficiency of the light-emitting devices. | 10-29-2009 |
20090267096 | Luminous devices, packages and systems containing the same, and fabricating methods thereof - The present invention is directed to a vertical-type luminous device and high through-put methods of manufacturing the luminous device. These luminous devices can be utilized in a variety of luminous packages, which can be placed in luminous systems. The luminous devices are designed to maximize light emitting efficiency and/or thermal dissipation. Other improvements include an embedded zener diode to protect against harmful reverse bias voltages. | 10-29-2009 |
20090267097 | METHOD OF FABRICATING PHOTOELECTRIC DEVICE OF GROUP III NITRIDE SEMICONDUCTOR AND STRUCTURE THEREOF - A method of fabricating a photoelectric device of Group III nitride semiconductor comprises the steps of: forming a first Group III nitride semiconductor layer on a surface of an original substrate; forming a patterned epitaxial-blocking layer on the first Group III nitride semiconductor layer; forming a second Group III nitride semiconductor layer on the epitaxial-blocking layer and the first Group III nitride semiconductor layer not covered by the epitaxial-blocking layer and then removing the epitaxial-blocking layer; forming a third Group III nitride semiconductor layer on the second Group III nitride semiconductor layer; depositing or adhering a conductive layer on the third Group III nitride semiconductor layer; and releasing a combination of the third Group III nitride semiconductor layer and the conductive layer apart from the second Group III nitride semiconductor layer. | 10-29-2009 |
20090267098 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device comprises a first conductive semiconductor layer, an active layer on the first conductive semiconductor layer, and a second conductive semiconductor layer comprising a plurality of recesses on the active layer. | 10-29-2009 |
20090267099 | LED LIGHT SOURCE AND CHROMATICITY ADJUSTMENT METHOD FOR LED LIGHT SOURCE - There is provided an LED light source whose chromaticity can be adjusted easily without changing its outer shape and suffering damage in the process of chromaticity adjustment. An LED light source includes an LED device, a fluorescent material that absorbs and wavelength-converts a portion of light emitted from the LED device to emit light from itself, a sealing material that includes the fluorescent material and that is disposed around the LED device, and light scattering sections that are formed at a portion of a surface of the sealing material and scatter a portion of the light emitted from the LED device for adjusting chromaticity of the LED light source, and a chromaticity adjustment method for such LED light source. | 10-29-2009 |
20090267100 | NITRIDE-BASED SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A nitride-based semiconductor device includes a substrate, a first step portion formed on a main surface side of a first side end surface of the substrate, a second step portion formed on the main surface side of a second side end surface substantially parallel to the first side end surface on an opposite side of the first side end surface and a nitride-based semiconductor layer whose first side surface is a (000-1) plane starting from a first side wall of the first step portion and a second side surface starting from a second side wall of the second step portion on the main surface. | 10-29-2009 |
20090267101 | DISPLAY INCLUDING LIGHT EMITTING ELEMENT, BEAM CONDENSING ELEMENT AND DIFFUSING ELEMENT - A display includes pixels each of which contains a light emitting element and which are arranged in a matrix form, a light transmitting insulating layer which includes a back surface facing the light emitting element and a front surface as a light output surface, a beam-condensing element which is arranged on a back side of the insulating layer and increases a directivity of light emitted by the light emitting element to make the light incident on the insulating layer, and a diffusing element which is arranged on a front side of the insulating layer, diffuses light from the insulating layer, and output the diffused light to an external environment. | 10-29-2009 |
20090267102 | LIGHT EMITTING DIODE PACKAGE STRUCTURE AND METHOD FOR FABRICATING THE SAME - A light emitting diode (LED) package structure includes a carrier, a first protrusion, a LED chip, and an adhesion layer. The first protrusion is disposed on the carrier and has a first opening to expose the carrier. The LED chip is disposed in the first opening on the carrier, and a ratio between a width of the first opening and a width of the LED chip is 1˜1.5. The adhesion layer is disposed between the LED chip and the carrier to bond the LED chip to the carrier. | 10-29-2009 |
20090272995 | RESIN COMPOSITION FOR OPTICAL SEMICONDUCTOR ELEMENT ENCAPSULATION, AND OPTICAL SEMICONDUCTOR DEVICE PRODUCED BY USING THE SAME - An epoxy resin composition for optical semiconductor element encapsulation includes an epoxy resin (Component (A)) mainly containing an epoxy compound represented by a specific structural formula (1), a curing agent (Component (B)), and at least one of an oxynitride phosphor and a nitride phosphor (Component (C)). Therefore, the phosphor component (C) is uniformly dispersed in the epoxy resin composition without segregation. Thus, the resin composition serves as an excellent optical semiconductor element encapsulation material which has an adequate light diffusion property and a high light transmittance and permits a reduction in internal stress. Therefore, a light emitting diode element encapsulated with the epoxy resin composition is capable of stably emitting light, and satisfactorily performs its functions. | 11-05-2009 |
20090272996 | Encapsulation for phosphor-converted white light emitting diode - An improved light emitting device, especially a phosphor-converted white light device, wherein the light extraction efficiency and the color temperature distribution uniformity are improved by the introduction of both nanoparticles and light scattering particles proximate to the light source. Nanoparticles having a high index of refraction are dispersed throughout a wavelength conversion layer to adjust the index of refraction of the layer for improved light extraction. Light scattering particles may be dispersed in the wavelength conversion layer and/or in a surrounding medium to improve the spatial correlated color temperature uniformity. | 11-05-2009 |
20090272997 | LED STRUCTURE TO INCREASE BRIGHTNESS - A light emitting semiconductor device comprising an LED having an emission aperture located on a surface of the LED and the emission aperture has a size that is smaller than a surface area of the LED where the emission aperture is formed. The device further includes a reflector surrounding both side walls, a bottom surface, and portions of a surface of the LED where the emission aperture is formed or surrounding the bottom surface and portions of the surface of the LED where the emission aperture is formed so that an area on the surface uncovered by the reflector is the emission aperture and is smaller than the area of the LED. Alternatively, in the light emitting semiconductor, the surface of the LED substantially aligned with the emission aperture may be roughened and the surface of the LED beyond the emission aperture may be smooth. The surface of the LED beyond the emission aperture may also be covered by a low loss reflector. | 11-05-2009 |
20090272998 | OPTOELECTRONIC SEMICONDUCTOR CHIP COMPRISING A WAVELENGTH CONVERSION SUBSTANCE, AND OPTOELECTRONIC SEMICONDUCTOR COMPONENT COMPRISING SUCH A SEMICONDUCTOR CHIP, AND METHOD FOR PRODUCING THE OPTOELECTRONIC SEMICONDUCTOR CHIP - A semiconductor chip comprises: a semiconductor body which comprises a semiconductor layer sequence suitable for emitting electromagnetic radiation of a first wavelength range from its front side; and a first wavelength-converting layer on at least one first partial region of the front side of the semiconductor body with a first wavelength conversion substance, which converts radiation of the first wavelength range into radiation of a second wavelength range, which is different from the first wavelength range, wherein at least one second partial region of the front side is free of the first wavelength-converting layer. An optoelectronic component comprising such a semiconductor chip and a method for producing the semiconductor chip are furthermore described. | 11-05-2009 |
20090272999 | ORGANIC ELEMENT AND MANUFACTURING METHOD THEREOF - An organic EL display panel having a functional layer with a uniform film thickness is provided. The organic EL display panel of the present invention contains an anode electrode set on a substrate; line-state banks set on the substrate on which the anode electrode is set and defining a line-state region; a hole transport layers arranged in matrix state on the substrate, the hole transport layer being set in the line-state region; a line-state interlayer set in the line-state region; a line-state organic EL layer set in the line-state region; and a cathode electrode provided on the organic EL layer, and the bank contains a fluorine resin. | 11-05-2009 |
20090273000 | Light emitting device and method of manufacturing same - A light emitting device according to the present invention comprises board | 11-05-2009 |
20090278144 | Nitride Semiconductor Light Emitting Device - There is provided a nitride semiconductor light emitting device having a light reflection layer capable of preventing reflectivity from lowering and luminance from lowering due to deterioration of quality of an active layer. A nitride semiconductor laser includes at least a light emitting layer forming portion ( | 11-12-2009 |
20090278145 | Semiconductor Light Emitting Device and Method for Manufacturing the Same - To provide a semiconductor light emitting device with a light extraction efficiency increased and a method for manufacturing the semiconductor light emitting device. | 11-12-2009 |
20090278146 | PHOSPHOR ILLUMINATION OPTICS FOR LED LIGHT SOURCES - Devices and methods for collecting and distributing light from a light emitting diode (LED) emitter onto a phosphor layer to produce substantially white light are provided. The devices may include a reflective cavity with a reflective material, surrounding the reflective cavity, with a reflective side of the reflective material facing towards the inside of the reflective cavity. Further, the devices may incorporate an LED on one end of the reflective cavity and a phosphor layer on another end. Additionally, the devices may use a gradient index (GRIN) rod lens to refract light produced from the LED onto a phosphor surface with an LED on one end of the GRIN rod lens and the phosphor layer on the other end. | 11-12-2009 |
20090278147 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - Disclosed is a semiconductor light-emitting device having improved light-extraction efficiency. Specifically disclosed is a semiconductor light-emitting device ( | 11-12-2009 |
20090278148 | LIGHT-EMITTING DIODE AND METHOD FOR FABRICATION THEREOF - A transparent-substrate light-emitting diode ( | 11-12-2009 |
20090278149 | LIGHT EMITTING DIODE | 11-12-2009 |
20090278150 | METHOD FOR FORMING METAL ELECTRODE, METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING ELEMENTS AND NITRIDE BASED COMPOUND SEMICONDUCTOR LIGHT EMITTING ELEMENTS - A method for forming a metal electrode and a method for manufacturing semiconductor light emitting elements include providing a substrate having a semiconductor layer formed thereon; forming a bonding metal layer and a reflective metal layer on the semiconductor layer; and forming a metal electrode by layer inversion of the bonding metal layer and the reflective metal layer through a heat treatment process. An interface characteristic between a semiconductor layer and an electrode having a reflective metal layer is enhanced by a layer inversion phenomenon. High reflectivity can be obtained, because a reflection metal layer is uniformly distributed on a semiconductor layer. Further, out-diffusion of a reflective metal layer is prevented through layer inversion to enhance the thermal stability of an electrode. And the number of accepters for generating holes is increased through heat treatment under an oxygen atmosphere, so that contact resistance can be lowered. | 11-12-2009 |
20090278151 | Light emitting diode packages, light emitting diode systems and methods of manufacturing the same - In a method of forming an LED semiconductor device, and in an LED semiconductor device, an LED is provided on a substrate. A first encapsulant material layer is provided on the LED, and the first encapsulant material layer is firstly annealed. A luminescence conversion material layer is provided on the firstly annealed first encapsulant material layer, and the first encapsulant material layer and the luminescence conversion material layer and secondly annealed. | 11-12-2009 |
20090278152 | LIGHT EMITTING DIODE AND PACKAGE METHOD THEREOF - A light emitting diode comprises a sheet-like package body, a barricade, a light emitting diode die, and fluorescent filler. The sheet-like package body has a die-bonding region. The barricade is a transparent wall that is disposed on the die-bonding region, and is integrated with the sheet-like package body or is adhered to sheet-like package body. The light emitting diode die is disposed on the region enclosed by the barricade, and the fluorescent filler is also filled into the region and surrounds the light emitting diode die. The light emitting diode and the method for packaging the light emitting diode can improve the uniformity and efficiency of the outputting light emitted from the light emitting diode, and the loss of the outputted light is reduced. | 11-12-2009 |
20090278153 | LIGHT EMITTING DEVICE - Provided is a light emitting device. The light emitting device comprises a package body, a plurality of electrodes, a light emitting diode, and a lens. The package body comprises a trench. The plurality of electrodes is disposed on and/or in the package body. The light emitting diode is disposed on the package body and is electrically connected to the electrodes. The lens is disposed on an inner side of the trench. | 11-12-2009 |
20090278154 | Led module and method of manufacturing the same - Provided are a light emitting diode (LED) module and a method of manufacturing the same. The LED module may include a package housing including an inner space, a light-emitting chip in the inner space of the package housing, a phosphor layer including a fluorescent material and converting light emitted from the light-emitting chip to light having a longer wavelength than that of the light emitted from the light-emitting chip. The concentration of the fluorescent material of the phosphor layer may be inhomogeneous. The method of manufacturing the LED module may include providing or forming a package housing having an inner space and including a light-emitting chip in the inner space, measuring a radiation pattern of light emitted from the light-emitting chip, and forming a phosphor layer including a fluorescent material on the light-emitting chip and having characteristics that may be determined according to the radiation pattern. | 11-12-2009 |
20090278155 | BACKLIGHT DEVICE FOR LIQUID CRYSTAL DISPLAY INCLUDING A PLURALITY OF LIGHT EMITTING DIODES WITHIN THEIR OWN CONCAVES ALIGNED IN A STRAIGHT LINE WITHIN A LARGER CONCAVE - A semiconductor light emitting device of the present invention includes a plurality of light emitting elements, a package body for storing the light emitting elements, wiring patterns being electrically connected to the light emitting elements, and Au wires for electrically connecting the light emitting elements and the wiring patterns, the package body including mounting concave portions for storing the respective light emitting elements, and storing concave portion for storing the mounting concave portions and the Au wires, the mounting concave portions being aligned on a linear line and spaced from each other with an equal pitch. In the above arrangement, as the semiconductor light emitting device of the present invention, it is possible to provide a semiconductor light emitting device having a high directional characteristic of emitted light, and a backlight device for a liquid crystal display, the backlight device using the semiconductor light emitting device and having an improved brightness of the emitted light. | 11-12-2009 |
20090278156 | MOLDED CHIP FABRICATION METHOD AND APPARATUS - A light emitting diode (LED) is disclosed comprising a plurality of semiconductor layers with a first contact on the bottom surface of the semiconductor layers and a second contact on the top surface of the semiconductor layer. A coating is included that comprises a cured binder and a conversion material that at least partially covers the semiconductor layers, wherein the second contact extends through the coating and is exposed on the same plane as the top surface of the coating. An electrical signal applied to the first and second contacts is conducted through the coating to the semiconductor layers causing the LED to emit light. In other embodiments first and second contacts are accessible from one side of the LED. A coating is included that comprises a cured binder and a conversion material. The coating at least partially covers the semiconductor layers, with the first and second contacts extending through the coating and exposed on the same plane as a surface of the coating. An electrical signal applied to the first and second contacts is conducted through the coating to the semiconductor layers causing the LED to emit light. | 11-12-2009 |
20090283783 | Optoelectronic Semiconductor Chip and Method for Producing It - An optoelectronic semiconductor chip ( | 11-19-2009 |
20090283784 | SIDE-VIEW LIGHT EMITTING DIODE - An exemplary side-view light emitting diode (LED) includes a substrate, a housing, a LED chip, a capsulation material and a reflecting layer. The housing and the substrate cooperatively form a receiving space therebetween. The LED chip is received in the receiving space and electrically connected with the substrate. The capsulation material is filled in the receiving space and encapsulates the LED chip in the housing. An indent is defined in a top portion of the capsulation material to cave a top surface of the capsulation material. The reflecting layer is spread on the top surface of the capsulation material. The light emitted from the LED chip upwardly towards the top surface of the capsulation material is reflected to a lateral side of the housing by the reflecting layer. The indent has a horizontal section with a size decreased along a top-to-bottom direction. The housing has a diameter gradually increased along the top-to-bottom direction. | 11-19-2009 |
20090283785 | LIGHT EMITTING DIODE PACKAGE - There is provided a light emitting diode (LED) package in which a phosphor layer encapsulating an LED chip is formed uniformly to facilitate a process. The LED package includes: a package body having a mounting area; a holding part mounted on the mounting area to expose a portion of the mounting area; an LED chip mounted on the mounting area, the LED chip surrounded by the holding part to emit light; and a phosphor layer held by the holding part to seal a space defined by the holding part, the phosphor layer converting a wavelength of the light from the LED chip. | 11-19-2009 |
20090283786 | LIGHT EMITTING DEVICE AND ELECTRONIC APPARATUS - A light emitting device includes: a light emitting element which includes a first electrode layer, a second electrode layer, and a light emitting function layer disposed between the first electrode and the second electrode; a reflection layer which reflects light emitted from the light emitting function layer toward the light emitting function layer; and a translucent transflective layer which is disposed opposite the reflection layer with the light emitting function layer interposed therebetween to reflect some of the light emitted from the light emitting function layer toward the light emitting function layer and to transmit the remainder of the light. The translucent transflective layer is centered between a first layer having a refractive index n | 11-19-2009 |
20090283787 | SEMICONDUCTOR LIGHT EMITTING DIODES HAVING REFLECTIVE STRUCTURES AND METHODS OF FABRICATING SAME - Light emitting diodes include a diode region having first and second opposing faces that include therein an n-type layer and a p-type layer, an anode contact that ohmically contacts the p-type layer and extends on the first face, and a cathode contact that ohmically contacts the n-type layer and also extends on the first face. The anode contact and/or the cathode contact may further provide a hybrid reflective structure on the first face that is configured to reflect substantially all light that emerges from the first face back into the first face. Related fabrication methods are also described. | 11-19-2009 |
20090289268 | LIGHT EMITTING APPARATUS AND SEMICONDUCTOR APPARATUS, AND METHOD FOR MANUFACTURING THE SAME - A semiconductor apparatus and a light emitting apparatus which are capable of efficiently dissipating the heat generated by a semiconductor device and have high reliability, and a method for manufacturing the same are provided. | 11-26-2009 |
20090289269 | PACKAGING STRUCTURE OF LIGHT EMITTING DIODE - The present invention discloses a light-emitting diode packaging structure, comprising a base; a chip; a first material disposed on at least one side of the chip and having a first refraction index; a second material disposed upon the chip, having a second refraction index, and separated with the first material with an interface therebetween to refract the light refracted from the first material; and a ball lens disposed upon the second material and forming a confined space with the base; whereby, the light emitted from the chip refracts through the first refraction material and the second refraction material and finally emits out from the ball lens. | 11-26-2009 |
20090289270 | GROUP III NITRIDE SEMICONDUCTOR MULTILAYER STRUCTURE AND PRODUCTION METHOD THEREOF - According to the invention it is possible to obtain a flat AlN crystal film seed layer with a high degree of crystallinity, and particularly, a flat AlN crystal film seed layer that is homogeneous throughout can be used even with large substrates having diameters of 100 mm and greater, in order to obtain highly crystalline GaN-based thin-films for highly reliable, high-luminance LED elements and the like. The invention relates to a Group III nitride semiconductor multilayer structure obtained by layering an n-type semiconductor layer, composed of a Group III nitride semiconductor, a luminescent layer and a p-type semiconductor layer, on a sapphire substrate, the Group III nitride semiconductor multilayer structure having an AlN crystal film that is accumulated as the seed layer by sputtering on the sapphire substrate surface, and the AlN crystal film having a grain boundary spacing of 200 nm or greater. The arithmetic mean surface roughness (Ra) of the AlN crystal film surface is preferably no greater than 2 angstrom. The oxygen content of the AlN crystal film is preferably no greater than 5 atomic percent. | 11-26-2009 |
20090289271 | SILICATE-BASED PHOSPHORS AND LED LIGHTING DEVICES USING THE SAME - Disclosed herein is a group of phosphors of the formula | 11-26-2009 |
20090289272 | LIGHT EMITTING DEVICE PACKAGE - Disclosed is a light emitting device package. The light emitting device package includes a semiconductor substrate comprising a first surface at a first depth from an upper surface of the semiconductor substrate and a second surface at a second depth from the first surface; and a light emitting part on the second surface of the semiconductor substrate. | 11-26-2009 |
20090289273 | LIGHT EMITTING DEVICE PACKAGE STRUCTURE AND FABRICATING METHOD THEREOF - A light emitting device package structure is described. The light emitting device package structure includes a substrate serving as a carrier supporting a light emitting device chip. The substrate and the light emitting device chip have a chip side and a substrate side separately. A first electrode layer is disposed on a first surface of the light emitting device chip and a second electrode layer is disposed on a second surface of the light emitting device chip, in which the first surface and the second surface are not coplanar. A first conductive trace is electrically connected to the first electrode layer and a second conductive trace is electrically connected to the second electrode layer. At least the first conductive trace or the second conductive trace is formed along the chip side and the substrate side simultaneously. | 11-26-2009 |
20090294783 | Process to fabricate integrated mwir emitter - A device for medium wavelength infrared emission and a method for the manufacture thereof is provided. The device has a semiconductor substrate; a passive hermetic barrier disposed upon the substrate, and an emitter element disposed within said hermetic barrier; and a mirror. | 12-03-2009 |
20090294784 | Nitride Semiconductor Light Emitting Element and Method for Producing Nitride Semiconductor Light Emitting Element - Provided are a nitride semiconductor light emitting element which does not suffer a damage on a light emitting region and has a high luminance without deterioration, even though the nitride semiconductor light emitting element is one in which electrodes are disposed opposite to each other and an isolation trench for chip separation and laser lift-off is formed by etching; and a manufacturing method thereof. An n-type nitride semiconductor layer | 12-03-2009 |
20090294785 | LED DEVICE STRUCTURE TO IMPROVE LIGHT OUTPUT - A light-emitting device, including a substrate; a LED element formed over the substrate including a transparent or semi-transparent electrode, a reflective electrode, and one or more layers, at least one of which is light-emitting, formed between the transparent or semi-transparent electrode and reflective electrode, the transparent or semi-transparent electrode and reflective electrode defining a single, controllable light-emitting area, wherein the LED element emits light into a waveguide defined by the transparent or semi-transparent electrode, reflective electrode, and the one or more layers; and one or more first topographical features and one or more second topographical features different from the first topographical features formed over the substrate within the single, controllable light-emitting area, wherein the first and second topographical features disrupt the waveguiding of light within the single, controllable light-emitting area to increase the emission of light in at least one direction. | 12-03-2009 |
20090294786 | LIGHT EMITTING DIODE DEVICE - A light emitting diode device includes a substrate, a plurality of light emitting diode chips mounted on the substrate and arranged in a plurality of lines and a frame located on the substrate. The frame includes a plurality of first plates each extending along a first direction of the substrate, a plurality of second plates each extending along a second direction of the substrate and a plurality of reflecting plates. The first plates and the second plates cooperatively form a plurality of receiving rooms for receiving the light emitting diode chips therein. Each reflecting plate is located above a corresponding line of light emitting diode chips and inclined with respect to the substrate. | 12-03-2009 |
20090294787 | OPTICAL SEMICONDUCTOR DEVICE - An optical semiconductor device includes a distributed Bragg reflection layer of a first conductivity type, a distortion elaxation layer of the first conductivity type, a light absorbing layer, and a semiconductor layer of a second conductivity type, sequentially arranged on a semiconductor substrate. The distortion relaxation layer the same material as the semiconductor substrate. The total optical length of layers between the distributed Bragg reflection layer and the light absorbing layer is an integer multiple of one-half the wavelength of incident light that is detected. | 12-03-2009 |
20090294788 | LIGHT EMITTING DEVICE WITH A NON-ACTIVATED LUMINESCENT MATERIAL - The invention relates to a light emitting device having a radiation emitting element, for example a light emitting diode, and a luminescent material which is able to absorb a part of the radiation sent out by the radiation emitting element and to send out light with a wavelength which is different from the wavelength of the absorbed radiation. The device further has diffusing particles which are able to scatter a part of the radiation sent out by the radiation emitting element, and/or to scatter a part of the light sent out by the luminescent material. The diffusing particles are of non-activated luminescent material, through which production is simplified. | 12-03-2009 |
20090294789 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING LIGHT EMITTING DEVICE - A light emitting device includes a light emitting element emitting light, a first substrate on which the light emitting element is mounted, a second substrate forming a sealing space for the light emitting element between the first substrate and the second substrate and a light exiting window for allowing light emitted from the light emitting element to exit, in which at least one of the first substrate and the second substrate has cleavage characteristics and a cleavage plane thereof serves as a window attaching surface to which the light exiting window is attached. | 12-03-2009 |
20090294790 | ELECTROLUMINESCENT DEVICE AND FABRICATION METHOD THEREOF - An electroluminescent device includes a conduction substrate, a reflection layer, a patterned transparent conduction layer, at least one light emitting diode (LED) element, a first contact electrode and a second contact electrode. The reflection layer is disposed on the conduction substrate, and the patterned transparent conduction layer is formed on the reflection layer. The LED element is formed on the patterned transparent conduction layer, and the LED element includes a first semiconductor layer, a light emitting layer and a second semiconductor layer in sequence. The second semiconductor layer is disposed on the patterned transparent conduction layer and the reflection layer. The first contact electrode is disposed at one side of the first semiconductor layer, and the second contact electrode is disposed at one side of the conduction substrate. | 12-03-2009 |
20090302336 | SEMICONDUCTOR WAFERS AND SEMICONDUCTOR DEVICES AND METHODS OF MAKING SEMICONDUCTOR WAFERS AND DEVICES - Semiconductor wafers, semiconductor devices, and methods of making semiconductor wafers and devices are provided. Embodiments of the present invention are especially suitable for use with substrate substitution applications, such in the case of fabricating vertical LED. One embodiment of the present invention includes a method of making a semiconductor device, the method comprising providing a substrate; forming a plurality of polishing stops on the substrate; growing one or more buffer layers on the substrate; growing one or more epitaxial layers on the one or more buffer layers; and applying one or more metal layers to the one or more epitaxial layers. Additionally, the steps of affixing a second substrate to the one or more metal layers and removing the base substrate using a mechanical thinning process may be performed. | 12-10-2009 |
20090302337 | LIGHT EMITTING DIODE SYSTEM - An exemplary light emitting diode (LED) structure includes a base, a plurality of LED chips and an encapsulation material. The base defines a plurality of first channels located adjacent to a top surface thereof and a plurality of second channels located adjacent to a bottom surface thereof. Each of the first and the second channels extends along a vertical axis of the base. A projection of the first channels on the bottom surface of the base does not overlap with the projection of the second channels on the bottom surface of the base. The projection of the second channels on the bottom surface of the base is closer to the projection of one corresponding LED chip on the bottom surface of the base with respect to the projection of the first channels. A plurality of heat dissipation poles are filled in the first and the second channels. | 12-10-2009 |
20090302338 | LIGHT-EMITTING DEVICE - A light-emitting device ( | 12-10-2009 |
20090302339 | Light Emitting Device, Semiconductor Device, and Method of Fabricating the Devices - A semiconductor device in which degradation due to permeation of water and oxygen can be limited, e.g., a light emitting device having an organic light emitting device (OLED) formed on a plastic substrate, and a liquid crystal display using a plastic substrate. A layer to be debonded, containing elements, is formed on a substrate, bonded to a supporting member, and debonded from the substrate. A thin film is thereafter formed on the debonded layer. The debonded layer with the thin film is adhered to a transfer member. Cracks caused in the debonded layer at the time of debonding are thereby repaired. As the thin film in contact with the debonded layer, a film having thermal conductivity, e.g., film of aluminum nitride or aluminum nitroxide is used. This film dissipates heat from the elements and has the effect of preventing deformation and change in quality of the transfer member, e.g., a plastic substrate. | 12-10-2009 |
20090302340 | LIGHT EMITTING DEVICE - A light emitting device includes a light emitting element (LEE) on a mounting board, a metal reflector surrounding the side surfaces of the LEE on the mounting board, a conductor electrically connecting the LEE with the mounting board, and a sealing resin fitted within the reflector to cover and seal the LEE and the conductor. The mounting board includes a metal baseboard, and an insulating board laminated on the base board with a window hole larger than the outer periphery of the LEE. A mount for the LEE is on the base board within the window hole with a clearance defined from window hole side surfaces. The conductor straddles the clearance, and electrically connects the wiring pattern on the insulating board with the LEE and mount. Part of the clearance associated with the area that projects from the conductor to the mounting board is narrower than the remainder. | 12-10-2009 |
20090302341 | LIGHT-EMITTING DIODE LIGHT SOURCE MODULE - A light-emitting diode light source module includes a light-emitting diode and an optical lens which faces the light-emitting diode. The optical lens includes a light-emitting surface, a light-extracting surface opposite to the light-emitting surface, and a side surface interconnecting the light-emitting surface and the light-extracting surface. The light-emitting surface includes a first light-emitting portion and a second light-emitting portion. The light-extracting surface includes a first light-extracting portion and a second light-extracting portion surrounding the first light-extracting portion. An angle between the first light-emitting portion and the second light-emitting portion is an obtuse angle. An angle between the second light-emitting portion and the side surface is an acute angle. An angle between the side surface and second light-extracting portion is an acute angle. | 12-10-2009 |
20090302342 | Semiconductor Light-Emitting Device and Manufacturing Method - A semiconductor light-emitting device and a method for manufacturing the same can include a mixture resin encapsulating an LED chip in order to emit various colored lights, and can also include a separate transparent resin. The semiconductor light-emitting device can include a supporting plate, a base board located on the supporting plate, an upper base board located on the base board via an insulating adhesive layer, and an LED chip mounted on the supporting plate and located in a cavity composed of through-bores in the base boards. The transparent resin can be disposed circularly around an end of the insulating adhesive layer so as not to generate bubbles therein. The mixture resin can be disposed in the cavity except for that portion in which the transparent resin is located. Thus, the device can be configured to easily detect bubbles with a visual examination even if/when the bubbles are caused in the transparent resin. The disclosed device and method can prevent defective products from going to market. | 12-10-2009 |
20090302343 | LIGHTING DEVICE AND SEMICONDUCTOR LIGHT SOURCE DEVICE - A small-sized lighting device can achieve wider light distribution patterns. The lighting device can include a semiconductor light emitting element configured to emit light from a first face and a second face thereof. A mounting substrate can be provided on which the semiconductor light emitting element is mounted. Light emitted from the second face can transmit through the mounting substrate, and a first optical system can be provided and configured to impart a first light distribution pattern to the light emitted from the first face of the semiconductor light emitting element. A second optical system can be provided and configured to impart a second light distribution pattern to light emitted from the second face of the semiconductor light emitting element. | 12-10-2009 |
20090302344 | LIGHT-EMITTING APPARATUS PACKAGE, LIGHT-EMITTING APPARATUS, BACKLIGHT APPARATUS, AND DISPLAY APPARATUS - A light-emitting apparatus package of the present invention includes (i) an electrically insulated ceramic substrate, (ii) a first concave section formed in the direction of thickness of the ceramic substrate so as to form a light exit aperture in a surface of the ceramic substrate, (iii) a second concave section formed within the first concave section in the further direction of thickness of the ceramic substrate so that one or more light-emitting devices are provided therein, (iv) a wiring pattern for supplying electricity, which is provided in the first concave section, and (v) a metallized layer having light-reflectivity, which is (a) provided between the light-emitting device and the surface of the second concave section of the substrate, and (b) electrically insulated from the wiring pattern. On the account of this, the light-emitting apparatus package in which heat is excellently discharged and light is efficiently utilized and a light-emitting apparatus in which the light-emitting apparatus package is used can be obtained. | 12-10-2009 |
20090309112 | Yellow-Emitting Phosphor and White Light Emitting Device Using the Same - A novel yellow-emitting phosphor is represented by the general formula: Ca | 12-17-2009 |
20090309113 | Optoelectronic Semiconductor Component - An optoelectronic semiconductor component, comprising a carrier substrate, and an interlayer that mediates adhesion between the carrier substrate and a component structure. The component structure comprises an active layer provided for generating radiation, and a useful layer arranged between the interlayer and the active layer. The useful layer has a separating area remote from the carrier substrate. | 12-17-2009 |
20090309114 | WAVELENGTH CONVERTING LIGHT-EMITTING DEVICES AND METHODS OF MAKING THE SAME - Wavelength converting light-emitting devices and methods of making the same are provided. In some embodiments, the devices include a phosphor material region designed to convert the wavelength of emitted light. | 12-17-2009 |
20090309115 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes: a package base having recesses which are open in a light irradiating direction; a plurality of light emitting elements arranged on bottoms of the recesses and emitting light having different colors; first light transmitting resin extending over the light emitting elements on the bottoms of the recesses and containing a fluorescent substance; and second light transmitting resin extending over the first transmitting resin in the recesses and oriented toward openings of the recesses, containing a fewer fluorescent substance than the fluorescent substance of the first light transmitting resin, and being thicker than the first light transmitting resin. | 12-17-2009 |
20090309116 | SEMICONDUCTOR DEVICE MEMBER, PRODUCTION METHOD OF SEMICONDUCTOR-DEVICE-MEMBER FORMATION LIQUID AND SEMICONDUCTOR DEVICE MEMBER, AND SEMICONDUCTOR-DEVICE-MEMBER FORMATION LIQUID, PHOSPHOR COMPOSITION, SEMICONDUCTOR LIGHT-EMITTING DEVICE, LIGHTING SYSTEM AND IMAGE DISPLAY SYSTEM USING THE SAME - To provide a semiconductor device member that is superior in heat resistance, light resistance, film-formation capability and adhesion, and is capable of sealing a semiconductor device and holding a phosphor without causing cracks, peelings and colorings even after used for a long period of time, the weight loss at the time of heating, measured by a predetermined weight-loss at-the-time-of-heating measurement method, is 50 weight % or lower and the ratio of peeling, measured by a predetermined adhesion evaluation method, is 30% or lower, in the semiconductor device member. | 12-17-2009 |
20090315048 | Optoelectronic Semiconductor Chip - An optoelectronic semiconductor chip ( | 12-24-2009 |
20090315049 | OPTICAL SEMICONDUCTOR ELEMENT MOUNTING PACKAGE, AND OPTICAL SEMICONDUCTOR DEVICE USING THE SAME - An optical semiconductor element mounting package that has good adhesion between the resin molding and the lead electrodes and has excellent reliability is provided, as well as an optical semiconductor device using the package is also provided. The optical semiconductor element mounting package having a recessed part that serves as an optical semiconductor element mounting region, wherein the package is formed by integrating: a resin molding composed of a thermosetting light-reflecting resin composition, which forms at least the side faces of the recessed part; and at least a pair of positive and negative lead electrodes disposed opposite each other so as to form part of the bottom face of the recessed part, and there is no gap at a joint face between the resin molding and the lead electrodes. | 12-24-2009 |
20090315050 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device comprises a first semiconductor layer, a second semiconductor layer, an active layer formed between the first semiconductor layer and the second semiconductor layer, a first reflective electrode on the first semiconductor layer to reflect incident light, and a second reflective electrode on the second semiconductor layer to reflect the incident light. | 12-24-2009 |
20090315051 | PHOTOELECTRIC SEMICONDUCTOR DEVICE CAPABLE OF GENERATING UNIFORM COMPOUND LIGHTS - A transparent layer and a phosphor layer are covered on the LED chip for increasing light emission efficiency and evenness of the LED. Based on angle-dependent emission strength of the LED chip, the phosphor layer is designed with different thickness or contains different phosphor powder concentration in different section. The lights emitted with different strength from different angle of the LED chip are transformed into uniform compound lights after passing through the phosphor layer that has different thickness or phosphor powder concentration. Micro structures capable of destroying the full reflection occurred on the incident lights are further configured on both the inner and outer surfaces of the phosphor layer to increase the light emission efficiency. | 12-24-2009 |
20090315052 | LIGHT EMITTING DIODE LIGHT SOURCE AND BACKLIGHT HAVING SAME - An LED light source includes an LED die and a transparent encapsulation. The LED die includes a die emitting surface. The transparent encapsulation includes a reflective surface and an encapsulation emitting surface. The LED die is encapsulated by the transparent encapsulation such that the die emitting surface faces the encapsulation emitting surface. The transparent encapsulation is generally convex albeit having a concave recessed portion. The recessed portion is aligned with the die emitting surface and shaped so as to increase an effect of total internal reflection of light rays generated by the light emitting diode die and incident thereon. | 12-24-2009 |
20090315053 | LIGHT EMITTING DEVICE - The present invention provides a light emitting device, comprising a first light emitting diode for emitting light in an ultraviolet wavelength region; at least one phosphor arranged around the first light emitting diode and excited by the light emitted from the first light emitting diode to emit light having a peak wavelength longer than the wavelength of the light emitted from the first light emitting diode; and at least one second light emitting diode for emitting light having a wavelength different from the peak wavelength of the light emitted from the phosphor. According to the present invention, there is provided a white light emitting device, wherein using a light emitting diode for emitting light different in wavelength from light that is ex-cititively emitted from the phosphor, an excitation light source, i.e., light in the ultraviolet region for exciting the phosphor is effectively used, thereby improving energy conversion efficiency and improving reliability. | 12-24-2009 |
20090315054 | Light emitting elements, light emitting devices including light emitting elements and methods of manufacturing such light emitting elements and/or devices - An emitting device including a first electrode, a second electrode spaced apart from the first electrode, an emitting pattern including a portion between the first electrode and the second electrode, and a block pattern including a portion between the emitting pattern and the first electrode and/or on a same level as the first electrode. | 12-24-2009 |
20090315055 | PHOTOELECTROCHEMICAL ROUGHENING OF P-SIDE-UP GaN-BASED LIGHT EMITTING DIODES - A method for photoelectrochemical (PEC) etching of a p-type gallium nitride (GaN) layer of a heterostructure, comprising using an internal bias in a semiconductor structure to prevent electrons from reaching a surface of the p-type layer, and to promote holes reaching the surface of the p-type layer, wherein the semiconductor structure includes the p-type layer, an active layer for absorbing PEC illumination, and an n-type layer. | 12-24-2009 |
20090315056 | SEMICONDUCTOR DEVICE PACKAGE - A semiconductor device package is provided. The semiconductor device package comprises a package body, a plurality of electrodes, a paste member, and a semiconductor device. The electrodes comprise a first electrode disposed on the package body. The paste member is disposed on the first electrode and comprises at least one of an inorganic filler and metal powder. The semiconductor device is die-bonded on the paste member. | 12-24-2009 |
20090315057 | LIGHT-EMITTING APPARATUS, SURFACE LIGHT SOURCE, AND METHOD FOR MANUFACTURING PACKAGE FOR LIGHT-EMITTING APPARATUS - A light-emitting apparatus of the present invention has (i) a semiconductor device which emits light toward a higher position than a substrate and (ii) a plurality of external connection terminals, and includes: a light-reflecting layer, provided on the substrate, which reflects the light emitted by the semiconductor device; and a covering layer which covers at least the light-reflecting layer and which transmits the light reflected by the light-reflecting layer. Further, the semiconductor device is provided on the covering layer, and is electrically connected to the external connection terminals via connecting portions, and the semiconductor device and the connecting portions are sealed with a sealing resin so as to be covered. Therefore, the light-emitting apparatus has increased efficiency with which light is taken out, and can prevent a reflecting layer from being altered, deteriorating, and decreasing in reflectance. | 12-24-2009 |
20090315058 | NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - There are provided a nitride semiconductor light emitting device and a method of manufacturing the same, the device including: a first conductivity type nitride semiconductor layer formed on a substrate; an active layer formed on the first conductivity type nitride semiconductor layer; a second conductivity type nitride semiconductor layer formed on the active layer; a light-transmitting low refractive index layer formed on the second conductivity type nitride semiconductor layer, the light-transmitting low refractive index layer having a plurality of openings through which the second conductivity type nitride semiconductor layer is partially exposed and formed of a material having a refractive index lower than a refractive index of the second conductivity type nitride semiconductor layer; and a high conductivity ohmic contact layer formed on the light-transmitting low refractive index layer and connected to the second conductivity type nitride semiconductor layer through the openings of the light-transmitting low refractive index layer. | 12-24-2009 |
20090315059 | LIGHT EMITTING DIODE - A light-emitting diode includes a substrate having a main surface, a light-emitting diode device arranged on the main surface, a translucent sealing resin portion sealing the light-emitting diode device so that the light-emitting diode device is implemented as an independent convex portion projecting from the main surface, and a reflector arranged on the main surface so as to surround an outer perimeter of the sealing resin portion with an inclined surface at a distance from the outer perimeter. | 12-24-2009 |
20090315060 | LIGHT EMITTING DIODE PACKAGE - Provided is an LED package. It is easy to control luminance according to the luminance and an angle applicable. Since heat is efficiently emitted, the LED package is easily applicable to a high luminance LED. The manufacturing process is convenient and the cost is reduced. The LED package includes a substrate, an electrode, an LED, and a heatsink hole. The electrode is formed on the substrate. The LED is mounted in a side of the substrate and is electrically connected to the electrode. The heatsink hole is formed to pass through the substrate, for emitting out heat generated from the LED. | 12-24-2009 |
20090321757 | Dislocation-based light emitter - A light-emitting semiconductor component comprising a substrate which has a first interface between a first and a second silicon layer, whose lattice structures which are considered as ideal are rotated relative to each other through a twist angle about a first axis perpendicular to the substrate surface and are tilted through a tilt angle about a second axis parallel to the substrate surface, in such a way that a dislocation network is present in the region of the interface, wherein the twist angle and the tilt angle are so selected that an electroluminescence spectrum of the semiconductor component has an absolute maximum of the emitted light intensity at either 1.3 micrometers light wavelength or 1.55 micrometers light wavelength. | 12-31-2009 |
20090321758 | LED WITH IMPROVED EXTERNAL LIGHT EXTRACTION EFFICIENCY - Light-emitting semiconductor devices are provided with certain layers in an effort to produce increased luminous intensity when compared to conventional light-emitting devices. The light-emitting semiconductor device includes a light-emitting semiconductor; a first transparent layer disposed over the light-emitting semiconductor; a first wavelength-converting layer disposed over the first transparent layer, wherein an upper surface of the wavelength-converting layer is curved; and a second transparent layer disposed over the wavelength-converting layer, wherein an upper surface of the second transparent layer is curved or tapered. | 12-31-2009 |
20090321759 | SURFACE-TEXTURED ENCAPSULATIONS FOR USE WITH LIGHT EMITTING DIODES - Surface-textured encapsulations for use with light emitting diodes. In an aspect, a light emitting diode apparatus is provided that includes a light emitting diode, and an encapsulation formed upon the light emitting diode and having a surface texture configured to extract light. In an aspect, a method includes encapsulating a light emitting diode with an encapsulation having a surface texture configured to extract light. In an aspect, a light emitting diode lamp is provided that includes a package, at least one light emitting diode disposed within the package, and an encapsulation formed upon the at least one light emitting diode having a surface texture configured to extract light. In another aspect, a method includes determining one or more regions of an encapsulation, the encapsulation configured to cover a light emitting diode, and surface-texturing each region of the encapsulation with one or more geometric features that are configured to extract light. | 12-31-2009 |
20090321760 | FABRICATION OF COMPACT OPTO-ELECTRONIC COMPONENT PACKAGES - A wafer-level method of fabricating an opto-electronic component package, in which the opto-electronic component is mounted to a semiconductor wafer having first and second surfaces on opposite sides of the wafer. The method includes etching vias in the first surface of the semiconductor wafer. The first surface and surfaces in the vias are metallized, and the metal is structured to define a thermal pad and to define the anode and cathode contact pads. A carrier wafer is attached on the side of the semiconductor wafer having the first surface, and the semiconductor wafer is thinned from its second surface to expose the metallization in the vias. Metal is provided on the second surface, and the metal is structured to define a die attach pad and additional anode and cathode pads for the opto-electronic component. The opto-electronic component is mounted on the die attach pad and a protective cover is formed over the opto-electronic component. | 12-31-2009 |
20090321761 | COATING FOR CONVERTING OPTICAL SPECTRUM AND LED CHIP PACKAGE MODULE USING THE SAME - A coating for converting optical spectrum includes: a transparent colloid layer and an emitter material unit. The emitter material unit is used to convert one part of a short-wavelength band of a light source into a long-wavelength band. The emitter material unit has at least one first emitter body and at least one second emitter body both mixed with the transparent colloid layer, the at least one first emitter body is an inorganic silicate compound, and the at least one second emitter body is aan organic dye. Hence, the color rendering index (CRI) and the range of color temperature of white light generated by an LED chip package module using the coating are increased according to the function of the emitter material unit for converting one part of a short-wavelength band of a light source into a long-wavelength band. | 12-31-2009 |
20090321762 | LIGHT EMITTING DIODE | 12-31-2009 |
20090321763 | LIGHT EMITTING DIODE | 12-31-2009 |
20090321764 | Method of Manufacturing Organic Light Emitting Device and Organic Light Emitting Device Manufactured by Using The Method - Disclosed is a method of manufacturing an organic light emitting device, an organic light emitting device manufactured by using the method, and an electronic device including the organic light emitting device. The method includes (a) forming an insulating layer on a lower electrode, (b) etching the insulating layer to form an opening ranging from an upper surface of the insulating layer to the lower electrode so that an overhang structure having a lowermost circumference that is larger than an uppermost circumference is formed, (c) forming a conductive layer on an upper surface of the lower electrode in the opening and a surface of the insulating layer other than the overhang structure, (d) forming an organic material layer on the conductive layer formed on the upper surface of the lower electrode in the opening, and (e) forming an upper electrode on an upper surface of the conductive layer disposed on the upper surface of the insulating layer and an upper surface of the organic material layer. | 12-31-2009 |
20090321765 | LIGHT EMITTING DIODE - An LED includes an LED die forming an emitting surface for emitting light generated thereby and a packaging layer encapsulating the LED die. The packaging layer includes an end surface facing the emitting surface of the LED die, and a lateral surface extending downwardly from an outer periphery of the end surface along an axial direction of the packaging layer. The end surface forms a convex portion confronting the LED die and an emitting portion surrounding the convex portion. Light of the LED die traveling to the convex portion is reflected to the lateral surface, and then is reflected to the emitting portion, and finally travels through the emitting portion to an outside. | 12-31-2009 |
20090321766 | LED - An LED includes a base having a depression, a chip disposed in the depression, an encapsulation received in the depression for encapsulating the chip, and a base. Two spaced electrodes are attached to a bottom of the base and electrically connect with the chips. A porous heat sink extends through the base and reaches the depression, contacting the chip. | 12-31-2009 |
20090321767 | ASPHERICAL LED ANGULAR LENS FOR WIDE DISTRIBUTION PATTERNS AND LED ASSEMBLY USING THE SAME - The present invention discloses an aspherical LED angular optical lens for wide distribution patterns and an LED assembly using the same. The optical lens comprises a concave surface on a source side and a convex surface on a project side. The LED assembly comprising the optical lens can accumulate light emitted from the LED die and generate a peak intensity of the wide angular circle distribution pattern which is greater than 120° and smaller than 180°. The present invention only uses a single optical lens capable of accumulating light and forming a required distribution pattern to satisfy the requirement of a luminous flux ratio greater than 85% and the requirement of an illumination, a flash light of a cell phone or a flash light of a camera. | 12-31-2009 |
20090321768 | LED - An LED includes a base having a depression, a chip disposed in the depression and an encapsulation received in the depression for encapsulating the chip and a heat sink. The heat sink includes a plurality of fins formed on a top of the base and a heat-conductive material filled in the space between adjacent fins. The heat-conductive material has a plurality of pores therein. | 12-31-2009 |
20090321769 | METHOD FOR COATING SEMICONDUCTOR DEVICE USING DROPLET DEPOSITION - Methods and systems for coating of semiconductor devices using droplets of wavelength conversion or phosphor particles in a liquid medium. A plurality of nozzles delivers a controlled amount of the matrix material to the surface of the semiconductor device, with each of said nozzles having an opening for the matrix material to pass. The opening has a diameter wherein the diameter of the phosphor particles is less than or approximately equal to one half the diameter of the opening. The phosphor particles are also substantially spherical or rounded. The nozzles are typically arranged on a print head that utilizes jet printing techniques to cover the semiconductor device with a layer of the matrix material. The methods and systems are particularly applicable to covering LEDs with a layer of phosphor materials. | 12-31-2009 |
20090321770 | Semiconductor Light-Emitting Device - The disclosed subject matter includes reliable semiconductor light-emitting devices having a favorable light distribution using an LED chip, which can emit light having a different color as compared to that emitted directly by the LED chip. The semiconductor light-emitting device can include an LED chip having an electrode, a phosphor layer located on the LED chip except for the electrode, a bonding wire connected to the electrode, and a light-reflecting resin. The light-reflecting resin can be disposed on a light-emitting surface that is exposed around the electrode and on the electrode including the bonding wire, and can prevent the LED chip from exhibiting a leak of light that is not wavelength-converted via the phosphor layer, while increasing light that passes through the phosphor layer. In addition, the light-reflecting resin can protect the bonding wire from vibration, etc. Thus, the disclosed subject matter can provide reliable semiconductor light-emitting devices having high brightness without substantial color variability and that can emit various colored light(s). | 12-31-2009 |
20090321771 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a semiconductor light-emitting element emitting light in a region ranging from ultraviolet to visible, and a visible-light luminescent element absorbing light emitted from the semiconductor light-emitting element and outputting visible light. The visible-light luminescent element includes a substrate, a light-reflecting layer formed on the substrate and containing light scattering particles, and a luminescent layer containing phosphor particles. The luminescent layer absorbs light emitted from the semiconductor light-emitting element and output visible light. The luminescent layer further absorbs light that is emitted from the semiconductor light-emitting element, arrives at and is reflected from the light scattering particles, and output the visible light. | 12-31-2009 |
20090321772 | LIGHT SOURCE - A light source that restricts the heat accumulation in the phosphor. The light source includes: a substrate | 12-31-2009 |
20090321773 | LED PACKAGE FRAME AND LED PACKAGE HAVING THE SAME - An LED package frame includes an LED chip and a heat conductive member made of high heat conductivity material. The heat conductive member has a receiving part at a lateral portion, and is mounted with the LED chip. A lead-coating assembly configured to be inserted into the receiving part of the heat conductive member, including a lead is inserted at one end into the receiving part of the heat conductive member, and electrically connected to the LED chip. An electrically insulating layer is placed in tight contact between the lead and the receiving part of the heat conductive member isolates the lead from the receiving part. With the lead inserted into the heat conductive member, it is possible to reduce size while maintaining high heat conductivity and stability. | 12-31-2009 |
20100001301 | ORGANIC LIGHT EMITTING DEVICE, METHOD FOR PRODUCING THEREOF AND ARRAY OF ORGANIC LIGHT EMITTING DEVICES - The present invention is directed to an organic light emitting device (OLED) including a first electrode, a second electrode, at least one layer of organic material arranged between the first electrode and the second electrode, and a dielectric capping layer arranged on the second electrode opposite to the first electrode, wherein the capping layer comprises an outer surface, opposite to the second electrode, for emission of light generated in the at least one layer of organic material. The capping layer has the effect that a reflectance of external light is reduced whereas outcoupling of the light generated in the at least one layer of organic material through the capping layer is increased. | 01-07-2010 |
20100001302 | Group-III Nitride for Reducing Stress Caused by Metal Nitride Reflector - A device structure includes a substrate; a group-III nitride layer over the substrate; a metal nitride layer over the group-III nitride layer; and a light-emitting layer over the metal nitride layer. The metal nitride layer acts as a reflector reflecting the light emitted by the light-emitting layer. | 01-07-2010 |
20100001303 | RED EMITTING OXYNITRIDE LUMINESCENT MATERIALS - The invention relates to an improved red light emitting material of the formula M | 01-07-2010 |
20100001304 | LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - A light emitting diode includes an LED element, a fluorescent material provided so as to cover the LED element, a substrate on which the LED element is mounted and made of ceramics or silicon, and a pair of electrode pads which are electrically connected to the LED element on the substrate. | 01-07-2010 |
20100006877 | LIGHT-EMITTING DIODE PACKAGE - An LED package including a carrier, a LED chip, and a lens is provided. The LED chip is disposed on the carrier. The lens is disposed on the carrier and above the LED chip. A gap is formed between the LED chip and the lens. The lens has a first surface, a second surface, a protrusion, and at least one protruding ring. The first surface faces the LED chip. The second surface is opposite to the first surface. The protrusion is located at the first surface. The protruding ring is located at the first surface and surrounds the protrusion. | 01-14-2010 |
20100006878 | SEMICONDUCTOR LIGHT EMITTING DEVICE HAVING PATTERNED SUBSTRATE AND MANUFACTURING METHOD OF THE SAME - There is provided a semiconductor light emitting device having a patterned substrate and a manufacturing method of the same. The semiconductor light emitting device includes a substrate; a first conductivity type nitride semiconductor layer, an active layer and a second conductivity type nitride semiconductor layer sequentially formed on the substrate, wherein the substrate is provided on a surface thereof with a pattern having a plurality of convex portions, wherein out of the plurality of convex portions of the pattern, a distance between a first convex portion and an adjacent one of the convex portions is different from a distance between a second convex portion and an adjacent one of the convex portions. | 01-14-2010 |
20100006879 | Radiation Emitting Device - A radiation emitting device includes a radiation emitting functional layer that emits a primary radiation, and a radiation conversion material that is arranged in the radiation path of the radiation emitting functional layer and converts the primary radiation at least partially into a radiation of greater wavelength. | 01-14-2010 |
20100006880 | Led chip package structure using sedimentation and method for making the same - An LED chip package structure using sedimentation includes a package body, at least two conductive substrates, at least one light-emitting element, and a package unit. The package body has a receiving space. The two conductive substrates are received in the receiving space. The light-emitting element is received in the receiving space and electrically connected to the two conductive substrates. The package unit has a package colloid layer and a powder mixed into the package colloid layer, and the package unit is filled into the receiving space. The powder is uniformly deposited in the receiving space by maintaining the package unit at room temperature firstly and the powder is solidified in the receiving space by heating to a predetermined temperature. | 01-14-2010 |
20100006881 | LIGHT EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - There is provided a light emitting device, which comprises compound semiconductor layers including a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer; a metal reflection layer formed on a region of the second conductive semiconductor layer; an insulating structure formed at least in a boundary region of the second conductive semiconductor layer; a metal material structure formed to cover the second conductive semiconductor layer having the metal reflection layer and the insulating structure formed; and a substrate bonded to the metal material structure, wherein the boundary region of the second conductive semiconductor layer includes an outer region of the second conductive semiconductor layer along an outer circumference of the second conductive semiconductor layer. | 01-14-2010 |
20100006882 | LIGHTING DEVICE - An object of the invention is to provide a lighting device which can suppress luminance nonuniformity in a light emitting region when the lighting device has large area. A layer including a light emitting material is formed between a first electrode and a second electrode, and a third electrode is formed to connect to the first electrode through an opening formed in the second electrode and the layer including a light emitting material. An effect of voltage drop due to relatively high resistivity of the first electrode can be reduced by electrically connecting the third electrode to the first electrode through the opening. | 01-14-2010 |
20100006883 | LIGHT EMITTING DIODES INCLUDING BARRIER LAYERS/SUBLAYERS AND MANUFACTURING METHODS THEREFOR - Semiconductor light emitting devices, such as light emitting diodes, include a substrate, an epitaxial region on the substrate that includes a light emitting region such as a light emitting diode region, and a multilayer conductive stack including a reflector layer, on the epitaxial region. A barrier layer is provided on the reflector layer and extending on a sidewall of the reflector layer. The multilayer conductive stack can also include an ohmic layer between the reflector and the epitaxial region. The barrier layer further extends on a sidewall of the ohmic layer. The barrier layer can also extend onto the epitaxial region outside the multilayer conductive stack. The barrier layer can be fabricated as a series of alternating first and second sublayers. | 01-14-2010 |
20100006884 | Light Emitting Device and Manufacturing Method Therof - The application relates to a structure of a light emitting device and the manufacturing method thereof. The application discloses a method of forming a bonding pad of the light emitting device by chemical deposition method. The light emitting device includes a substrate, a semiconductor stack deposited on the substrate wherein the semiconductor stack includes at least a p-type semiconductor layer, an n-type semiconductor layer, and an active layer disposed between the p-type semiconductor layer and the n-type semiconductor layer. A bonding pad is formed on at least one of the p-type semiconductor layer and the n-type semiconductor layer wherein the bonding pad includes a seed layer formed by physical deposition method, and a chemically-deposited layer formed by chemical deposition method. The thickness of the seed layer is smaller than that of the chemically-deposited layer. | 01-14-2010 |
20100012957 | LIGHT-EMITTING DIODE DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor device is disclosed. The semiconductor device comprises a light-emitting diode chip disposed in a cavity of a semiconductor substrate. At least two isolated outer wiring layers are disposed on the bottom surface of the semiconductor substrate and are electrically connected to the light-emitting diode chip, serving as input terminals. A lens module is adhered to the top surface of the semiconductor substrate to cap the cavity, in which the lens module comprises a molded lens and a molded fluorescent layer thereunder and the molded fluorescent layer faces the light-emitting diode chip. A method for fabricating the semiconductor devices is also disclosed. | 01-21-2010 |
20100012958 | LIGHT EMITTING DEVICE WITH TENSION RELAXATION - A light emitting device is provided, comprising a light emitting diode | 01-21-2010 |
20100012959 | Optoelectronic Component - An optoelectronic component with a desired color impression in the switched-off state includes, in particular, a semiconductor layer sequence with an active region, that during operation radiates electromagnetic radiation with a first spectrum, and a wavelength conversion layer that is disposed downstream from the semiconductor layer sequence in the beam path of the electromagnetic radiation with the first spectrum, and that at least partially converts a subspectrum of the electromagnetic radiation with the first spectrum into electromagnetic radiation with a second spectrum, and a filter layer that reflects at least a part of the radiation incident from outside onto the optoelectronic component. | 01-21-2010 |
20100012960 | LIGHT EMITTING DIODE - An LED includes a substrate, an LED die, and a packaging layer. The substrate has conductive pins extending therethrough. The LED die is arranged on the substrate and electronically connected to the conductive pins of the substrate. The packaging layer couples to the substrate to encapsulate the LED die therein. The packaging layer includes a contacting surface attached to the substrate, an outer surface opposite to the contacting surface and facing an ambient air, and a lateral surface between the contacting surface and the outer surface. The lateral surface of the packaging layer converges from the contacting surface to the outer surface. A refractive index of the packaging layer decreases from the contacting surface to the outer surface. | 01-21-2010 |
20100012961 | LIGHT EMITTING DEVICE AND METHOD FOR PRODUCING THE SAME - A light emitting device | 01-21-2010 |
20100012962 | LIGHT EMITTING DIODE AND FABRICATION THEREOF - A light emitting diode is disclosed, wherein the light emitting diode comprises a metal reflective layer for enhancing the light reflection efficiency inside the light emitting diode and reducing the resistance to avoid the power loss. In addition, the light emitting diode further comprises a buffer layer sandwiched between the metal reflective layer and a semiconductor layer, wherein the buffer layer is mixed with metal and non-metallic transparent material for reducing the stress between the semiconductor and the metal to decrease the possibility of the die cracking. | 01-21-2010 |
20100012963 | LIGHT EMITTING DIODE AND METHOD OF THE SAME - A light emitting diode and a method of the same are provided. The light emitting diode includes a substrate with a first region and a second region, a first semiconductor layer, a light-emitting layer, and a second semiconductor layer. The light emitting diode further includes a plurality of vias, a first metal layer, a second metal layer, and a patterned passivation layer interposed between the second semiconductor layer and the first metal layer. The plurality of vias are located in the first region and penetrate through the second semiconductor layer and the light-emitting layer to expose part of the first semiconductor layer. The first metal layer is located in the first region, and electrically contacted with the first semiconductor layer through the plurality of vias. The second metal layer is located in the second region, and electrically contacted with the second semiconductor layer and electrically insulated from the first metal layer. The patterned passivation layer is configured to electrically isolate the first metal layer from the second semiconductor layer and the light-emitting layer. | 01-21-2010 |
20100012964 | ILLUMINATION SYSTEM COMPRISING MONOLITHIC CERAMIC LUMINESCENCE CONVERTER - An illumination system comprising a radiation source and a composite monolithic ceramic luminescence converter comprising a composite luminescent material comprising at least one first phosphor and at least one second phosphor capable of absorbing a part of the light emitted by the radiation source and emitting light of a wavelength different from that of the absorbed light provides improved light mixing and chromaticity control of the emitted light mixture. The invention relates also to a composite monolithic ceramic luminescence converter and a method of manufacturing such composite monolithic ceramic luminescence converter. | 01-21-2010 |
20100019260 | SEMICONDUCTOR LIGHT EMITTING DEVICE INCLUDING A WINDOW LAYER AND A LIGHT-DIRECTING STRUCTURE - A device includes a semiconductor structure comprising a light emitting layer disposed between an n-type region and a p-type region. The semiconductor structure is disposed between a window layer and a light-directing structure. The light-directing structure is configured to direct light toward the window layer; examples of suitable light-directing structures include a porous semiconductor layer and a photonic crystal. An n-contact is electrically connected to the n-type region and a p-contact is electrically connected to the p-type region. The p-contact is disposed in an opening formed in the semiconductor structure. | 01-28-2010 |
20100019261 | SILICON NANOPARTICLE WHITE LIGHT EMITTING DIODE DEVICE - Multiple films of red-green-blue (RGB) luminescent silicon nanoparticles are integrated in a cascade configuration as a top coating in an ultraviolet/blue light emitting diode (LED) to convert it to a white LED. The configuration of RGB luminescent silicon nanoparticle films harnesses the short wavelength portion of the light emitted from the UV/blue LED while transmitting efficiently the longer wavelength portion. The configuration also reduces damaging heat and/or ultraviolet effects to both the device and to humans. | 01-28-2010 |
20100019262 | WHITE-EMITTING PHOSPHORS AND LIGHTING APPARATUS USING THE SAME - A phosphor has a general formula of (M | 01-28-2010 |
20100019263 | ROUGH STRUCTURE OF OPTOELECTRONIC DEVICE AND FABRICATION THEREOF - A dual-scale rough structure, in which a plurality of islands are grown on a semiconductor layer by heavily doping a dopant during epitaxy of a semiconductor layer of an optoelectronics device, is provided. A plurality of pin holes are formed on the islands by lowering the epitaxial temperature. The pin holes are distributed over the top and sidewall surfaces of the islands so that the total internal reflection within the optoelectronics device can be significantly reduced so as to enhance the brightness thereof. Compared with traditional technologies, the process method of the present invention has the advantages of producing less pollution, being able to perform easily, reducing manufactured cost, increasing the efficiency of light extraction, and increasing the effective area of the dual-scale emitting surface, which is not a smooth surface, of the structure. | 01-28-2010 |
20100019264 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device including a second electrode layer; a light emitting unit including a plurality of compound semiconductor layers under one portion of the second electrode layer; a first insulating layer under the other portion of the second electrode; an electrostatic protection unit including a plurality of compound semiconductor layer under the first insulating layer; a first electrode layer electrically connecting the light emitting unit to the electrostatic protection unit; and a wiring layer electrically connecting the electrostatic protection unit to the second electrode layer. | 01-28-2010 |
20100019265 | LIGHT-EMITTING APPARATUS WITH SHAPED WAVELENGTH CONVERTER - Proposed is a light-emitting apparatus | 01-28-2010 |
20100019266 | Arrangement for Generating Mixed Light and Method for Producing Such an Arrangement - An arrangement and a method for producing such an arrangement serve for generating mixed light. In this case, a semiconductor chip that emits an electromagnetic primary radiation has a luminescence conversion element in the beam path of the primary radiation. Furthermore, the arrangement includes a connecting element and a carrier element, wherein the carrier element carries and shapes the luminescence conversion element and the connecting element. | 01-28-2010 |
20100019267 | LED OF SIDE VIEW TYPE AND THE METHOD FOR MANUFACTURING THE SAME - A side view type light emitting diode (LED) and a method of manufacturing the same are disclosed. In one embodiment, the LED includes i) a pair of lead frames, ii) a reflector surrounding the lead frames, wherein a groove is defined in the reflector, wherein the reflector comprises a plurality of walls surrounding the groove, and wherein at least two walls of the groove face each other, iii) an LED chip mounted in the groove and electrically connected to the lead frames and iv) a lens array contained in the groove. | 01-28-2010 |
20100025703 | Conductive Polymer Compositions in Opto-Electrical Devices - A conductive polymer composition comprising a conductive polymer in a solid polyelectrolyte. | 02-04-2010 |
20100025704 | HIGH EFFICIENCY LIGHTING DEVICE - A method for fabricating a high efficiency lighting device and the structure thereof are disclosed. The method includes the following steps: providing a light emitting diode structure; attaching a distributed-Bragg reflecting layer (DBR) to the light emitting diode structure by vapor deposition; and connecting the light emitting diode structure to a eutectic layer through the distributed-Bragg reflecting layer to form the high efficiency lighting device. | 02-04-2010 |
20100025705 | HIGH EFFICIENCY LIGHTING DEVICE AND MANUFACTURING METHOD THEREOF - A high efficiency luminous device and a manufacturing method thereof are disclosed. The high efficiency luminous device includes a LED structure, a first metal electrode, and a second metal electrode. The LED structure is for emitting light. The first metal electrode is formed on the LED structure, and the first metal electrode has a plurality of first openings therein. The second metal electrode is formed on the LED structure, and the second metal electrode has a plurality of second openings therein. The plurality of first openings and the plurality of second openings allow the light emitted from the LED structure to pass therethrough. | 02-04-2010 |
20100025706 | NANOPARTICLE BASED INORGANIC BONDING MATERIAL - A method for the production of a light emitting device is provided, comprising providing at least one LED | 02-04-2010 |
20100025707 | Optical Element, Radiation-Emitting Component and Method for Producing an Optical Element - An optical element comprising includes a base body containing a base material, and a filling body containing a filling material, wherein the filling body adheres to the base body. A radiation-emitting component and a method for producing an optical element are futhermore described. | 02-04-2010 |
20100025708 | LUMPED PLASMONIC "DIODE" AND LUMPED PLASMONIC "RECTIFIER" FOR OPTICAL FIELD RECTIFICATION AND LUMPED OPTICAL SECOND HARMONIC GENERATION - A lumped nanocircuit element design at IR and optical frequencies is provided that can effectively act as a lumped “diode” and a lumped “rectifier” for rectifying optical field displacement currents or optical electric field. The lumped nanocircuit element design can also act as a lumped second harmonic generator. The element is formed by juxtaposing an epsilon-negative nanoparticle with a nonlinear optical nanostructure. | 02-04-2010 |
20100025709 | Light emitting device - A light emitting device includes a light emitting element, a sealing material for sealing the light emitting element, a first filler included in the sealing material, and a second filler included in the sealing material. The second filler includes a particle diameter smaller than that of the first filler. | 02-04-2010 |
20100025710 | Semiconductor device and fabrication method thereof - There is provided a semiconductor device including: a semiconductor chip having a penetrating electrode penetrating through from a first main surface of the semiconductor chip to a second main surface on the opposite side thereof, a photoreceptor portion formed on the first main surface, and a first wire at a periphery of the photoreceptor portion; a light transmitting chip adhered to the first main surface at the periphery of the light transmitting chip, with a bonding layer interposed between the light transmitting chip and the first main surface, the light transmitting chip covering the light transmitting chip; and a light blocking resin layer formed only on the side surfaces of the light transmitting chip and the bonding layer. | 02-04-2010 |
20100025711 | OPTICAL BONDING COMPOSITION FOR LED LIGHT SOURCE - An optical bonding composition and LED light source comprising the composition are disclosed, as well as a method of making the LED light source. The LED light source may comprise: an LED die; an optical element optically coupled to the LED die; and a bonding layer comprising an amorphous organopolysiloxane network, the organopolysiloxane network comprising a silsesquioxane portion derived from (R | 02-04-2010 |
20100025712 | SEMICONDUCTOR COMPONENT AND ASSOCIATED PRODUCTION METHOD - The present invention relates to a semiconductor component and an associated production method, said component emitting at least two defined wavelengths with a defined intensity ratio. It is an object of the present invention to specify an optical semiconductor component and an associated production method, said component emitting at least two defined wavelengths with a defined intensity ratio. In this case, the intention is that both the wavelengths and the intensity ratio can be set extremely precisely. The semiconductor component according to the invention has a substrate ( | 02-04-2010 |
20100025713 | WAFER-SCALED LIGHT-EMITTING STRUCTURE - This invention discloses a wafer-scaled light-emitting structure comprising a supportive substrate; an anti-deforming layer; a bonding layer; and a light-emitting stacked layer, wherein the anti-deforming layer reduces or removes the deformation like warp caused by thinning of the substrate. | 02-04-2010 |
20100025714 | LIGHT-EMITTING DEVICE CONTAINING A COMPOSITE ELECTROPLATED SUBSTRATE - The application is related to a method of forming a substrate of a light-emitting diode by composite electroplating. The application illustrates a light-emitting diode comprising the following elements: a light-emitting epitaxy structure, a reflective layer disposed on the light-emitting epitaxy structure, a seed layer disposed on the reflective layer, a composite electroplating substrate disposed on the seed layer by composite electroplating, and a protection layer disposed on the composite electroplating substrate. | 02-04-2010 |
20100025715 | Ultra Dark Polymer - A method and a material for creating an antireflective coating on an integrated circuit. A preferred embodiment comprises applying a dark polymer material on a reflective surface, curing the dark polymer material, and roughening a top surface of the dark polymer material. The roughening can be achieved by ashing the dark polymer material in an ash chamber. The dark polymer material, preferably a black matrix resin or a polyimide black matrix resin, when ashed in an oxygen rich atmosphere for a short period of time, forms a surface that is capable of absorbing light as well as randomly refracting light it does not absorb. A protective cap layer may be formed on top of the ashed dark polymer material to provide protection for the dark polymer material. | 02-04-2010 |
20100025716 | LIGHTING SYSTEM - It is an object of the present invention to provide a lighting system having favorable luminance uniformity in a light-emitting region when the lighting system has large area. According to one feature of the invention, a lighting system comprises a first electrode, a second electrode, a layer containing a light-emitting substance formed between the first electrode and the second electrode, an insulating layer which is formed over a substrate in a grid form and contains a fluorescence substance, and a wiring formed over the insulating layer. The insulating layer and the wiring are covered with the first electrode so that the first electrode and the wiring are in contact with each other. | 02-04-2010 |
20100025717 | HIGHLY EFFICIENT GALLIUM NITRIDE BASED LIGHT EMITTING DIODES VIA SURFACE ROUGHENING - A gallium nitride (GaN) based light emitting diode (LED), wherein light is extracted through a nitrogen face (N-face) of the LED and a surface of the N-face is roughened into one or more hexagonal shaped cones. The roughened surface reduces light reflections occurring repeatedly inside the LED, and thus extracts more light out of the LED. The surface of the N-face is roughened by an anisotropic etching, which may comprise a dry etching or a photo-enhanced chemical (PEC) etching. | 02-04-2010 |
20100032697 | LIGHT-EMITTING MODULE - A light-emitting module ( | 02-11-2010 |
20100032698 | PARTICLE FOR DISPLAY MEDIUM AND INFORMATION DISPLAY PANEL USING SAME - In the particles for display media used for an information display panel, in which at least one group of display media are sealed between two opposed substrates, at least one of two substrates being transparent, and, in which the display media, to which an electrostatic field is applied, are made to move so as to display information such as an image, a material having electric properties of a semiconductor is provided on a surface of the particles. According to the invention, since use is made of the particle whose surface has electric properties of a semiconductor, it is possible to maintain stably a surface charge of the particle for display media. As a result, it is possible to obtain an information display panel having a stable information display state such as an image. | 02-11-2010 |
20100032699 | System for High Efficiency Solid-State Light Emissions and Method of Manufacture - In one embodiment of the invention, a bonding material is used to bond a substitute substrate to the LED, wherein the bonding material does not including gold or tin. The bonding material preferably includes gallium (Ga), such as a combination of Ga and Al or Cu. This bonding material has high thermal conductivity, high strength, high temperature stability and is low cost. In another embodiment of the invention, the substitute substrate is first thinned before it is bonded to the LED structure, so that the substitute substrate is flexible and conforms to the shape of the LED structure. In yet another embodiment of the invention, an apparatus is used for bonding a substitute substrate to a LED which comprises a plurality of semiconductor epitaxial layers, said semiconductor epitaxial layers having been grown on the growth substrate so that said semiconductor epitaxial layers are curved in shape. The apparatus comprises a conduit for evacuating a region near the substitute substrate on a side of the substitute substrate that is opposite to that of said semiconductor epitaxial layers. Gas pressure is applied on the semiconductor epitaxial layers, and the substitute substrate conforms to the shape of said semiconductor epitaxial layers as a result of pressure applied. A bonding material is used for bonding said substitute substrate to the semiconductor epitaxial layers. | 02-11-2010 |
20100032700 | Light-Emitting Diodes on Concave Texture Substrate - A semiconductor device having light-emitting diodes (LEDs) formed on a concave textured substrate is provided. A substrate is patterned and etched to form recesses. A separation layer is formed along the bottom of the recesses. An LED structure is formed along the sidewalls and, optionally, along the surface of the substrate between adjacent recesses. In these embodiments, the surface area of the LED structure is increased as compared to a planar surface. In another embodiment, the LED structure is formed within the recesses such that the bottom contact layer is non-conformal to the topology of the recesses. In these embodiments, the recesses in a silicon substrate result in a cubic structure in the bottom contact layer, such as an n-GaN layer, which has a non-polar characteristic and exhibits higher external quantum efficiency. | 02-11-2010 |
20100032701 | Nitride semiconductor light emitting device and method of manufacturing the same - A nitride semiconductor light-emitting device including a reflecting layer made of a dielectric material, a transparent conductive layer, a p-type nitride semiconductor layer, a light emitting layer and an n-type nitride semiconductor layer in this order and a method of manufacturing the same are provided. The transparent conductive layer is preferably made of a conductive metal oxide or an n-type nitride semiconductor, and the reflecting layer made of a dielectric material preferably has a multilayer structure obtained by alternately stacking a layer made of a dielectric material having a high refractive index and a layer made of a dielectric material having a low refractive index. | 02-11-2010 |
20100032702 | Light-Emitting Diode Housing Comprising Fluoropolymer - A light-emitting diode housing comprising fluoropolymer is disclosed. The light-emitting diode housing supports a light-emitting diode chip and reflects at least a portion of the light emitted from the light-emitting diode chip. | 02-11-2010 |
20100032703 | EDGE-EMITTING LED ASSEMBLY - A light-emitting diode (LED) in accordance with the invention includes an edge-emitting LED stack having an external emitting surface from which light is emitted, and a reflective element that is located adjacent to at least one external surface of the LED stack other than the external emitting surface. The reflective element receives light that is generated inside the LED stack and reflects the received light back into the LED stack. At least a portion of the reflected light is then emitted from the external emitting surface. | 02-11-2010 |
20100032704 | LED WITH CURRENT CONFINEMENT STRUCTURE AND SURFACE ROUGHENING - An LED having a p-type layer of material with an associated p-contact, an n-type layer of material with an associated n-contact and an active region between the p-type layer and the n-type layer, includes a confinement structure that is formed within one of the p-type layer of material and the n-type layer of material. The confinement structure is generally aligned with the contact on the top and primary emission surface of the LED and substantially prevents the emission of light from the area of the active region that is coincident with the area of the confinement structure and the top-surface contact. The LED may include a roughened emitting-side surface to further enhance light extraction. | 02-11-2010 |
20100038658 | Polymer light-emitting diode and fabrication of same by resonant infrared laser vapor deposition - A polymeric light-emitting diode (PLED) and methods of making same. In one embodiment, the PLED comprises a substrate, a layer of a first conductive material formed on a surface of the substrate, a layer of a conductive polymeric material deposited on the layer of the first conductive material, a layer of a luminescent polymeric material deposited on the layer of the conductive polymeric material, and a layer of a second conductive material formed on the layer of the luminescent polymeric material, wherein at least one of the layer of the conductive polymeric material and the layer of the luminescent polymeric material is deposited by the laser vapor deposition (LVD). | 02-18-2010 |
20100038659 | Omnidirectional Reflector - A system and method for manufacturing an LED is provided. A preferred embodiment includes a substrate with a distributed Bragg reflector formed over the substrate. A photonic crystal layer is formed over the distributed Bragg reflector to collimate the light that impinges upon the distributed Bragg reflector, thereby increasing the efficiency of the distributed Bragg reflector. A first contact layer, an active layer, and a second contact layer are preferably either formed over the photonic crystal layer or alternatively attached to the photonic crystal layer. | 02-18-2010 |
20100038660 | TWO-PHASE COOLING FOR LIGHT-EMITTING DEVICES - System, method, and apparatus for two phase cooling in light-emitting devices are disclosed. In one aspect of the present disclosure, an apparatus includes a light-emitting device and a two-phase cooling apparatus coupled to the light-emitting device. The coupling of the two-phase cooling apparatus and the light-emitting device is operatively configured such that thermal coupling between the light-emitting device and the two-phase cooling apparatus enables, when, in operation, heat generated from the light-emitting device to be absorbed by a substance of a first phase in the two-phase cooling apparatus to convert the substance to a second phase. | 02-18-2010 |
20100038661 | Light-Emitting Diode With Non-Metallic Reflector - A light-emitting diode (LED) device is provided. The LED device has a substrate, a reflective structure over the substrate, and an LED structure over the reflective structure. The reflective structure is formed of non-metallic materials. In one embodiment, the reflective structure is formed of alternating layers of different non-metallic materials having different refractive indices. In another embodiment, the reflective structure is formed of alternating layers of high-porosity silicon and low-porosity silicon. In yet another embodiment, the reflective structure is formed of silicon dioxide, which may allow the use of fewer layers. The reflective structure may be formed directly on the same substrate as the LED structure or formed on a separate substrate and then bonded to the LED structure. | 02-18-2010 |
20100038662 | LIGHT EMITTING DEVICE AND PRODUCTION METHOD OF SAME - A light emitting device, and a production method thereof, is provided having for a light source thereof a vertical geometry light emitting diode, that allows a large current to flow through the vertical geometry light emitting diode and takes into consideration the dissipation of heat occurring at that time or the expansion and contraction of a metal member due to thermal stress caused by that heat. The light emitting device at least comprises a package having a plurality of mutually separated package electrodes; a vertical geometry light emitting diode having a light emitting layer positioned between a p-type semiconductor layer and an n-type semiconductor layer, an upper partial electrode of the uppermost layer, and a lower electrode of the lowermost layer, wherein the lower electrode is joined onto one of the package electrodes; and, a conductive connecting member that connects the upper electrode of the vertical geometry light emitting diode with another of package electrodes; wherein the junction between said one of the package electrodes and the lower electrode, the junction between the upper electrode and the conductive connecting member, and the junction between the conductive connecting member and said other of package electrodes are made with solder. | 02-18-2010 |
20100038663 | LED LIGHT RECYCLING FOR LUMINANCE ENHANCEMENT AND ANGULAR NARROWING - Some embodiments provide a luminance-enhanced light source. These embodiments include a thin-film LED mounted on a substrate and with a defined upper surface approximately hemispherically emitting light, with the upper surface being diffusely transmissive, a lower first layer of identically defined linear prismatic film separated from the upper surface by a non-evanescent air gap so as to cover the upper surface, a upper second layer of linear prismatic film, identical to but oriented orthogonally to the first layer, and a circumferential vertical reflective wall bordering on both of the first and second layers and extending in height from the substrate to the top of the second layer. | 02-18-2010 |
20100038664 | Semiconductor Chip and Method for Producing a Semiconductor Chip - A semiconductor chip includes a carrier and a semiconductor body, which includes a semiconductor layer sequence having an active region provided for generating radiation. The carrier has a first carrier area facing the semiconductor body and a second carrier area remote from the semiconductor body. The semiconductor body is cohesively fixed to the carrier by means of a connection layer. A plurality of reflective or scattering elements are formed between the second carrier area and the active region. | 02-18-2010 |
20100038665 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting device ( | 02-18-2010 |
20100038666 | Lens Arrangement and LED Display Device - A lens arrangement for an LED display device includes a lens. The lens has a first lens surface and an optical axis. The optical axis penetrates the first lens surface of the lens. Furthermore, the lens arrangement includes a transparent transition body, which is firmly coupled with the lens on the first lens surface, which is more temperature-resistant than the lens and which has an optical axis that is parallel to the optical axis of the lens. | 02-18-2010 |
20100038667 | Optoelectronic Semiconductor Chip and Method for Manufacturing a Contact Structure for Such a Chip - An optoelectronic semiconductor chip with a semiconductor body having a semiconductor layer sequence with an active region suitable for generating radiation is specified, wherein the semiconductor chip comprises a radiation-transmissive and electrically conductive contact layer arranged on a semiconductor body and electrically connected to an active region. The contact layer adjoins a barrier layer of the semiconductor layer sequence and a contact layer is applied to the semiconductor body having a structure. An electrode is arranged on the semiconductor body on a side of the active region facing away from the barrier layer and having a contact area, wherein the contact layer adjoins the barrier layer with its entire surface in a region of the barrier layer that is covered by the contact area of the electrode. | 02-18-2010 |
20100038668 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - The invention is directed to providing a smaller semiconductor device with a lower manufacturing cost and higher reliability and a method of manufacturing the same. A light emitting element (a LED die | 02-18-2010 |
20100038669 | VERTICAL LIGHT EMITTING DIODES - A light emitting device (LED) employs one or more conductive multilayer reflector (CMR) structures. Each CMR is located between the light emitting region and a metal electrical contact region, thereby acting as low-loss, high-reflectivity region that masks the lossy metal contact regions away from the trapped waveguide modes. Improved optical light extraction via an upper surface is thereby achieved and a vertical conduction path is provided for current spreading in the device. In an example vertical, flip-chip type device, a CMR is employed between the metal bottom contact and the p-GaN flip chip layer. A complete light emitting module comprises the LED and encapsulant layers with a phosphor. Also provided is a method of manufacture of the LED and the module. | 02-18-2010 |
20100038670 | ILLUMINATION ASSEMBLY INCLUDING CHIP-SCALE PACKAGED LIGHT-EMITTING DEVICE - The embodiments described herein are drawn generally towards illumination assemblies including light emitting devices. In some embodiments, the illumination assemblies including chip-scale packaged light-emitting devices and optical elements. | 02-18-2010 |
20100044730 | Organic light emitting diode display device and method of fabricating the same - An organic light emitting diode display device having a frit which can improve mechanical strength and adhesion between the upper substrate and the lower substrate, and a method of fabricating the same are disclosed. The organic light emitting diode display device includes a lower substrate, an organic light emitting diode disposed on the lower substrate, an upper substrate to be coupled to the lower substrate, and a frit disposed between the lower substrate and the upper substrate to couple both the lower substrate and the upper substrate to each other where the frit has a plurality of pores. | 02-25-2010 |
20100044731 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - Such a semiconductor light-emitting device ( | 02-25-2010 |
20100044732 | Light Emitting Diode Structure and Method of Forming the Same - A light emitting diode structure and a light emitting diode structure forming method are provided. The light emitting diode structure includes a base, a diode chip, and a package lens. The diode chip is mounted on the base. The package lens covers the diode chip. The surface of the package lens includes a plurality of dot structures. The steps of the method include mounting a light-emitting diode chip on a base, assembling a package lens to cover the light emitting diodes chip, and forming a plurality of dot structures on the surface of the package lens. | 02-25-2010 |
20100044733 | ELECTROLUMINESCENCE ELEMENT - An electroluminescence element includes: an electroluminescence substrate including a thin film transistor substrate, and a light-emitting layer provided over the thin film transistor substrate and divided by picture-element separating portions so as to correspond to unit picture elements; and a sealing substrate arranged to hermetically seal the light-emitting layer of the electroluminescence substrate. At least one of the electroluminescence substrate and the sealing substrate is a flexible substrate. Spacers are provided between the electroluminescence substrate and the sealing substrate. | 02-25-2010 |
20100044734 | MANUFACTURING METHOD OF SEMICONDUCTOR LIGHT-EMITTING APPARATUS AND SEMICONDUCTOR LIGHT-EMITTING APPARATUS - A method includes forming a light-emission operating layer on a growth substrate; forming a reflection insulating layer on the light-emission operating layer; forming opening portions in the insulating layer; forming a contact portion which has a thickness adapted to flatten the opening portions and has been embedded into the opening portions; forming an electrode layer on the insulating layer and the contact portions; forming a first bonding metal layer on the electrode layer; preparing a supporting substrate in which a second bonding metal layer has been formed; and making the first and second bonding metal layers molten and joined. | 02-25-2010 |
20100044735 | LIGHT-EMITTING DEVICE - A light-emitting device includes a substrate ( | 02-25-2010 |
20100044736 | Semiconductor apparatus and method of manufacturing same - Disclosed is a semiconductor apparatus having a sealing structure that allows high-precision detection of defects occurring in a protective film, and a method of manufacturing the same. A semiconductor apparatus | 02-25-2010 |
20100044737 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device (A) includes a lead frame ( | 02-25-2010 |
20100044738 | PREPARATION OF ORGANIC LIGHT EMITTING DIODES BY A VAPOUR DEPOSITION METHOD COMBINED WITH VACUUM LAMINATION - A method of fabricating an organic light emitting diode (OLED) is disclosed, which reduces the formation of physical defects in the GLED, comprising the removal of dust particles from a first and a second substrates ( | 02-25-2010 |
20100044739 | Light-Radiating Semiconductor Component with a Luminescence Conversion Element - The light-radiating semiconductor component has a radiation-emitting semiconductor body and a luminescence conversion element. The semiconductor body emits radiation in the ultraviolet, blue and/or green spectral region and the luminescence conversion element converts a portion of the radiation into radiation of a longer wavelength. This makes it possible to produce light-emitting diodes which radiate polychromatic light, in particular white light, with only a single light-emitting semiconductor body. A particularly preferred luminescence conversion dye is YAG:Ce. | 02-25-2010 |
20100044740 | SEMICONDUCTOR DEVICE - A semiconductor device with a substrate, a first electrode on the substrate, at least one of an injection layer or a transporting layer on the first electrode, an adhesion layer on the at least one of an injection layer or a transporting layer, and a second electrode on the adhesion layer. | 02-25-2010 |
20100051981 | SEMICONDUCTOR LIGHT-EMITTING DEVICE, MANUFACTURING METHOD THEREOF, AND LAMP - There is provided a semiconductor light-emitting device having excellent light extraction efficiency and low wavelength unevenness, a manufacturing method thereof, and a lamp. A semiconductor light-emitting device includes an n-type semiconductor layer | 03-04-2010 |
20100051982 | LIGHT-EMITTING DIODE DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor device is disclosed. The semiconductor device comprises a light-emitting diode chip disposed in a cavity of a semiconductor substrate. At least two isolated outer wiring layers are disposed on the bottom surface of the semiconductor substrate and are electrically connected to the light-emitting diode chip, serving as input terminals. A lens module is adhered to the top surface of the semiconductor substrate to cap the cavity, in which the lens module comprises a molded lens and a transparent conductive layer coated with a fluorescent material under the molded lens. A method for fabricating the semiconductor devices is also disclosed. | 03-04-2010 |
20100051983 | POLARIZATION RECYCLING OPTICS FOR LEDS - An integrated multi-layer apparatus and method of producing the same is disclosed. The structure comprises an LED configured to emit first and second polarized light, and a polarizing layer configured to pass a first polarized light and reflect the second polarized light back to the LED, wherein the LED is further configured to randomly scatter the second polarized light reflected from the polarizing layer and redirect the scattered light back to the polarizing layer. | 03-04-2010 |
20100051984 | Phosphor-Converted LED - A light source and method for fabricating the same are disclosed. The light source includes a die, a light conversion component, and a scattering ring. The die emits light of a first wavelength through a top surface of the die and one or more side surfaces of the die, and is bonded to a mounting substrate. The light conversion component converts light of the first wavelength to light of a second wavelength, the light conversion component having a bottom surface bonded to the top surface of the die. The light conversion component has lateral dimensions such that a space exists around the die, the space being bounded by the substrate and the light conversion component. The scattering ring is positioned in the space such that a portion of the light emitted from the side surfaces of the die is scattered into the light conversion component. | 03-04-2010 |
20100051985 | LED PACKAGE - The present invention provides an LED package including: a heat discharge body provided with a plurality of radially protruding heat discharge fins at an outer circumferential surface and molding material filled spaces between the heat discharge fins; a package body which is received on a top surface of the heat discharge body and has a cavity; a pair of lead frames extended from upper parts of the heat discharge body to both sides thereof; and an LED chip mounted inside the cavity. | 03-04-2010 |
20100051986 | Light-emitting diodes using nano-rods and methods of manufacturing a light-emitting diode - Light-emitting diodes, and methods of manufacturing the light-emitting diode, are provided wherein a plurality of nano-rods may be formed on a reflection electrode. The plurality of nano-rods extend perpendicularly from an upper surface of the reflection electrode. Each of the nano-rods includes a first region doped with a first type dopant, a second region doped with a second type dopant that is an opposite type to the first type dopant, and an active region between the first region and the second region. A transparent insulating layer may be formed between the plurality of nano-rods. A transparent electrode may be formed on the plurality of nano-rods and the transparent insulating layer. | 03-04-2010 |
20100051987 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light-emitting device includes: a laminated structure, a first electrode, a second electrode and a dielectric laminated film. The laminated structure includes, a first semiconductor layer, a second semiconductor layer, and a light-emitting layer provided between the first semiconductor layer and the second semiconductor layer, in which the second semiconductor layer and the light-emitting layer are selectively removed and a part of the first semiconductor layer is exposed to a first main surface on the side of the second semiconductor layer. The first electrode is provided on the first main surface of the laminated structure and connected to the first semiconductor layer and has a first region including a first metal film provided on the first semiconductor layer of the first main surface, and a second region including a second metal film provided on the first semiconductor layer and having a higher reflectance for light emitted from the light-emitting layer than the first metal film and having a higher contact resistance with respect to the first semiconductor layer than the first metal film. The second electrode is provided on the first main surface of the laminated structure and connected to the second semiconductor layer. The dielectric laminated film is provided on the first and second semiconductor layer being not covered with the first and second electrode and has a plurality of dielectric films having different refractive indices being laminated. | 03-04-2010 |
20100051988 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device includes: a substrate having a concave portion formed on a surface thereof; a light emitting element emitting a first light which is a blue light or a near-ultraviolet light; a resin sheet being a deformable resin sheet formed on the substrate so as to cover the light emitting element; a first transmissive layer formed in a hemispherical shape on the first region of the resin sheet, and transmitting the first light; a color conversion layer including a fluorescent material that converts the first light into a second light of a different wavelength from that of the first light and a transmissive material that transmits the first light, the color conversion layer covering the first transmissive layer in such a manner that an end portion reaches an upper face of the resin sheet; and a second transmissive layer covering the color conversion layer in such a manner that an end portion reaches the upper face of the resin sheet, and transmitting the first light and the second light. | 03-04-2010 |
20100051989 | LED AND METHOD FOR MAKING THE SAME - An LED includes a substrate, an LED die, and a packaging layer. The substrate has conductive pins extending therethrough. The LED die is arranged on the substrate and electronically connected to the conductive pins of the substrate. The packaging layer fills in the substrate to encapsulate the LED die therein. A plurality of fillers are distributed in the packaging layer. Each of the fillers has a plurality of nano-particles distributed therein for enhancing a light dispersion of light generated by the LED die. | 03-04-2010 |
20100051990 | Electroluminescence Device - An organic EL element has excellent features as compared with other electroluminescent elements, but on the other hand, has a problem that the life of the element is not sufficiently long. In addition, since the organic EL element is expected to be applied to a mobile display and the like, it is also important to improve power efficiency. Hence, an object of the invention is to provide an element structure to realize an improvement in power efficiency and an improvement in the life of the element at the same. In the construction of an organic EL element of the invention, the first electroluminescent film | 03-04-2010 |
20100051991 | ORGANIC EL DEVICE AND PROCESS OF PRODUCING THE SAME - An organic EL device includes a light-emitting element having a first electrode disposed above a substrate, a second electrode arranged above the first electrode, and a light emission functional layer arranged between the first and second electrodes. The second electrode includes a mixture layer composed of a mixture of an electron-injecting material and a reducing material for reducing the electron-injecting material and a transparent electrically conductive layer formed on the mixture layer. | 03-04-2010 |
20100051992 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND MANUFACTURING METHOD THEREOF - A main object of the present invention is to provide an organic EL element which can absolutely segment cathodes when forming an organic layer by a coating method, and a producing method thereof. The present invention attains the object by providing a producing method of an organic EL element comprising steps of: an organic layer forming step, in which at least one organic layer out of organic layers, including a light emitting layer, constituting an organic EL layer is formed by either of a printing method, a discharge method, or a transfer method on a substrate for an organic EL element, wherein the substrate for an organic electroluminescence element comprises a substrate, a first electrode layer formed on the substrate, plural insulating banks formed on the substrate on which the first electrode layer is formed and setting a segmentalized region which segments a second electrode layer into plural pieces, and wherein each of the banks has plural small banks provided in parallel with a predetermined gap; and a step of controlling a gap between the small banks such that, a height t | 03-04-2010 |
20100051993 | LIGHT EMITTING APPARATUS AND MANUFACTURING METHOD THEREOF - Disclosed is a light emitting apparatus including: a first electrode; at least one carrier transporting layer on the first electrode; a second electrode on the carrier transporting layer; a partition wall formed on an upper face side of a substrate the partition wall including an opening to be communicated with the first electrode; and a light emitting protecting layer mediating between the partition wall and the carrier transporting layer. | 03-04-2010 |
20100051994 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND SEMICONDUCTOR LIGHT EMITTING APPARATUS - A semiconductor light emitting device, includes: a stacked structure unit including a first semiconductor layer, a second semiconductor layer, and a light emitting layer provided between the first semiconductor layer and the second semiconductor layer; a first electrode provided on a first major surface of the stacked structure unit on the second semiconductor layer side to connect to the first semiconductor layer; and a second electrode provided on the first major surface of the stacked structure unit to connect to the second semiconductor layer. The second electrode includes: a first film provided on the second semiconductor layer; and a second film provided on a rim of the first film on the second semiconductor layer. The first film has a relatively low contact resistance with the second semiconductor layer. The second film has a relatively high contact resistance with the second semiconductor layer. A distance from an outer edge of the second film to the first film is smaller at a central portion than at a peripheral portion of the first major surface. | 03-04-2010 |
20100051995 | METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING APPARATUS AND SEMICONDUCTOR LIGHT EMITTING APPARATUS - A method for manufacturing a semiconductor light emitting apparatus includes causing a semiconductor light emitting device and a mounting member to face each other. The semiconductor light emitting device includes a stacked structure unit including a first semiconductor layer, a second semiconductor layer, and a light emitting layer provided between the first semiconductor layer and the second semiconductor layer, a first electrode provided on a major surface of the stacked structure unit to connect to the first semiconductor layer, a second electrode provided on the major surface of the stacked structure unit to connect to the second semiconductor layer, and a dielectric stacked film provided on the first semiconductor layer and the second semiconductor layer of the major surface not covered by the first electrode and the second electrode, formed of stacked dielectric films having different refractive indexes, and including a protruding portion erected on at least a portion of a rim of at least one of the first and second electrodes. The mounting member includes a connection member connected to at least one of the first and second electrodes. The method further includes causing the connection member to contact and join to the at least one of the first and second electrodes using the protruding portion as a guide. | 03-04-2010 |
20100051996 | LIGHT-EMITTING SEMICONDUCTOR DEVICE AND PACKAGE THEREOF - The present application discloses a light-emitting semiconductor device including a semiconductor light-emitting element, a transparent paste layer and a wavelength conversion structure. A first light emitted from the semiconductor light-emitting element enters the wavelength conversion structure to generate a second light which has a wavelength different from that of the first light. In addition, the present application also provides a light-emitting semiconductor device package. | 03-04-2010 |
20100051997 | Organic light emitting diode and method of fabricating the same - The present invention discloses an organic light emitting diode and a method of fabricating the organic light emitting diode. The OLED device includes one or more light emitting layers, and the light emitting layer is composed of one or more light emitting materials and one or more subject materials, and the subject material has a molecular polarity different from the molecular polarity of the light emitting material, such that the light emitting molecules can be self dispersed to emit a darker blue light color or a light color of a longer wavelength. | 03-04-2010 |
20100051998 | Organic light emitting diode and method of fabricating the same - The present invention discloses an organic light emitting diode and a method of fabricating the organic light emitting diode. The OLED device includes one or more light emitting layers, and the light emitting layer is composed of one or more light emitting materials and one or more subject materials, and the subject material has a molecular polarity different from the molecular polarity of the light emitting material, such that the light emitting molecules can be self dispersed to emit a more reddish light color or a light color of a longer wavelength. | 03-04-2010 |
20100051999 | LIQUID-CRYSTALLINE POLYESTER RESIN MIXTURE, AND REFLECTING PLATE AND LIGHT-EMITTING DEVICE USING THE SAME - The present inventions provides a liquid-crystalline polyester resin mixture comprising a liquid-crystalline polyester, a particulate titanium oxide and at least one compound selected from the group consisting of a fatty acid amide and a fatty acid metal salt, wherein the resin mixture contains the particulate titanium oxide in an amount of 40 to 80 parts by weight and the at least one compound in an amount of 0.005 to parts by weight, both the amounts being based on 100 parts by weight of the component (A) in the resin mixture. | 03-04-2010 |
20100052000 | OPTOELECTRONIC SEMICONDUCTOR DEVICE - An optoelectronic semiconductor device in accordance with an embodiment of present invention includes a conversion unit having a first side; an electrical connector; a contact layer having an outer perimeter; and at least three successive discontinuous-regions formed along the outer perimeter and having at least one different factor; wherein the electrical connector, the contact layer, and the discontinuous-regions are formed on the first side of the conversion unit. | 03-04-2010 |
20100052001 | LED PACKAGING STRUCTURE - A light emitting diode packaging structure includes a package body, a red LED chip, a blue LED chip, a green LED chip, a package material and a yellow phosphor. Three LED chips are disposed within an accommodating room of the body package and covered by the package material. The yellow phosphor is uniformly mixed with the package material. A white light is formed by a mix of three types of light from the LED chips. Additionally, a yellow light which is generated from the excitement of the yellow phosphor with the blue light is mixed with a part of the blue light to further form the white light. | 03-04-2010 |
20100052002 | MICRO-REFLECTORS ON A SUBSTRATE FOR HIGH-DENSITY LED ARRAY - The present invention provides an optical array module that includes a plurality of semiconductor devices mounted on a thermal substrate formed with a plurality of openings that function as micro-reflectors, wherein each micro-reflector includes a layer of reflective material to reflect light. Such material preferably is conductive so as to provide electrical connection for its associated semiconductor device. | 03-04-2010 |
20100059770 | Package Method and Structure for a Light Emitting Diode Multi-Layer Module - A package method and structure for a light emitting diode multi-layer module, wherein the method comprises the steps of: fabricating a printed circuit layer with a plurality of staggered nodes on a substrate; fabricating a frame around the substrate; fabricating a protruding inclined pier around the bottom rim of the inner wall of the frame; fabricating a plurality of convex reflecting microstructure points on the surface of the printed circuit layer; positioning chips and wire bonding; spraying reflecting paint on the surface of the substrate and the inner wall of the frame except the chips; filling a silica gel diffusion layer formed by mixing the silica gel and the diffusion powder into the frame; and evenly coating a fluorescent glue layer formed by evenly mixing another silica gel and fluorescent powder on the silica gel diffusion layer. | 03-11-2010 |
20100059771 | MULTI-LAYER LED PHOSPHORS - An LED assembly can have a plurality of different types of phosphors that are separated from one another in a manner that substantially mitigates the cannibalization of light emitted by at least one of the types of phosphors. By mitigating the cannibalization of light, brighter and more efficient white light LED assemblies can be provided. Such LED assemblies can be suitable for use in such applications as flashlights, displays, and area lighting. | 03-11-2010 |
20100059772 | Light Emitting Device - The present invention provides a light emitting device. The light emitting device has a light distribution in which a light distribution I (θ, φ) obtained when light emitted from a chip of the light emitting device is directly measured is not dependent on a direction φ and is substantially represented by I (θ, φ)=I (θ). I (θ, φ) represents a light intensity distribution in a direction (θ, φ), θ represents an angle from a direction of a normal to a light extraction surface of the light emitting device (0≦θ≦90°), φ represents a rotation angle around the normal (0≦φ≦360°), and I (θ) represents a monotone decreasing function with which 0 is approached when θ=90° is satisfied. In the light emitting device, of a structural body constructing the chip of the light emitting device, with regard to a size of a portion of the structural body which is transparent to light emitted from a light emitting layer, a ratio (an aspect ratio) between the size in a lateral direction and the size in a thickness direction is not less than 5 and a structure having a light scattering function is provided on a surface of the light emitting device chip or in an interior of the transparent portion of the structural body. | 03-11-2010 |
20100059773 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device comprises a substrate, a first conductive type semiconductor layer positioned on the substrate, a light-emitting structure positioned on the first conductive type semiconductor layer, and a second conductive type semiconductor layer positioned on the light-emitting structure. The substrate includes an upper surface and a plurality of protrusions positioned on the upper surface. Each of the protrusions includes a top surface, a plurality of wall surfaces, and a plurality of inclined surfaces sandwiched between the top surface and the wall surfaces. | 03-11-2010 |
20100059774 | ENCAPSULANT MATERIAL FOR OPTICAL COMPONENT AND LIGHT-EMITTING DEVICE - A light-emitting device is provided which uses an encapsulant material made from a polymer having a high relative light output. The light-emitting device includes a light-emitting element and a member sealing the light-emitting element. The encapsulant material has one or more than two kinds of units given by the following formula (1) and a refractive index of 1.55 or more. | 03-11-2010 |
20100059775 | Organic light emitting diode and method of fabricating the same - Provided are an organic light emitting diode and a method of fabricating the same, which can reduce the efficiency of electron injection and transport at low brightness to cause low luminous efficiency, thus preventing the organic light emitting diode from emitting light when displaying black. The organic light emitting diode includes a first electrode, an emission layer disposed on the first electrode, a second electrode disposed on the emission layer, and a metal layer formed of a metal element to a thickness of 5 to less than 50 Å. The metal layer is disposed between the first electrode and the emission layer or between the emission layer and the second electrode. | 03-11-2010 |
20100059776 | OPTICAL BONDING COMPOSITION FOR LED LIGHT SOURCE - Disclosed herein is an optical bonding composition that may be used in optical applications. An LED light source that utilizes the composition is also disclosed, as well as a method of making it. The LED light source may comprise: an LED die; an optical element optically coupled to the LED die; and a bonding layer comprising surface-modified metal oxide nanoparticles in an amorphous silicate network, the bonding layer bonding the LED die and the optical element together. Efficiency of the LED light source may be increased when using an optical extractor as the optical element. | 03-11-2010 |
20100059777 | ILLUMINATION DEVICE, PARTICULARLY WITH LUMINESCENT CERAMICS - The invention relates to an illumination device ( | 03-11-2010 |
20100059778 | Organic Light Emitting Element - An object of the present invention is to provide an organic light emitting element where light emitted from the light emitting layer is efficiently emitted to the outside, and thus, the efficiency of light emission is higher. The present invention provides an organic light emitting element where a first reflective electrode | 03-11-2010 |
20100059779 | Light-Emitting Diode with Embedded Elements - A light-emitting diode (LED) device is provided. The LED device has a substrate and an LED structure overlying the substrate. Embedded elements are embedded within one or more layers of the LED structure. In an embodiment, the embedded elements include a dielectric material extending through the LED structure such that the embedded elements are surrounded by the LED structure. In another embodiment, the embedded elements only extend through an upper layer of the LED structure, or alternatively, partially through the upper layer of the LED structure. Another conductive layer may be formed over the upper layer of the LED structure and the embedded elements. | 03-11-2010 |
20100059780 | SYSTEM FOR DISPLAYING IMAGES - A system for displaying images employing an organic electroluminescent device is provided. The organic electroluminescent device includes a first electrode, an organic electroluminescent element disposed on the first electrode, a second electrode disposed on the organic electroluminescent element, and a color tuning element disposed on the second electrode. In particular, the color tuning element has a thickness range T | 03-11-2010 |
20100059781 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND METHOD OF MANUFACTURING SAME - In an exemplary embodiment of the invention, a semiconductor light-emitting element includes a first semiconductor layer having a first conduction type, a second semiconductor layer having a second conduction type, an active layer provided between the first and second semiconductor layers. The semiconductor light-emitting element also includes a polarity inversion layer provided on the second semiconductor layer, and a third semiconductor layer provided on the polarity inversion layer. The third semiconductor layer has the second conduction type. The crystal orientations of the first through third semiconductor layers are inverted, with the polarity inversion layer serving as a boundary. The first and third semiconductor layers have uppermost surfaces that are made from polar faces having common constitutional elements. Hexagonal conical protrusions arising from a crystal structure are formed at the outermost surfaces of the first and third semiconductor layers. The first through third semiconductor layers are made from a wurtzite-structure group III nitride semiconductor, and are layered along the C-axis direction of the crystal structure. In another embodiment, the polar faces may be made from nitrogen atoms. The hexagonal conical protrusions may be formed by wet etching. | 03-11-2010 |
20100059782 | OPTICAL-SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTRUING THE SAME - A method for manufacturing an optical-semiconductor device, including forming a plurality of first and second electrically conductive members that are disposed separately from each other on a support substrate; providing a base member formed from a light blocking resin between the first and second electrically conductive members; mounting an optical-semiconductor element on the first and/or second electrically conductive member; covering the optical-semiconductor element by a sealing member formed from a translucent resin; and obtaining individual optical-semiconductor devices after removing the support substrate. | 03-11-2010 |
20100065866 | Inverted LED Structure with Improved Light Extraction - A light source and method for fabricating the same are disclosed. The light source includes a substrate and a light emitting structure. The substrate has a first surface and a second surface, the second surface including a curved, convex surface with respect to the first surface of the substrate. The light emitting structure includes a first layer of a material of a first conductivity type overlying the first surface, an active layer overlying the first layer, the active layer generating light when holes and electrons recombine therein, and a second layer includes a material of a second conductivity type overlying the active layer and a second surface opposite to the first surface. A mirror layer overlies the light emitting structure. | 03-18-2010 |
20100065867 | Light emitting device - A light emitting device has a semiconductor multilayer structure having a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type different from the first conductivity type, and an active layer sandwiched between the first semiconductor layer and the second semiconductor layer, a narrow electrode having a current feeding part provided on a region of a part above of the first semiconductor layer for supplying an electric current from outside to the semiconductor multilayer structure, and a narrow electrode provided adjacent to the current feeding part for reflecting a light emitted from the active layer, and a surface center electrode part electrically connected to the narrow electrode, and provided above the first semiconductor layer via a transmitting layer for transmitting the light. | 03-18-2010 |
20100065868 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device including: a substrate; an electrode layer; and a semiconductor multilayer film disposed between the substrate and the electrode layer, the semiconductor multilayer film including: an n-type semiconductor layer; a p-type semiconductor layer; and an active layer disposed between the n-type semiconductor layer and the p-type semiconductor layer, wherein the semiconductor multilayer film has a light extraction surface from which a light emitted in the semiconductor multilayer film is extracted, the light extraction surface being formed with a relief structure having nano-scaled convex portions, wherein the relief structure is formed to have variation in equivalent circular diameters of the convex portions, and wherein 90% or more of the convex portions in the relief structure are configured to have circularity coefficient of (4π×(area)/(circumferential length) | 03-18-2010 |
20100065869 | Light emitting device and method for fabricating the same - A light emitting device includes a semiconductor multilayer structure having a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type, and an active layer. A reflecting layer is provided at a side of one surface of the semiconductor multilayer structure and reflects a light emitted from the active layer. A supporting substrate of Si or Ge is provided at an opposite side of the reflecting layer with respect to the side of the semiconductor multilayer structure and supports the semiconductor multilayer structure via a metal bonding layer. A back surface electrode is provided at an opposite side of the supporting substrate with respect to a side of the metal bonding layer and includes Au alloyed with the support substrate. A hardness of the back surface electrode is higher than a hardness of the Au. | 03-18-2010 |
20100065870 | Light emitting device - A light emitting device includes a semiconductor multilayer structure having a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type, and an active layer. A reflecting layer is provided at one surface of the semiconductor multilayer structure and reflects a light emitted from the active layer. A supporting substrate is provided at an opposite side of the reflecting layer with respect to a side of the semiconductor multilayer structure and supports the semiconductor multilayer structure via a metal bonding layer. An adhesion layer is provided at a surface of the supporting substrate at an opposite side with respect to a side of the metal bonding layer. A back surface electrode of an alloy contacts with a surface of the adhesion layer at an opposite side with respect to a surface contacting to the supporting substrate. | 03-18-2010 |
20100065871 | POLYMERS WITH TRANSMISSION INTO THE ULTRAVIOLET - An ultra violet light transmitting polymer is obtainable by the polymerisation of at least one compound having a substantially non UV absorbing core group comprising; linear or branched aliphatic hydrocarbons which may contain an aliphatic ring; or polydialkylsiloxanes. The compounds have at least one functional group comprising formula (A), (B) or (C):and each of the groups —R | 03-18-2010 |
20100065872 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - Provided are a semiconductor light emitting device and a method of fabricating the same. The semiconductor light emitting device comprises: a light emitting structure comprising a first conductive type semiconductor layer, an active layer under the first conductive type semiconductor layer, and a second conductive type semiconductor layer under the active layer; a reflective electrode layer under the light emitting structure, and an outer protection layer at an outer circumference of the reflective electrode layer. | 03-18-2010 |
20100065873 | LIGHT-EMITTING DEVICE COMPRISING AN ELASTOMERIC LAYER - A light emitting device ( | 03-18-2010 |
20100065874 | LIGHT EMITTING DEVICE - A light emitting device includes: a first substrate with an end surface formed by separation on its outer edge; a second substrate including on its upper surface a first electrode and a second electrode, and at its side corner a first extraction electrode connected to the first electrode and a second extraction electrode connected to the second electrode, one end portion of the second electrode being opposed to one end portion of the first electrode, the second substrate being laminated on the first substrate so that an outer edge of the second substrate is located inside the outer edge of the first substrate; a light emitting element bonded to the first electrode; and a third substrate including a first through hole which allows emission of emitted light from the light emitting element, the third substrate being laminated on the second substrate so that an outer edge of the third substrate is located inside the outer edge of the first substrate. | 03-18-2010 |
20100065875 | OPTICAL LENS AND LIGHT EMITTING DEVICE USING THE SAME - A light emitting device includes a light-emitting semiconductor unit and an optical lens coupled to the light-emitting semiconductor unit. The optical lens includes a top surface, a base portion opposite to the top surface, and a peripheral side surface defining a first refractive portion. The top surface is generally funnel-shaped. The first refractive portion is corrugated with a plurality of protruding ridge structures, each including a refractive surface. | 03-18-2010 |
20100065876 | LED PACKAGE WITH METAL PCB - The present invention relates to a light emitting diode (LED) package. An object of the present invention is to provide an LED package having a metal PCB, which has a superior heat dissipation property and a compact structure, does not largely restrict use of conventional equipments, and is compatible with an electronic device or illumination device currently used widely. To this end, an LED package according to the present invention comprises a metal printed circuit board (PCB) formed by laminating first and second sheet metal plates with an electric insulating layer interposed therebetween; and an LED chip mounted on the first sheet metal plate of the metal PCB, wherein the first sheet metal plate has electrode patterns and leads respectively extending from the electrode patterns. | 03-18-2010 |
20100065877 | SEMICONDUCTOR LIGHT-EMITTING DEVICE WITH IMPROVED LIGHT EXTRACTION EFFICIENCY - The present invention provides a semiconductor light-emitting device. The light-emitting device comprises a first conductive clad layer, an active layer, and a second conductive clad layer sequentially formed on a substrate. In the light-emitting device, the substrate has one or more side patterns formed on an upper surface thereof while being joined to one or more edges of the upper surface. The side patterns consist of protrusions or depressions so as to scatter or diffract light to an upper portion or a lower portion of the light-emitting device. | 03-18-2010 |
20100065878 | ADHESIVE SHEET FOR LIGHT-EMITTING DIODE DEVICE AND LIGHT-EMITTING DIODE DEVICE - [Problem] To provide an adhesive sheet which is used for a light-emitting diode device, and which is free from cracks and peeling off of the adhered portions. | 03-18-2010 |
20100072498 | Lithium-containing sialon phosphor and method of manufactring the same - According to the invention, a highly crystalline α-sialon is synthesized to emit highly intense light and a white LED showing an excellent color rendering characteristic is provided by shifting emitted light to the short wavelength side (blue shift). Such an α-sialon is designed so as to be expressed by general formula (Li | 03-25-2010 |
20100072499 | LED PACKAGE - The present invention has an object to provide a LED package having a means capable of precisely limiting a region in which a resin containing a phosphor is dotted on a member on which an LED chip is supported. To this end, an LED package according to the present invention comprises a package body having an inner space with an LED chip mounted therein, the inner space being open toward a light emission direction; a chip support member mounted to the inner space of the package body to support the LED chip; a phosphor resin member formed by dotting resin containing a phosphor onto the LED chip; and a region limitation means provided on the chip support member and defining a region in which the phosphor resin member is formed. | 03-25-2010 |
20100072500 | Thin-Film Light Emitting Diode Chip and Method for Producing a Thin-Film Light Emitting Diode Chip - A thin-film light-emitting diode chip with a layer stack having a first emission surface and an opposite second emission surface, so that the thin-film light-emitting diode chip has at least two main emission directions. Measures for improving the outcoupling of the light generated in the layer sequence are provided on both the first and the second main emission surface. A method is disclosed for manufacturing a thin-film light-emitting diode chip. | 03-25-2010 |
20100072501 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device which includes at least one concave on a light extraction surface opposite to a surface on which a semiconductor stack comprising a light emitting layer between a n-type semiconductor layer and a p-type semiconductor layer is mounted. The concave has not less than two slopes each having a different slope angle in a direction that a diameter of the concave becomes narrower toward a bottom of the concave from an opening of the concave and a slope having a gentle slope angle is provided with irregularities and a slope having a steep slope angle is a flat surface. | 03-25-2010 |
20100072502 | LIGHT-EMITTING DIODE - A light-emitting diode includes a circuit board, a pair of electrodes provided on the circuit board, at least one light-emitting diode element electrically connected to the pair of electrodes, a central electrode for heat-dissipation, provided between the pair of electrodes on the circuit board, and a heat-dissipation plate disposed on the central electrode for heat-dissipation and including a reflection surface. The central electrode for heat-dissipation includes an upper central electrode disposed on the upper surface of the circuit board and a lower central electrode disposed on the lower surface of the circuit board and the upper central electrode thermally connected to the lower central electrode. | 03-25-2010 |
20100072503 | ELECTRO-OPTICAL DEVICE AND ELECTRONIC APPARATUS - An electro-optical device includes a semiconductor layer including a channel region having a channel length along one of a first direction and a second direction, a source region having a source length along the second direction and electrically connected to a data line, a drain region having a drain length including a portion along the first direction and electrically connected to a pixel electrode, and a junction region formed between the channel region and the drain region, and bent in the drain region in plan view; a gate electrode including a main body portion facing the channel region with a gate insulating film interposed therebetween and an enclosure portion including an L-shaped portion enclosing the junction region along the portion bent in the drain region; and a sidewall portion rising or falling from the enclosure portion and including a portion arranged along the side of the second junction region. | 03-25-2010 |
20100078661 | MACHINED SURFACE LED ASSEMBLY - A substrate for an LED assembly can have a plurality of cups formed therein. At least one cup can be formed within another cup. The cups can be co-axial with respect to one another, for example. A machined surface of the substrate can enhance reflectivity of the LED assembly. A transparent and/or non-global solder mask can enhance reflectivity of the LED assembly. A transparent ring can enhance reflectivity of the LED assembly. By enhancing reflectivity of the LED assembly, the brightness of the LED assembly can be increased. Brighter LED assemblies can be used in applications such as flashlights, displays, and general illumination. | 04-01-2010 |
20100078662 | NON-GLOBAL SOLDER MASK LED ASSEMBLY - A substrate for an LED assembly can have a plurality of cups formed therein. At least one cup can be formed within another cup. The cups can be co-axial with respect to one another, for example. A machined surface of the substrate can enhance reflectivity of the LED assembly. A transparent and/or non-global solder mask can enhance reflectivity of the LED assembly. A transparent ring can enhance reflectivity of the LED assembly. By enhancing reflectivity of the LED assembly, the brightness of the LED assembly can be increased. Brighter LED assemblies can be used in applications such as flashlights, displays, and general illumination. | 04-01-2010 |
20100078663 | TRANSPARENT SOLDER MASK LED ASSEMBLY - A substrate for an LED assembly can have a plurality of cups formed therein. At least one cup can be formed within another cup. The cups can be co-axial with respect to one another, for example. A machined surface of the substrate can enhance reflectivity of the LED assembly. A transparent and/or non-global solder mask can enhance reflectivity of the LED assembly. A transparent ring can enhance reflectivity of the LED assembly. By enhancing reflectivity of the LED assembly, the brightness of the LED assembly can be increased. Brighter LED assemblies can be used in applications such as flashlights, displays, and general illumination. | 04-01-2010 |
20100078664 | LED PHOSPHOR DEPOSITION - LED phosphor deposition for use with LEDs. In an aspect, a method is provided for forming an encapsulation. The method includes determining a geometric shape for the encapsulation, selecting a dam material, applying the dam material to a substrate to form a boundary defining a region having the geometric shape, and filling the region with encapsulation material to form the encapsulation. In another aspect, an LED apparatus is provided that includes at least one LED chip and an encapsulation disposed on the at least one LED chip. The encapsulation is formed by determining a geometric shape for the encapsulation, selecting a dam material, applying the dam material to a substrate to form a boundary defining a region having the geometric shape, and filling the region with encapsulation material to form the encapsulation. | 04-01-2010 |
20100078665 | Organic Electroluminescence Element and Method for Manufacturing Thereof - One embodiment of the present invention is an organic electroluminescence element having a substrate, a first electrode formed on the substrate, an organic luminescent medium layer which includes an organic luminescent layer and is formed on the first electrode, a second electrode formed on the organic luminescent medium layer and arranged so as to face the first electrode, a first passivation layer formed on the second electrode, an adhesive layer adhered to the substrate and formed so as to cover the first electrode, the organic luminescent medium layer, the second electrode and the first passivation layer, a sealing substrate formed on the adhesive layer and a second passivation layer formed so as to entirely cover the adhesive layer, the sealing substrate and an upper surface of an exposure part of the substrate. | 04-01-2010 |
20100078666 | ELECTRO-OPTICAL DEVICE, ELECTRONIC APPARATUS, AND TRANSISTOR - An electro-optical device includes a substrate, a data line, and a transistor formed on the substrate and including (i) a semiconductor film having a channel region having a channel length according to one direction, first and second source/drain regions which are formed with the channel region interposed therebetween, and first and second junction regions respectively formed between the first and second source/drain region and the channel region, and (ii) a gate electrode overlapping with the channel region, wherein at least one of the first and second junction regions is formed such that the width thereof is at least partially larger than that of the channel region. | 04-01-2010 |
20100078667 | LIGHT-EMITTING DIODE - The present invention relates to a light-emitting diode (LED).The LED comprises an LED die, one or more metal pads, and a fluorescent layer. The characteristics of the present invention include that the metals pads are left exposed for the convenience of subsequent wiring and packaging processes. In addition, the LED provided by the present invention is a single light-mixing chip, which can be packaged directly without the need of coating fluorescent powders on the packaging glue. Because the fluorescent layer and the packaging glue are not processed simultaneously and are of different materials, the stress problem in the packaged LED can be reduced effectively. | 04-01-2010 |
20100078668 | LIGHT EMITTING DEVICE - Provided is a light emitting device. The light emitting device comprises a body, a light emitting diode on the body, a resistor integrated on the body and configured to sense a temperature of the light emitting diode, and a plurality of metal layers on the body. | 04-01-2010 |
20100078669 | LIGHT EMITTING DEVICE AND LEAD FRAME FOR THE SAME - An LED according to the present invention includes a light-emitting chip emitting light, a chip-mounting portion on which the light-emitting chip is mounted, a light-reflecting layer formed on at least a portion of the chip-mounting portion and a gold plating layer formed on at least a portion of the light-reflecting layer, the gold plating layer having a thickness such that the gold plating layer has a different color from a color of gold. The chip-mounting portion may have various shapes and materials. For example, the chip-mounting portion may be a lead terminal, a slug, a printed circuit board, a ceramic substrate, a CNT substrate, etc. | 04-01-2010 |
20100078670 | Light emitting element with improved light extraction efficiency, light emitting device comprising the same, and fabricating method of the light emitting element and the light emitting device - Provided is a light emitting element, a light emitting device including the same, and fabrication methods of the light emitting element and light emitting device. The light emitting device comprises a substrate, a light emitting structure including a first conductive layer of a first conductivity type, a light emitting layer, and a second conductive layer of a second conductivity type which are sequentially stacked, a first electrode which is electrically connected with the first conductive layer; and a second electrode which is electrically connected with the second conductive layer and separated apart from the first electrode, wherein at least a part of the second electrode is connected from a top of the light emitting structure, through a sidewall of the light emitting structure, and to a sidewall of the substrate. | 04-01-2010 |
20100084670 | LED CHIP WITH EXPANDED EFFECTIVE REFLECTION ANGLES - An LED chip with enhanced effective reflection angles is revealed, primarily comprising an epitaxial substrate, a first reflection mirror on the epitaxial substrate, a second reflection mirror, a light-emitting mechanism, and a first electrode. The first reflection mirror consists of a plurality of first DBRs with a first paired thickness. The second reflection mirror is formed on the first reflection mirror and consists of a plurality of second DBRs with a second paired thickness. Accordingly, two different ranges of effective reflection angles is provided to increase the effective reflection angles to overcome issues of lower production yield during the conventional thermally-bonding processes with reflection metal plates. | 04-08-2010 |
20100084671 | BRIGHTNESS ENHANCEMENT METHOD AND APPARATUS OF LIGHT EMITTING DIODES - A light source with enhanced brightness includes an angle-selective optical filter and a light emitting diode (LED) having a high reflective layer. The angle-selective filter is located on the top surface of emitting diode to pass lights at specified angles. According to one embodiment, the angle-selective filter comprises index-alternating layers. With a reflective polarizer, the light source can produce polarized light with enhanced brightness. | 04-08-2010 |
20100084672 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD FOR PRODUCING THE SAME - An organic EL device includes an organic luminescent layer between an anode and a cathode. The organic luminescent layer comprises at least two host materials and a dopant which is a luminescent compound. The at least two host materials are identical to or substantially identical to each other in the energy value of LUMO, but on the other hand, are different from each other in the energy value of HOMO. Alternatively, the at least two host materials are identical to or substantially identical to each other in the energy value of HOMO, but on the other hand, are different from each other in the energy value of LUMO. According to the above constitution, the balance of mobility between the electrons and holes can be regulated to solve the above problem of the prior art. | 04-08-2010 |
20100084673 | Light-emitting semiconductor packaging structure without wire bonding - A light-emitting semiconductor packaging structure without wire bonding, including a heat conduction board, a light-emitting semiconductor chip bonded on the heat conduction board and a lead frame positioned around the chip. The lead frame has at least one connection section extending to upper side of the chip to connect with a conductive protruding block adhered to an active surface of the chip. The conductive protruding block is bonded with the chip and the connection section of the lead frame by larger area so that the heat conduction area is increased to enhance heat dissipation effect for the chip. It is unnecessary to save upward and outward extension room for wire bonding so that the volume and thickness of the packaging structure are minified. The chip is received in a cavity of the lead frame to form a lightweight and miniaturized heat dissipation packaging structure. | 04-08-2010 |
20100084674 | OLED with Color Conversion - An OLED is thus specified which includes a layer construction comprising at least an anode, a cathode and a functional layer arranged in between, the layer construction being arranged on a substrate. At least one electrode, selected from the anode and cathode, is transmissive to the light emitted by the functional layer and is arranged on the light-emitting side, emission side, of the layer construction. The at least one color conversion layer has quantum dots and is arranged on the emission side above or below the layer construction. | 04-08-2010 |
20100084675 | SEMICONDUCTOR LIGHT EMITTING APPARATUS - A semiconductor light emitting apparatus for emitting a desired colored light by coating the top surface thereof with a wavelength conversion member prevents the color unevenness from occurring due to the unevenness of the coating thickness of the wavelength conversion member. The semiconductor light emitting apparatus can include a semiconductor layer having a light emitting layer with a light emitting surface having at least one corner area, a supporting substrate configured to support the semiconductor layer, and a wavelength conversion material layer formed on top of the semiconductor layer, the wavelength conversion layer having a thickness thinner from a center portion of the semiconductor layer to an outer peripheral portion. The at least one corner area can include a non-emitting portion where light cannot be projected. The non-emitting portion can be a light shielding portion, a non-light emission portion or a current confined portion. | 04-08-2010 |
20100084676 | ORGANIC EL DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic EL display device forms an organic EL layer on a pixel portion by a transfer method without using a sophisticated optical system. A patterned light reflection layer is formed on a donor substrate. A light absorption layer is formed on the light reflection layer. An organic EL material layer is formed on the light absorption layer. An element substrate on which banks, lower electrodes and the like are formed is arranged to face a donor substrate in an opposed manner. When light is radiated to the donor substrate from a flash lamp or the like, only portions of the optical absorption layer where the light reflection layers are not formed are heated, and such portions of the organic EL material layer are evaporated and applied to a lower electrode formed on the element substrate. Due to such steps, the organic EL layer can be formed by a transfer method without using a sophisticated optical system. | 04-08-2010 |
20100084677 | Oled or group of adjacent oleds with a light-extraction layer efficient over a large range of wavelengths - An organic light emitting diode comprises, between a bottom electrode and a top electrode, an organic light-emitting layer and a light-extraction enhancement layer made of a dielectric material. According to the invention, if n | 04-08-2010 |
20100084678 | Luminescent Diode Chip - A luminescent diode chip includes a semiconductor body, which produces radiation of a first wavelength. A luminescence conversion element produces radiation of a second wavelength from the radiation of the first wavelength. An angular filter element reflects radiation that impinges on the angular filter element at a specific angle in relation to a main direction of emission back in the direction of the semiconductor body. | 04-08-2010 |
20100084679 | LIGHT-EMITTING DEVICE - A light-emitting device having a substrate, a light-emitting stack, and a transparent connective layer is provided. The light-emitting stack is disposed above the substrate and comprises a first diffusing surface. The transparent connective layer is disposed between the substrate and the first diffusing surface of the light-emitting stack; an index of refraction of the light-emitting stack is different from that of the transparent connective layer. | 04-08-2010 |
20100084680 | SPONTANEOUS/STIMULATED LIGHT EMITTING .mu.-CAVITY DEVICE - A light emitting device with a p-cavity including a first spacer of single crystal dielectric material and an active area including single crystal erbium dielectric material positioned on the first spacer. The erbium dielectric material and the single crystal dielectric material of the first spacer are substantially crystal lattice matched at their juncture. A second spacer of single crystal dielectric material is positioned on the active area. The erbium dielectric material and the single crystal dielectric material of the second spacer are substantially crystal lattice matched at the second surface. The high-κ erbium dielectric provides a high gain μ-cavity that emits increased amounts of light in either spontaneous or stimulated modes of operation. | 04-08-2010 |
20100090235 | LIGHT-EMITTING DIODE DEVICE AND METHOD FOR FABRICATING THE SAME - A light-emitting diode (LED) device is disclosed. The LED device includes a semiconductor substrate with a light-emitting diode chip disposed thereon. At least two isolated outer wiring layers are disposed on the bottom surface of the semiconductor substrate and are electrically connected to the light-emitting diode chip, serving as input terminals. A lens module is adhered to the top surface of the semiconductor substrate to cap the light-emitting diode chip. In one embodiment, the lens module comprises a glass substrate having a first cavity formed at a first surface thereof, a fluorescent layer formed over a portion of a first surface exposed by the first cavity, facing the light-emitting diode chip, and a molded lens formed over a second surface of the glass carrier opposing to the first surface. | 04-15-2010 |
20100090236 | LIGHT EMITTING ELEMENT, METHOD FOR MANUFACTURING THE LIGHT EMITTING ELEMENT, OPTICAL ELEMENT AND METHOD FOR MANUFACTURING THE OPTICAL ELEMENT - Fine asperities are simply formed in the surface of a light emission surface to improve an luminous efficiency of a light emitting element. An LED element | 04-15-2010 |
20100090237 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device and corresponding method of manufacture, where the semiconductor light emitting device includes a light emitting structure, a second electrode layer, an insulating layer, and a protrusion. The light emitting structure comprises a second conductive semiconductor layer, an active layer under the second conductive semiconductor layer, and a first conductive semiconductor layer under the active layer. The second electrode layer is formed on the light emitting structure. The insulating layer is formed along the circumference of the top surface of the light emitting structure. The protrusion protrudes from the undersurface of the insulating layer to the upper part of the first conductive semiconductor layer. | 04-15-2010 |
20100090238 | WHITE ORGANIC ELECTROLUMINESCENT DEVICE - A high-efficiency, white organic electroluminescent device has such a structure that its emission layer is obtained by laminating sub-emission layers of red, green, and blue, respectively. The green sub-emission layer contacting a hole transport layer has a delayed fluorescent material, and the red sub-emission layer has a phosphorescent light emitting material. | 04-15-2010 |
20100090239 | CERAMIC PACKAGE STRUCTURE OF HIGH POWER LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - A ceramic package structure of a high power light emitting diode comprises a light emitting diode die, a ceramic substrate, at least two conductive rods, and an electrical conductive film. The ceramic substrate comprises a first surface and a second surface opposite the first surface. A reflecting cup is disposed on the first surface. At least two through holes are disposed on the bottom of the reflecting cup. The electrical conductive film comprises a first electrode and a second electrode, and is fixed to the second surface. The at least two conductive rods are respectively filled in the at least two through holes, and are respectively connected to the first electrode and the second electrode. The LED diode is mounted on one or at least two of the conductive rods, and is electrically connected to the at least two conductive rods. | 04-15-2010 |
20100090240 | PHOTOELECTROCHEMICAL ETCHING FOR CHIP SHAPING OF LIGHT EMITTING DIODES - A photoelectrochemical (PEC) etch is performed for chip shaping of a device comprised of a III-V semiconductor material, in order to extract light emitted into guided modes trapped in the III-V semiconductor material. The chip shaping involves varying an angle of incident light during the PEC etch to control an angle of the resulting sidewalls of the III-V semiconductor material. The sidewalls may be sloped as well as vertical, in order to scatter the guided modes out of the III-V semiconductor material rather than reflecting the guided modes back into the III-V semiconductor material. In addition to shaping the chip in order to extract light emitted into guided modes, the chip may be shaped to act as a lens, to focus its output light, or to direct its output light in a particular way. | 04-15-2010 |
20100090241 | EMISSIVE LAYER PATTERNING FOR OLED - An organic light emitting device is provided. The device includes an anode, a cathode, and an organic emissive stack disposed between the anode and the cathode. The device may be a “pixel” in a display, capable of emitting a wide variety of colors through the use of independently addressable “sub-pixels,” each subpixel emitting a different spectrum of light. In the most general sense, the device includes a first subpixel and a second subpixel, and at least one of the anode and the cathode has independently addressable first and second regions corresponding to the first and second subpixels. The device includes an emissive stack disposed between the anode and the cathode. The emissive stack includes a first organic emissive layer and a second organic emissive layer. The first organic emissive layer is disposed between the anode and the cathode, and extends throughout the first and second regions. The second organic emissive layer is disposed between the anode and the cathode, and extends throughout the second region but not the first region. The second organic emissive layer is disposed closer to the cathode than the first organic emissive layer. The first organic emissive layer is emissive in the first region, and the second organic emissive layer is emissive in the second region. | 04-15-2010 |
20100090242 | LIGHT EMITTING DEVICE HAVING LIGHT EXTRACTION STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A nitride-based light emitting device capable of achieving an enhancement in emission efficiency and an enhancement in reliability is disclosed. The light emitting device includes a semiconductor layer, and a light extracting layer arranged on the semiconductor layer and made of a material having a refractive index equal to or higher than a reflective index of the semiconductor layer. | 04-15-2010 |
20100090243 | LIGHT EMITTING DEVICE HAVING LIGHT EXTRACTION STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A nitride-based light emitting device capable of achieving an enhancement in emission efficiency and an enhancement in reliability is disclosed. The light emitting device includes a semiconductor layer, and a light extracting layer arranged on the semiconductor layer and made of a material having a refractive index equal to or higher than a reflective index of the semiconductor layer. | 04-15-2010 |
20100090244 | LIGHT EMITTING DEVICE - A light emitting device includes a transparent substrate having first and second surfaces, a semiconductor layer provided on the first surface, a first light emission layer provided on the semiconductor layer and emitting first ultraviolet light including a wavelength corresponding to an energy larger than a forbidden bandwidth of a semiconductor of the semiconductor layer, a second light emission layer provided between the first light emission layer and the semiconductor layer, absorbing the first ultraviolet light emitted from the first light emission layer, and emitting second ultraviolet light including a wavelength corresponding to an energy smaller than the forbidden bandwidth of the semiconductor of the semiconductor layer, and first and second electrodes provided to apply electric power to the first light emission layer. | 04-15-2010 |
20100096652 | SEMICONDUCTOR LIGHT EMITTING DEVICE - The present invention provides a semiconductor light emitting device including a conductive substrate, a first electrode layer, an insulating layer, a second electrode layer, a second semiconductor layer, an active layer, and a first semiconductor layer which are sequentially stacked, wherein an area where the first electrode layer and the first semiconductor layer are in contact with each other is 3 to 13% of an area of the semiconductor light emitting device. | 04-22-2010 |
20100096653 | LIGHT EMITTING DIODE PACKAGE - A side-view type light emitting diode package for emitting light, emitted from a light emitting diode chip, toward a side surface is disclosed. The side-view type light emitting diode package comprises a package body having an opening portion for exposing the light emitting diode chip in a light emitting direction; and a light-transmittable resin covering the light emitting diode chip, wherein at least a portion of an inner wall of the opening portion is formed with a step projection for partitioning the opening portion into upper and lower sections, and the lower section of the opening portion below the step projection is filled with the light-transmittable resin. Accordingly, the light-transmittable resin with the convex lens shape may be easily formed, so that the light emission efficiency thereof can be improved. | 04-22-2010 |
20100096654 | LIGHT-EMITTING DISPLAY DEVICE - The light-emitting display device comprises first and second thin film transistors. The first thin film transistor includes a first gate electrode; a first oxide semiconductor film; and a first electrode and a second electrode which are electrically connected to the first oxide semiconductor film. The second thin film transistor includes a second gate electrode electrically connected to the second electrode; a second oxide semiconductor film; a third electrode; a light-emitting layer and a fourth electrode over the second oxide semiconductor film. A work function of the second oxide semiconductor film is higher than a work function of the fourth electrode. | 04-22-2010 |
20100096655 | TOP EMISSION TYPE ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD OF FABRICATING THE SAME - An organic electroluminescent device includes a first substrate including a plurality of pixel regions; a thin film transistor on the first substrate and in each pixel region; a second substrate facing the first substrate; an organic electroluminescent diode on the second substrate and connected to the thin film transistor; a seal pattern at edges of the first and second substrates; and an adhesive layer including a plurality of conductive balls, an inner space defined by the first substrate, the second substrate and the seal pattern filled with the adhesive layer. | 04-22-2010 |
20100096656 | CATIONIC CONJUGATED POLYELECTROLYTE ELECTRON INJECTION LAYERS ALTERED WITH COUNTER ANIONS HAVING OXIDATIVE PROPERTIES - Counter anions having oxidative properties alter the performance of solution processed multilayer polymer light emitting diodes (PLEDs) that use cationic conjugated polyelectrolytes (CPEs) as electron injection layers (EILs). In some versions, PLEDs with poly(2-methoxy-5-(2′-ethylhexyloxy)-1,4-phenylene vinylene) (MEH-PPV) emissive layers and cationic CPE EILs are altered with halide counter anions to exhibit a systematic increase in device performance. Exemplary oxidative counter anions are halide counter anions with F | 04-22-2010 |
20100096657 | LIGHT-EMITTING DEVICE HAVING A PATTERNED SURFACE - The disclosure provides a light-emitting device comprising a substrate, an intermediate layer formed on the substrate, a first doped semiconductor layer with first conductivity-type formed on the intermediate layer, a second doped semiconductor layer with second conductivity-type formed on the first doped semiconductor layer, an active layer formed between the first doped semiconductor layer and the second doped semiconductor layer, and a patterned surface having a plurality of ordered pattern units; wherein the patterned surface is substantially not parallel to the corresponding region of the surface of the active layer. | 04-22-2010 |
20100102339 | LIGHT EMITTING DIODE AND LED CHIP THEREOF - A light emitting diode includes a base, a first and second conductive members through the base and a light emitting diode chip on the base. The light emitting diode chip includes an upper surface, a bottom surface, a first sidewall and a second sidewall. The first sidewall and the second sidewall interconnect the upper surface and bottom surface. A first and second heat dissipating layers are respectively located on the first and second sidewalls. The first and second heat dissipating layers connect with the first and second conductive members, respectively. A bottom face of the chip electrically and thermally connects with the second conductive member. A gold wire electrically connects an electrode on a top face of the chip and the first heat dissipating layer. | 04-29-2010 |
20100102340 | SEMICONDUCTOR LIGHT EMITTING DEVICE, AND BACKLIGHT AND DISPLAY DEVICE COMPRISING THE SEMICONDUCTOR LIGHT EMITTING DEVICE - The present invention provides a semiconductor light emitting device comprising a light intensity difference reducing layer provided between an ultraviolet semiconductor light emitting element and a wavelength converting material layer, and a backlight and a display device comprising the semiconductor light emitting device. The semiconductor light emitting device is an LED light emitting device which has improved uniformity of emitted light and reduced non-uniformity of brightness and chromaticity of emitted light. The light emitting device according to the present invention is particularly suitable for use in various display devices, preferably, for example, in display devices in equipment where a reduction in size, a reduction in weight, a reduction in thickness, electric power saving, high brightness, and excellent color rendering properties are particularly required, for example, cellular phones, personal digital assistants, electronic dictionaries, digital cameras, computers, liquid crystal televisions (TVs), and peripheral devices of these devices. | 04-29-2010 |
20100102341 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light emitting device includes: a transparent substrate including a first principal surface and a second principal surface opposite with the first principal surface, in which side surfaces between the first principal surface and the second principal surface are rough surfaces; and a semiconductor light emitting element that is arranged on the first principal surface of the transparent substrate and is composed by stacking nitride semiconductors on each other. | 04-29-2010 |
20100102342 | Semiconductor light-emitting device - A semiconductor light-emitting device capable of increasing an amount of light irradiated to the outside is provided. | 04-29-2010 |
20100102343 | DISPLAY DEVICE - A display device is provided with a pair of a first electrode and a second electrode, at least one electrode of the first and second electrodes being transparent or translucent and a phosphor layer provided as being sandwiched between the first electrode and the second electrode, and at least one buffer layer provided as being sandwiched between the first or second electrode and the phosphor layer, and the phosphor layer has a plurality of pixel regions that are selectively allowed to emit light in a predetermined range thereof and non-pixel regions that divide at least one portion of the pixel regions, and wherein the buffer layer is sandwiched between the first or second electrode and the phosphor layer so that the size of an electric potential barrier between the first or second electrode and the phosphor layer via the buffer layer is made smaller than the size of a Schottky barrier between the first or second electrode and the phosphor layer that are directly made contact with each other without the buffer layer therebetween. | 04-29-2010 |
20100102344 | LED DEVICE AND ILLUMINATING APPARATUS - White LED device | 04-29-2010 |
20100102345 | LIGHT EMITTING DEVICE PACKAGE AND LIGHT UNIT HAVING THE SAME - Disclosed is an LED package. The LED package comprises a body comprising a cavity at one side thereof, at least one of lead frames comprising a bottom frame and a sidewall frame in the cavity, and a light emitting device electrically connected with the lead frames. | 04-29-2010 |
20100102346 | RESONANT CAVITY OPTICAL RADIATION EMISSION DEVICE AND PROCESS FOR MANUFACTURING SAME - A device having an FET structure for the emission of an optical radiation integrated on a substrate of a semiconductor material, includes a first mirror, a second mirror of a dielectric type and an active layer comprising a main zone designed to be excited to generate the radiation. The device also includes a first electrically conductive layer containing two doped regions constitutes a source well and a drain well between which a current flows, a second electrically conductive layer which constitutes a gate, and a dielectric region between the first and second layer, to space corresponding peripheral portions of the first and second layers so that the current is channelled in the main zone for generating excitation radiation. The first and second electrically conductive layers and the active layer define an optical cavity. | 04-29-2010 |
20100102347 | LIGHT-EMITTING DIODE - A light-emitting diode includes a substrate ( | 04-29-2010 |
20100102348 | Lead frame unit, package structure and light emitting diode device having the same - A package structure is adapted for mounting at least one light emitting diode (LED) die. The package structure includes an insulating housing, and a lead frame unit including two spaced-apart conductive bodies. Each of the conductive bodies has opposite first and second conductive terminals spaced-apart from each other along an axial direction. The first conductive terminals extend into the insulating housing. The second conductive terminals are exposed outwardly of the insulating housing. Each of the conductive bodies further has two side edges spaced-apart from each other along a transverse direction perpendicular to the axial direction, and a concave-convex structure disposed at the side edges and surrounded by the insulating housing. | 04-29-2010 |
20100102349 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light emitting device (A) includes a semiconductor light emitting element ( | 04-29-2010 |
20100102350 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device comprises a light emitting structure comprising a plurality of compound semiconductor layers, an electrode layer on the light emitting structure, a conductive support member on the electrode layer, a conductive layer formed along a peripheral portion of an upper surface of the light emitting structure, and an insulating layer on the conductive layer. | 04-29-2010 |
20100102351 | Semiconductor Light Emitting Device and Method of Manufacturing the Same - The present disclosure relates to a semiconductor light-emitting device and a method of manufacturing the same, and more particularly, to a III-nitride semiconductor light-emitting device which improves external quantum efficiency by forming an irregular portion on a surface of a semiconductor layer by a protrusion formed on a substrate, and a method of manufacturing the same. | 04-29-2010 |
20100102352 | III-Nitride Semiconductor Light Emitting Device - The present disclosure relates to a III-nitride semiconductor light-emitting device including a substrate with a scattering zone formed therein, and a plurality of III-nitride semiconductor layers including a first III-nitride semiconductor layer formed over the substrate and having a first conductivity type, a second III-nitride semiconductor layer formed over the first III-nitride semiconductor layer and having a second conductivity type different from the first conductivity type, and an active layer disposed between the first III-nitride semiconductor layer and the second III-nitride semiconductor layer and generating light by recombination of electrons and holes. | 04-29-2010 |
20100102353 | III-Nitride Semiconductor Light Emitting Device - The present disclosure relates to a III-nitride semiconductor light-emitting device including a substrate, a plurality of III-nitride semiconductor layers positioned on the substrate and including an active layer which generates light by recombination of electrons and holes, and a surface scattering the light generated in the active layer, the scattering surface including a first surface which is etched and a second surface which caps the first surface. | 04-29-2010 |
20100109033 | Cavity Glass for Light-Emissive Devices and a Method of Manufacturing the Same - A method of manufacturing a transparent encapsulating sheet for a light-emissive device, the method comprising the steps: forming a plurality of cavities in one side of a transparent sheet of material for receiving light-emissive structures therein, said forming step producing a frosted surface comprising micro cracks in the cavities; coating said frosted surface with a low viscosity material whereby the micro cracks in the frosted surface are filled with the low viscosity material in order to form the transparent encapsulating sheet. | 05-06-2010 |
20100109034 | LED WITH MOLDED BI-DIRECTIONAL OPTICS - A double-molded lens for an LED includes an outer lens molded around the periphery of an LED die and a collimating inner lens molded over the top surface of the LED die and partially defined by a central opening in the outer lens. The outer lens is formed using silicone having a relatively low index of refraction such as n=1.33-1.47, and the inner lens is formed of a higher index silicone, such as n=1.54-1.76, to cause TIR within the inner lens. Light not internally reflected by the inner lens is transmitted into the outer lens. The shape of the outer lens determines the side emission pattern of the light. The front and side emission patterns separately created by the two lenses may be tailored for a particular backlight or automotive application. | 05-06-2010 |
20100109035 | COMPOUND SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a compound semiconductor light emitting device including: an Si—Al substrate; protection layers formed on top and bottom surfaces of the Si—Al substrate; and a p-type semiconductor layer, an active layer, and an n-type semiconductor layer which are sequentially stacked on the protection layer formed on the top surface of the Si—Al substrate, and a method for manufacturing the same. | 05-06-2010 |
20100109036 | LIGHT EMITTING UNIT - A light emitting unit includes a substrate, a first reflecting element, a light-emitting diode (LED), and a second reflecting element. At least one part of the substrate is light permeable. The LED is disposed between the substrate and the first reflecting element, and the first and second reflecting elements are disposed on two opposite sides of the substrate, respectively. | 05-06-2010 |
20100109037 | FLUORESCER SOLUTION, LIGHT-EMITTING DEVICE, AND METHOD FOR MANUFACTURING SAME - In a fluorescer solution, a plurality of types of fluorescent particles are contained in a resin liquid. Average particle sizes of these fluorescent particles decrease as densities of the types increase. In other words, average settling rates v | 05-06-2010 |
20100109038 | LIGHT EMITTING DIODE WITH INTEGRAL PARABOLIC REFLECTOR - The dielectric casing of a light emitting diode (LED) incorporates an integral parabolic reflector system which redirects light in a collimated pattern deflected at significant angles relative to the axis of symmetry of the LED. | 05-06-2010 |
20100109039 | LIGHT EMITTING DIODE PACKAGE - Provided is an LED package. It is easy to control luminance according to the luminance and an angle applicable. Since heat is efficiently emitted, the LED package is easily applicable to a high luminance LED. The manufacturing process is convenient and the cost is reduced. The LED package includes a substrate, an electrode, an LED, and a heatsink hole. The electrode is formed on the substrate. The LED is mounted in a side of the substrate and is electrically connected to the electrode. The heatsink hole is formed to pass through the substrate, for emitting out heat generated from the LED. | 05-06-2010 |
20100109040 | CHIP COATED LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - A chip coated LED package and a manufacturing method thereof. The chip coated LED package includes a light emitting chip composed of a chip die-attached on a submount and a resin layer uniformly covering an outer surface of the chip die. The chip coated LED package also includes an electrode part electrically connected by metal wires with at least one bump ball exposed through an upper surface of the resin layer. The chip coated LED package further includes a package body having the electrode part and the light emitting chip mounted thereon. The invention improves light efficiency by preventing difference in color temperature according to irradiation angles, increases a yield, miniaturizes the package, and accommodates mass production. | 05-06-2010 |
20100117106 | LED WITH LIGHT-CONVERSION LAYER - A lighting apparatus includes a light-emitting diode (LED). A light-conversion layer having multiple non-overlapping regions overlies the light-emitting diode. The light-conversion layer includes at least one first region and at least one second region. In the lighting apparatus, the light-emitting diode is configured to emit light of a first color, the at least one first region is substantially transparent to light of the first color, and the at least one second region converts light of the first color to light of a second color. In an embodiment, the light-conversion layer is configured such that the lighting apparatus provides substantially uniform light of a third color. In some embodiments, the second region includes a phosphor-containing material, and the first region includes silicone or epoxy. In an example, the lighting apparatus uses a blue LED in conjunction with a yellow phosphor material to produce white light. | 05-13-2010 |
20100117107 | ELECTRICAL CURRENT DISTRIBUTION IN LIGHT EMITTING DEVICES - A light emitting device is disclosed that has a plurality of epitaxial layers including an active layer, at least one of a reflective layer and an ohmic contact on a first side of the epitaxial layers; and a layer of a conductive metal on a second side of the epitaxial layers and having a light emitting surface. A terminal is on the light emitting surface, the terminal comprising an array for diffusing electrical current and minimizing its effect on light output. The array may have a bonding pad, an outer portion, and a joining portion connecting the bonding pad and the outer portion; the outer portion and the joining portion being for current dissipation. | 05-13-2010 |
20100117108 | USES OF SELF-ORGANIZED NEEDLE-TYPE NANOSTRUCTURES - The invention relates to processes for the production and elements (components) with a nanostructure ( | 05-13-2010 |
20100117109 | Light emitting element - A light emitting element includes a semiconductor stacked structure including a first semiconductor layer of first conductivity type, a second semiconductor layer of second conductivity type different from the first conductivity type and an active layer sandwiched between the first semiconductor layer and the second semiconductor layer. The light emitting element further includes a plurality of convex portions formed on one surface of the semiconductor stacked structure, and an embedded part for transmitting a light emitted from the active layer and reducing stress generated in the plurality of convex portions, the embedded part being formed between two adjacent convex portions of the plurality of convex portions. | 05-13-2010 |
20100117110 | Photosensitive Quantum Dot, Composition Comprising the Same and Method of Forming Quantum Dot-Containing Pattern Using the Composition - A photosensitive quantum dot including a quantum dot, and a plurality of photosensitive moieties that are bound to a surface of the quantum dot, wherein each of the photosensitive moieties includes silicon (Si) and a photosensitive functional group. Also disclosed are a composition for forming a quantum dot-containing pattern, where the composition includes the photosensitive quantum dot, and a method of forming a quantum dot-containing pattern using the composition. | 05-13-2010 |
20100117111 | Optoelectronic Component and Method for the Manufacture of a Plurality of Optoelectronic Components - An optoelectronic component with a semiconductor body includes an active region suitable for generating radiation, and two electrical contacts arranged on the semiconductor body. The contacts are electrically connected to the active region. The contacts each have a connecting face that faces away from the semiconductor body. The contact faces are located on a connection side of the component and a side of the component that is different from the connection side is mirror-coated. A method for the manufacture of multiple components of this sort is also disclosed. | 05-13-2010 |
20100117112 | LIGHT-EMITTING ELEMENT AND DEVICE - A light-emitting element used for display devices and illuminating devices has been formed on a flat substrate, and therefore, when the size of such devices is increased, manufacturing apparatuses also have to be enlarged. Also, a problem involved has been that even a failure of one light-emitting element causes the entire device to fail, making improvement of production yield difficult. To solve the above problems, in the present invention, light-emitting elements are formed as linear elements, and the linear elements are combined to form a plane light-emitting device. This enables the light-emitting device to be produced by selecting only linear light-emitting elements of good quality, and enlargement of apparatuses and enhancement of production yield can be expected. | 05-13-2010 |
20100123150 | Hybrid organic light emitting diode - A hybrid organic light emitting diode employing fluorescent family of blue light-emitting OLED and phosphorescent family of red and green light emitting OLED, each family being electrically isolated for driving current in to them independent of each other and thus prevent overloading the phosphorescent family when fluorescent family of OLED is driven at high current density. The electrical isolation built in to the device gives long life for the hybrid OLED and yields high brightness. The independent driving also yields additional advantage of varying the color temperature of white light from the device and thus enables the device to function as a variable color OLED lamp. | 05-20-2010 |
20100123151 | LIGHT-EMITTING DEVICE - A light-emitting device in accordance with an embodiment of the present invention includes a semiconductor light-emitting element, and a member in the periphery of the semiconductor light-emitting element is made of a material whose color, transparency or adhesiveness changes over time as it is subjected to light or heat emitted by the semiconductor light-emitting element. | 05-20-2010 |
20100123152 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Provided is a light-emitting element including an anode over a substrate, a layer containing a composite material in which a metal oxide is added to an organic compound, a light-emitting layer, and a cathode having a light-transmitting property. The anode is a stack of a film of an aluminum alloy and a film containing titanium or titanium oxide. The film containing titanium or titanium oxide is in contact with the layer containing a composite material. | 05-20-2010 |
20100123153 | LIGHT-EMITTING DEVICE - This application discloses a light-emitting device comprising a light-emitting stack layer, a first transparent conductive layer disposed below the light-emitting stack layer, a transparent dielectric barrier layer disposed below the first transparent conductive layer, a second transparent conductive layer disposed below the transparent dielectric barrier layer and a metal reflective layer disposed below the second transparent conductive layer wherein an omni-directional reflector (ODR) comprises the metal reflective layer and the second transparent conductive layer. Besides, the first transparent conductive layer is ohmically connected with the light-emitting stack layer. | 05-20-2010 |
20100123154 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided. The light emitting device package comprises a package body, a light emitting device on the package body, and a light-transmitting light guide member under the light emitting device. | 05-20-2010 |
20100123155 | SEMICONDUCTOR NANOPARTICLE-BASED LIGHT-EMITTING DEVICES AND ASSOCIATED MATERIALS AND METHODS - Embodiments of the present invention relate to a formulation for use in the fabrication of a light-emitting device, the formulation including a population of semiconductor nanoparticles incorporated into a plurality of discrete microbeads comprising an optically transparent medium, the nanoparticle-containing medium being embedded in a host light-emitting diode encapsulation medium. A method of preparing such a formulation is described. There is further provided a light-emitting device including a primary light source in optical communication with such a formulation and a method of fabricating the same. | 05-20-2010 |
20100127286 | ILLUMINATION SYSTEM COMPRISING A GREEN-EMITTING CERAMIC LUMINESCENCE CONVERTER - An Illumination system comprising a radiation source and a monolithic ceramic luminescence converter comprising at least one phosphor capable of absorbing a part of the light emitted by the radiation source and emitting light of a wavelength different from that of the absorbed light, wherein said at least one phosphor is an europium(II)-activated oxonitridosilicate of the general formula (Sr1-a-b-c-d-e-fCabBacMgdZneCef)Six-gGegNyOz:Eua, wherein 0.001 | 05-27-2010 |
20100127287 | ORGANIC LIGHT EMITTING DIODES WITH STRUCTURED ELECTRODES - A cathode that contain nanostructures that extend into the organic layer of an OLED has been described. The cathode can have an array of nanotubes or a layer of nanoclusters extending out from its surface. In another arrangement, the cathode is patterned and etched to form protruding nanostructures using a standard lithographic process. Various methods for fabricating these structures are provided, all of which are compatible with large-scale manufacturing. OLEDs made with these novel electrodes have greatly enhanced electron injection, have good environmental stability. | 05-27-2010 |
20100127288 | LIGHT-EMITTING DIODE DEVICES AND METHODS FOR FABRICATING THE SAME - An LED device including a support structure with at least one LED die mounted thereon, a recess formed in a part of the support structure from a side of the LED die, and a lens formed over the support structure to encapsulate the LED die and the recess, thereby forming a protrusion in the support structure is disclosed. | 05-27-2010 |
20100127289 | Method and Apparatus for Providing LED Package with Controlled Color Temperature - An optical device capable of illuminating visual light with adjusting color temperature after fabrication is disclosed. The optical device includes a solid state light emitter and a phosphor layer, which is formed over the solid state light emitter. The solid state light emitter, which can be a light emitter diode (“LED”), converts electrical energy to blue light. The phosphor layer subsequently converts first light with a first wavelength to second light with a second wavelength. In one example, the first light is blue light while the second light is white light. A portion of the phosphor layer is adjusted after the phosphor layer is formed for adjusting color of the white light in accordance with color quality of the light detected by a light detector. | 05-27-2010 |
20100127290 | LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - The present invention relates to a light emitting diode package and a manufacturing method thereof. | 05-27-2010 |
20100127291 | LIGHT EMITTING DIODE - A light emitting diode includes a light emitting diode chip and first and second encapsulation units respectively of first and second encapsulating materials. The first encapsulation unit encapsulates the light emitting diode chip. The first encapsulation unit includes a light emitting surface defining a plurality of recesses therein and forming a plurality of first protrusions between the recesses. The first protrusions are alternately arranged with the recesses. The second encapsulation unit covers the light emitting surface of the first encapsulation unit. The second encapsulation unit includes a plurality of filling portions filling the recesses of the first encapsulation unit, respectively, and a plurality of second protrusions on the first protrusions, respectively. | 05-27-2010 |
20100127292 | Wafer level led package structure for increasing light-emitting efficiency and method for making the same - A wafer level LED package structure for increasing light-emitting efficiency includes: a light-emitting unit, an insulating unit, two first conductive units and two second conductive units. The light-emitting unit has a light-emitting body, a positive conductive layer, a negative conductive layer, and a reflecting insulating layer formed between the positive conductive layer and the negative conductive layer. The light-emitting body has a bottom material layer and a top material layer. The insulating unit is formed around an outer area of a top surface of the bottom material layer and formed on a top surface of the reflecting insulating layer. One first conductive unit is formed on one part of the positive conductive layer and the insulating unit, and another first conductive unit is formed on one part of the negative conductive layer and the insulating unit. The two second conductive units are respectively formed on the two first conductive units. | 05-27-2010 |
20100127293 | LED Module with Color Conversion Layer Designed for a Homogenous Color Distribution - An LED module having an LED semiconductor chip mounted directly or indirectly on a platform. The platform is made from silicon and is extends laterally beyond the LED semiconductor chip having an active light emitting layer and a substrate. At least one electronic component that is part of the control circuitry for the LED semiconductor chip is integrated in the silicon platform. | 05-27-2010 |
20100127294 | SIDE VIEW TYPE LIGHT-EMITTING DIODE PACKAGE STRUCTURE, AND MANUFACTURING METHOD AND APPLICATION THEREOF - A side view type light-emitting diode package structure, and a manufacturing method and an application thereof are described. The side view type light-emitting diode package structure includes a silicon base, a first and a second conductive leads and at least one light-emitting diode chip. The silicon base includes a first cavity defining a light-extracting surface of the package structure. The first and the second conductive leads are respectively disposed at least on a portion and another portion of the first cavity and extend to an outer surface of the silicon base. The first and the second conductive leads are electrically isolated from each other. The light-emitting diode chip includes a first and second electrodes electrically connected to the first and the second conductive leads respectively, wherein the surface on the outer side of the silicon base is substantially perpendicular to the light-extracting surface. | 05-27-2010 |
20100127295 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are a light emitting device and a method of manufacturing the same. A light emitting device includes an active layer; a first conductive semiconductor layer on the active layer; a second conductive semiconductor layer on the active layer so that the active layer is disposed between the first and second conductive semiconductor layers; and a photonic crystal structure comprising a first light extraction pattern on the first conductive semiconductor layer having a first period, and second light extraction pattern on the first conductive semiconductor layer having a second period, the first period being greater than λ/n, and the second period being identical to or smaller than λ/n, where n is a refractive index of the first conductive semiconductor layer, and λ is a wavelength of light emitted from the active layer. | 05-27-2010 |
20100127296 | LIGHT EMITTING APPARATUS AND METHOD FOR MANUFACTURING SAME - A light emitting apparatus, includes: a substrate; a semiconductor device including a semiconductor layer formed integrally on a major surface of the substrate; and a light emitting device formed separately from the substrate. The light emitting device is mounted on the major surface of the substrate, electrically connected to the semiconductor device, and thermally connected to the substrate. | 05-27-2010 |
20100127297 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - Embodiments relate to a semiconductor light-emitting device. | 05-27-2010 |
20100127298 | LIGHT EMITTING DEVICE - A light emitting device including a substrate, a first conductive semiconductor layer on the substrate, an active layer on the first conductive semiconductor layer, a second conductive semiconductor layer on the active layer, and a reflective layer under the substrate and including a light reflection pattern configured to reflect light emitted by the active layer in directions away from the reflective layer. | 05-27-2010 |
20100133563 | ILLUMINATION SYSTEM COMPRISING A RADIATION SOURCE AND A LUMINESCENT MATERIAL - An illumination system, comprising a radiation source and a luminescent material comprising at least one phosphor capable of absorbing a part of light emitted by the radiation source and emitting light of wavelength different from that of the absorbed light; wherein said at least one phosphor is a yellow red-emitting cerium(III)-activated alkaline earth oxonitridoaluminosilicate of general formula Ca1−x−yAxAl1+a−bBbSi1−aN3−aOa:Cey, wherein A selected from the group comprising beryllium, magnesium, strontium, barium, zinc, manganese, lithium, sodium, potassium, rubidium, praseodymium, samarium, europium, and B selected from the group comprising boron, gallium, scandium and wherein 006-03-2010 | |
20100133564 | Method for Producing Semiconductor Components and Thin-Film Semiconductor Component - The invention relates to a method for producing semiconductor components, wherein a layer composite ( | 06-03-2010 |
20100133565 | LEAD FRAME, LIGHT EMITTING DIODE HAVING THE LEAD FRAME, AND BACKLIGHT UNIT HAVING THE LIGHT EMITTING DIODE - An LED includes a light-emitting chip, a metal member, and a housing. The light-emitting chip generates light. The light-emitting chip is arranged on the metal member. The housing is combined with the metal member to fix the metal member. The housing has an opening portion exposing at least a portion of the light-emitting chip and the metal member. The metal member includes a base metal layer, a light-reflecting layer arranged on the base metal layer, and a protection layer arranged on the light-reflecting layer and including a metal. | 06-03-2010 |
20100133566 | Opto-Electrical Devices and Methods of Making the Same - An opto-electrical device comprising: a first electrode for injecting charge carriers of a first polarity; a second electrode for injecting charge carriers of a second polarity; and a layer of organic material disposed between the first and second electrodes, the layer of organic material comprising a blend of a first charge transporting and/or light-emissive polymer and a second charge transporting and/or light-emissive polymer, wherein at least the first polymer is cross-linked providing a first cross-linked matrix in which the second polymer is disposed. | 06-03-2010 |
20100133567 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor light emitting device and a method of manufacturing the same are provided. The semiconductor light emitting device comprises a first conductive semiconductor layer comprising a concave portion, an active layer on the first conductive semiconductor layer, and a second conductive semiconductor layer on the active layer. | 06-03-2010 |
20100133568 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A light emitting device includes: a substrate including through electrodes; a light emitting element bonded onto the substrate and connected to the through electrodes; and a dielectric film made of a translucent inorganic material and spaced from the light emitting element so that an internal space is formed between the dielectric film and the substrate, emission light from the light emitting element being allowed to be emitted through the dielectric film, and a manufacturing the same are provided. | 06-03-2010 |
20100133569 | Light emitting diode - A light emitting diode includes a substrate, a first semiconductor layer, an active layer, a second semiconductor layer, and at least one transparent conductive layer. The transparent conductive layer comprises of a carbon nanotube structure. | 06-03-2010 |
20100133570 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed is a semiconductor light emitting device, and a method of manufacturing the same. The semiconductor light emitting device includes a first conductivity type semiconductor layer, an active layer disposed on the top of the first conductivity type semiconductor layer, and a second conductivity type semiconductor layer disposed on the top of the active layer and comprising light extraction patterns in the top thereof, the light extraction patterns each having a columnar portion and a hemispherical top portion. | 06-03-2010 |
20100133571 | LIGHT-EMITTING DEVICE - To provide a light emitting device easy to produce and extracting light to its outside with high efficiency, the light-emitting device | 06-03-2010 |
20100133572 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A display device is provided which includes: lower electrodes each have a light-reflective first metal material layer and a second metal material layer provided thereon which has a superior alkaline-solution resistance to that of the first metal material layer; an insulating pattern which is formed from a photosensitive composition material, which has opening portions to expose the lower electrodes, and which covers peripheries of the lower electrodes; organic layers each of which at least include an organic light emitting layer and which are provided in the opening portions so as to cover the lower electrodes; and a light-transmissive upper electrode provided to sandwich the organic layers with the lower electrodes. | 06-03-2010 |
20100133573 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, LIGHTING DEVICE, AND ELECTRONIC DEVICE - An object is to provide a light-emitting element which exhibits light emission with high luminance and can be driven at low voltage. Another object is to provide a light-emitting device or an electronic device with reduced power consumption. Between an anode and a cathode, n (n is a natural number of two or more) EL layers are provided, where between a first EL layer and a second EL layer, a first layer containing any of an alkali metal, an alkaline earth metal, a rare earth metal, an alkali metal compound, an alkaline earth metal compound, and a rare earth metal compound, a second layer containing a material having a high electron-transporting property in contact with the first layer, and a region containing a material having a high hole-transporting property and an acceptor material in contact with the second layer are provided in this order from the anode side. | 06-03-2010 |
20100133574 | LIGHT EMITTING DEVICE WITH MULTILAYER SILICON-CONTAINING ENCAPSULANT - A light emitting device that includes a light emitting diode and a multilayer encapsulant is disclosed. The multilayer encapsulant includes a first encapsulant in contact with the light emitting diode and a photopolymerizable composition in contact with the first encapsulant. The first encapsulant may be a silicone gel, silicone gum, silicone fluid, organosiloxane, polysiloxane, polyimide, polyphosphazene, sol-gel composition, or another photopolymerizable composition. The photopolymerizable compositions include a silicon-containing resin and a metal-containing catalyst, the silicon-containing resin comprising silicon-bonded hydrogen and aliphatic unsaturation. Actinic radiation having a wavelength of 700 nm or less can be applied to initiate hydrosilylation within the silicon-containing resins. | 06-03-2010 |
20100133575 | LOW OPTICAL LOSS ELECTRODE STRUCTURES FOR LEDS - An electrode structure is disclosed for enhancing the brightness and/or efficiency of an LED. The electrode structure can have a metal electrode and an optically transmissive thick dielectric material formed intermediate the electrode and a light emitting semiconductor material. The electrode and the thick dielectric cooperate to reflect light from the semiconductor material back into the semiconductor so as to enhance the likelihood of the light ultimately being transmitted from the semiconductor material. Such LED can have enhanced utility and can be suitable for uses such as general illumination. | 06-03-2010 |
20100133576 | CASTING FOR AN LED MODULE - A casting adapted to carry a light emitting diode die and an anti-static die is disclosed. The casting comprises two electrodes for opposite electrodes and a wall. The light emitting diode die is mounted one of electrodes and the anti-static die is mounted on the other electrode. The wall is arranged between the light emitting diode die and the anti-static die. Further, the height of the wall is larger than that of the anti-static die to shade the anti-static die, whereby reflecting the light emitted from the light emitting diode die. Therefore, the reflection ratio of the light emitting diode die is improved, and the intensity generated by the whole light emitting diode is also improved. | 06-03-2010 |
20100140635 | Composite high reflectivity layer - A high efficiency light emitting diode with a composite high reflectivity layer integral to said LED to improve emission efficiency. One embodiment of a light emitting diode (LED) chip comprises an LED and a composite high reflectivity layer integral to the LED to reflect light emitted from the active region. The composite layer comprises a first layer, and alternating plurality of second and third layers on the first layer, and a reflective layer on the topmost of said plurality of second and third layers. The second and third layers have a different index of refraction, and the first layer is at least three times thicker than the thickest of the second and third layers. For composite layers internal to the LED chip, conductive vias can be included through the composite layer to allow an electrical signal to pass through the composite layer to the LED. | 06-10-2010 |
20100140636 | Light Emitting Diode with Improved Light Extraction - A light emitting diode is disclosed that includes an active region and a plurality of exterior surfaces. A light enhancement feature is present on at least portions of one of the exterior surfaces of the diode, with the light enhancement feature being selected from the group consisting of shaping and texturing. A light enhancement feature is present on at least portions of each of the other exterior surfaces of the diode, with these light enhancement features being selected from the group consisting of shaping, texturing, and reflectors. | 06-10-2010 |
20100140637 | Light Emitting Diode with a Dielectric Mirror having a Lateral Configuration - A light emitting diode is disclosed that includes an active structure, a first ohmic contact on the active structure, and a transparent conductive oxide layer on the active structure opposite the first ohmic contact. The transparent conductive oxide layer has a larger footprint than said active structure. A dielectric mirror is positioned on the transparent conductive oxide layer opposite said active structure and a second contact is positioned on the transparent conductive oxide layer opposite the dielectric mirror and separated from the active structure. | 06-10-2010 |
20100140638 | THERMOSETTING RESIN COMPOSITION FOR LIGHT REFLECTION, METHOD FOR MANUFACTURING THE RESIN COMPOSITION AND OPTICAL SEMICONDUCTOR ELEMENT MOUNTING SUBSTRATE AND OPTICAL SEMICONDUCTOR DEVICE USING THE RESIN COMPOSITION - This invention provides a heat curable resin composition for light reflection, which, after curing, can realize high reflectance in a range of visible light to near ultraviolet light, has excellent heat deterioration resistance and tablet moldability, and is less likely to cause burrs during transfer molding, and a process for producing the resin composition, and an optical semiconductor element mounting substrate and an optical semiconductor device using the resin composition. The heat curable resin composition for light reflection comprises a heat curable component and a white pigment and is characterized in that the length of burrs caused upon transfer molding under conditions of molding temperature 100° C. to 200° C., molding pressure not more than 20 MPa, and molding time 60 to 120 sec is not more than 5 mm and the light reflectance after heat curing at a wavelength of 350 nm to 800 nm is not less than 80%. The resin composition can be used for constructing the optical semiconductor element mounting substrate and the optical semiconductor device. | 06-10-2010 |
20100140639 | OPTICAL SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING OPTICAL SEMICONDUCTOR DEVICE - An optical semiconductor device includes a light emitting element having a first surface and a second surface, the first surface having a first electrode provided thereon, the second surface being located on the opposite side from the first surface and having a second electrode provided thereon; a first conductive member connected to the first surface; a second conductive member connected to the second surface; a first external electrode connected to the first conductive member; a second external electrode connected to the second conductive member; and an enclosure sealing the light emitting element, the first conductive member, and the second conductive member between the first external electrode and the second external electrode, and being configured to transmit light emitted from the light emitting element. | 06-10-2010 |
20100140640 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided is an optical semiconductor device includes: a light-emitting layer having a first main surface, a second main surface opposed to the first main surface, a first electrode and a second electrode which are formed on the second main surface; a fluorescent layer provided on the first main surface; a light-transmissive layer provided on the fluorescent layer and made of a light-transmissive inorganic material; a first metal post provided on the first electrode; a second metal post provided on the second electrode; a sealing layer provided on the second main surface so as to seal in the first and second metal posts with one ends of the respective first and second metal posts exposed; a first metal layer provided on the exposed end of the first metal post; and a second metal layer provided on the exposed end of the second metal post. | 06-10-2010 |
20100140641 | SEMICONDUCTOR LIGHT EMITTING APPARATUS INCLUDING SEMICONDUCTOR LIGHT EMITTING DEVICE, RED PHOSPHOR AND GREEN PHOSPHOR, AND IMAGE DISPLAY USING THE SEMICONDUCTOR LIGHT EMITTING APPARATUS - A semiconductor light emitting apparatus including a semiconductor light emitting device, a green phosphor emitting green light and a red phosphor emitting red light is provided. The green phosphor is a rare earth activated inorganic phosphor, and the red phosphor is a semiconductor particle phosphor. The minimum among respective differences between respective wavelengths at local minima of an absorption spectrum of the red phosphor and the peak wavelength of an emission spectrum of the green phosphor is not more than 25 nm. An image display including the semiconductor light emitting apparatus is also provided. | 06-10-2010 |
20100140642 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - The light emitting device of the invention comprises a first electrode, a second electrode being light transmitting, and a carrier sandwiched between the first electrode and the second electrode and containing light emitters, wherein the first electrode has a plurality of projections or a pn junction formed with a p-type semiconductor and an n-type semiconductor each on a surface being in contact with the carrier. | 06-10-2010 |
20100140643 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - The light emitting device, and corresponding method of manufacture, the light emitting device including a second electrode layer; a second conductive type semiconductor layer formed on the second electrode layer; an active layer formed on the second conductive type semiconductor layer; a first conductive type semiconductor layer formed with a first photonic crystal that includes a mask layer and an air gap formed on the active layer; and a first electrode layer formed on the first conductive type semiconductor layer. | 06-10-2010 |
20100140644 | ORGANIC EL DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An object of the invention is to provide an organic EL display device manufacturing method that allows the reliability of the organic EL display device having undergone a defect repair process to be improved. A method for manufacturing an organic EL display device, the method including an organic EL element substrate formation step of forming at least one organic EL element on an organic EL element substrate, the organic EL element including an organic EL film, an anode electrode and a reflection electrode that form a first conductive film provided below the organic EL film, and a cathode electrode that forms a second conductive film provided above the organic EL film, a resin sealing step of providing a thermoplastic sealing resin to cover the upper side of the organic EL element, a defect detection step of detecting a defect in the organic EL element, and a defect elimination step of eliminating the defect detected in the defect detection step by irradiating the defect with a laser beam. | 06-10-2010 |
20100140645 | ORGANIC ELECTROLUMINESCENCE DEVICE - Disclosed is an organic electroluminescence device containing a pair of electrodes on a substrate, two or more luminescence layers disposed between the electrodes, and an intermediate layer containing a charge transporting material and disposed between the two or more luminescence layers, each of the two or more luminescence layers contains at least one phosphorescence material selected from a blue phosphorescence material having an emission peak from 420 nm to less than 500 nm, a green phosphorescence material having an emission peak from 500 nm to less than 570 nm, and a red phosphorescence material having an emission peak from 570 nm to 650 nm, the phosphorescence material contained in the respective luminescence layers having different emission peaks from one another; and the charge transporting material contained in the intermediate layer has an energy difference (T1) of 2.7 eV or more between a ground state and an excited triplet state and the energy difference (T1) of the charge transporting material is higher by at least 0.15 eV than T1 of a phosphorescence material having the shortest wavelength emission among the phosphorescence materials contained in the two or more luminescence layers. | 06-10-2010 |
20100140646 | SEMICONDUCTOR LIGHT EMITTING DIODE - A semiconductor LED is disclosed. The semiconductor LED can include a light emitting structure, which can be composed of an N-type semiconductor layer, an active layer, and a P-type semiconductor layer stacked in said order; a transparent electrode, formed on an upper surface of the light emitting structure; and a P-type electrode, formed on an upper surface of the transparent electrode. An insulator for blocking electric currents can be formed within the light emitting structure, at a position corresponding with the position of the P-type electrode. Certain embodiments of the invention can be used to prevent the occurrences of light reflecting off the lower surface of the P-type electrode, and thereby improve light-emitting efficiency. | 06-10-2010 |
20100140647 | SEMICONDUCTOR LIGHT EMITTING DIODE - A semiconductor LED and a method manufacturing the semiconductor LED are disclosed. The method can include: forming a light emitting structure, which includes an N-type semiconductor layer, an active layer, and a P-type semiconductor layer stacked together, on a substrate; processing a division groove in the shape of a dotted line from the direction of the substrate or from the direction of the light emitting structure; and dividing the substrate and the light emitting structure along the division groove by applying pressure to at least one of the substrate and the light emitting structure. Embodiments of the invention can prevent total reflection for light emitted through the sides, and as a result, the light emitting efficiency can be improved. | 06-10-2010 |
20100140648 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR PRODUCING THE SAME - A semiconductor light emitting device can be configured to maintain high luminance and to suppress the possibility of the occurrence of wire breakage with high quality and reliability. A method for producing such a semiconductor light emitting device with a high process yield is also disclosed. The semiconductor light emitting device can include a sealing member into which a reflective filler can be mixed in such an amount (concentration) range that luminous flux with a predetermined amount can be maintained and the possibility of the occurrence of wire breakage can be lowered. Various sealing members containing a reflective filler with a plurality of concentrations within this range can be prepared in advance. By taking advantage of the phenomenon where chromaticity shifts depending on the concentration of the reflective filler, a semiconductor light emitting device with less chromaticity variation can be produced utilizing a sealing member with a particular concentration in accordance with the chromaticity of a particular semiconductor light emitting element that is used and which may be varied during fabrication. | 06-10-2010 |
20100140649 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode display includes a substrate member, a plurality of pixel electrodes formed on the substrate member, an organic emission layer formed on the pixel electrodes, and a first common electrode formed on the organic emission. A transmitting layer may be formed on the first common electrode and is configured to be substantially antireflective. A second common electrode may be formed on the transmitting layer and the first common electrode is electrically connected with the second common electrode. | 06-10-2010 |
20100140650 | Light emitting element, light emitting device using the light emitting element, and method for manufacturing light emitting element - A light emitting device includes a light emitting element, including a substrate including group III nitride compound semiconductor, a luminous layer structure including group III nitride compound semiconductor, the luminous layer structure formed on a first surface of the substrate, and an irregular surface formed on a second surface of the substrate, the second surface including a principal light emission surface, and a translucent sealing member for sealing the light emitting element, the translucent sealing member being separated from the second surface. At least one of translucent gel material and an inert gas is filled between the light emitting element and the translucent sealing member. | 06-10-2010 |
20100140651 | DIFFRACTION GRATING LIGHT-EMITTING DIODE - The present invention provides a diffraction grating light-emitting diode in which the external quantum efficiency is improved by appropriately setting the period of holes when the holes are two-dimensionally periodically formed. A light-emitting diode is configured by laminating, on a sapphire substrate, an n-type GaN layer, an InGaN/GaN active layer, a p-type GaN layer, and a transparent electrode layer. Further, a large number of holes are two-dimensionally periodically formed in the transparent electrode layer, the p-type GaN layer, the InGaN/GaN active layer, and the n-type GaN layer so as to extend in a direction substantially perpendicular to these layers. Assuming that the non-radiative recombination rate is v | 06-10-2010 |
20100140652 | SURFACE-TEXTURED ENCAPSULATIONS FOR USE WITH LIGHT EMITTING DIODES - Surface-textured encapsulations for use with light emitting diodes. In an aspect, a light emitting diode apparatus is provided that includes a light emitting diode, and an encapsulation formed upon the light emitting diode and having a surface texture configured to extract light. In an aspect, a method includes encapsulating a light emitting diode with an encapsulation having a surface texture configured to extract light. In an aspect, a light emitting diode lamp is provided that includes a package, at least one light emitting diode disposed within the package, and an encapsulation formed upon the at least one light emitting diode having a surface texture configured to extract light. In another aspect, a method includes determining one or more regions of an encapsulation, the encapsulation configured to cover a light emitting diode, and surface-texturing each region of the encapsulation with one or more geometric features that are configured to extract light. | 06-10-2010 |
20100140653 | LIGHT EMITTING DIODE STRUCTURE AND METHOD FOR FABRICATING THE SAME - The present invention discloses a light emitting diode structure and a method for fabricating the same. In the present invention, a substrate is placed in a solution to form a chemical reaction layer on carved regions; the carved region is selectively etched to form a plurality of concave zones and form a plurality of convex zones; a semiconductor layer structure is epitaxially grown on the element regions and carved regions of the substrate; the semiconductor layer structure on the element regions is fabricated into a LED element with a photolithographic process. | 06-10-2010 |
20100148196 | LED LIGHTING FIXTURE - A light-emitting device held on a fixture body includes an LED chip, a heat transfer plate made of a thermally conductive material on which the LED chip is mounted, a wiring board having, on one side, patterned conductors, for supplying an electric power to the LED chip and formed with an aperture (exposure part) through which a LED chip mount surface of the heat transfer plate is exposed, an encapsulation part in which the LED chip is encapsulated on the one side of the wiring board, and a dome-shaped color-changing member made of a fluorescent material and an optically transparent material and placed on the one side of the wiring board. The light-emitting device is bonded to the fixture body with an insulating layer interposed therebetween, and the insulating layer has electrical insulating properties and is interposed between the heat transfer plate and the fixture body to thermally couple the same. | 06-17-2010 |
20100148197 | SELECTIVE DECOMPOSITION OF NITRIDE SEMICONDUCTORS TO ENHANCE LED LIGHT EXTRACTION - A method of texturing a surface within or immediately adjacent to a template layer of a LED is described. The method uses a texturing laser directed through a substrate to decompose and pit a semiconductor material at the surface to be textured. By texturing the surface, light trapping within the template layer is reduced. Furthermore, by patterning the arrangement of pits, metal coating each pit can be arranged to spread current through the template layer and thus through the n-doped region of a LED. | 06-17-2010 |
20100148198 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A method for manufacturing a light emitting device includes: forming a multilayer body including a light emitting layer so that a first surface thereof is adjacent to a first surface side of a translucent substrate; forming a dielectric film on a second surface side opposite to the first surface of the multilayer body, the dielectric film having a first and second openings on a p-side electrode and an n-side electrode provided on the second surface; forming a seed metal on the dielectric film and an exposed surface of the first and second openings; forming a p-side metal interconnect layer and an n-side metal interconnect layer on the seed metal; separating the seed metal into a p-side seed metal and an n-side seed metal by removing a part of the seed metal, which is provided between the p-side metal interconnect layer and the n-side metal interconnect layer; and forming a resin in a space from which the seed metal is removed. | 06-17-2010 |
20100148199 | Light emitting device with fine pattern - A semiconductor light emitting device includes a semiconductor light emitting structure including first and second conductivity type semiconductor layers, and an active layer disposed therebetween, first and second electrodes connected to the first and second conductivity type semiconductor layers, respectively, and a fine pattern for light extraction, formed on a light emitting surface from which light generated from the active layer is emitted. The fine pattern for light extraction is formed as a graded refractive index layer having a refractive index which decreases with vertical distance from the light emitting surface. | 06-17-2010 |
20100148200 | LIGHT EMITTING DIODE WITH LIGHT CONVERSION - An exemplary light emitting diode includes a light emitting diode chip, two optical wavelength converting layers, and an encapsulant layer. The light emitting diode chip has an light emitting surface. The light emitting diode chip is used to emit a monochromatic light from the light emitting surface. The light emitting surface includes a first region, a second region, and a third region. The two optical wavelength converting layers covers the first and the third regions of the light emitting surface. The two optical wavelength converting layers are configured for converting the monochromatic light received from the light emitting diode chip and emitting light with a converted wavelength from the light emitting diode. The encapsulant layer covers the second region of the light emitting surface for directing light therefrom. | 06-17-2010 |
20100148201 | LED PACKAGE - There is provided an LED package including: a body unit; an LED chip mounted onto the body unit; lead frames mounted onto the body unit and electrically connected to the LED chip; and a reflection unit having a cavity to receive the LED chip therein and reflecting light emitted from the LED chip to the outside. Here, the reflection unit has a curved cross-section. | 06-17-2010 |
20100148202 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light-emitting device includes (A) a light-emitting portion obtained by laminating in sequence a first compound semiconductor layer, an active layer, and a second compound semiconductor layer; (B) a first electrode electrically connected to the first compound semiconductor layer; (C) a transparent conductive material layer formed on the second compound semiconductor layer; (D) an insulating layer composed of a transparent insulating material and having an opening, the insulating layer being formed on the transparent conductive material layer; and (E) a second electrode that reflects light from the light-emitting portion, the second electrode being formed on the transparent conductive material layer and on the insulating layer in a continuous manner, wherein, assuming that areas of the active layer, the transparent conductive material layer, the insulating layer, and the second electrode are respectively S | 06-17-2010 |
20100148203 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - There is provided a semiconductor light-emitting device including a semiconductor light-emitting element, a phosphor layer disposed in a light path of a light emitted from the semiconductor light-emitting element, containing a phosphor to be excited by the light and having a cross-section in a region of a diameter which is 1 mm larger than that of a cross-section of the light path, and a heat-releasing member disposed in contact with at least a portion of the phosphor layer and exhibiting a higher thermal conductance than that of the phosphor layer. | 06-17-2010 |
20100148204 | Light-Emitting Element and Display Device - There has been a problem that difference in refractive index between an opposite substrate or a moisture barrier layer (passivation film) such as SiN provided thereover, and air is maintained large, and light extraction efficiency is low. Further, there has been a problem that peeling or cracking due to the moisture barrier layer is easily generated, which leads to deteriorate the reliability and lifetime of a light-emitting element. According to the present invention, a light-emitting element comprises a pixel electrode, an electroluminescent layer, a transparent electrode, a passivation film, a stress relieving layer, and a low refractive index layer, all of which are stacked sequentially. The stress relieving layer serves to prevent peeling of the passivation film. The low refractive index layer serves to reduce reflectivity of light generated in the electroluminescent layer in emitting to air. Therefore, a light-emitting element with high reliability and long lifetime and a display device using the light-emitting element can be provided. | 06-17-2010 |
20100148205 | LENS, MANUFACTURING METHOD THEREOF AND LIGHT EMITTING DEVICE PACKAGE USING THE SAME - A lens and a light emitting device package formed by introducing surface mount technology (SMI) are disclosed. The lens includes a refractive portion which refracts incident light, and at least one surface mount portion, wherein a portion of the surface mount portion is formed in the refractive portion. | 06-17-2010 |
20100148206 | LED package and method of assembling the same - An LED package is provided. The LED package includes a carrier, an LED chip, a conductive structure, a first encapsulant, a lens and a heat sink. The carrier is cup shaped and comprises a bottom portion and a lateral wall. The LED chip is received in the carrier and disposed on the bottom portion. The conductive structure is electrically connected to the LED chip. The first encapsulant is received in the carrier and fixing the carrier and the conductive structure. The lens is corresponding to the LED chip. The carrier is embedded in the heat sink, and heat generated by the LED chip is transmitted to the heat sink via the bottom portion and the lateral wall of the carrier. | 06-17-2010 |
20100155753 | PHOSPHOR, LIGHT EMITTING DEVICE AND WHITE LIGHT EMITTING DIODE - The present invention provides a phosphor, a lighting system and a white light emitting diode. The phosphor comprises a compound represented by the formula (1) and Eu as an activator. aM | 06-24-2010 |
20100155754 | Group III Nitride Semiconductor Light Emitting Device and Method for Producing the Same - The present invention provides a group III nitride semiconductor light emitting device and a method for producing the same. The group III nitride semiconductor light emitting device comprises (a1), (b1) and (c1) in this order: (a1) an N electrode, (b1) a semiconductor multi-layer film, (c1) a transparent electric conductive oxide P electrode, wherein the semiconductor multi-layer film comprises an N-type semiconductor layer, light emitting layer, P-type semiconductor layer and high concentration N-type semiconductor layer having an n-type impurity concentration of 5×10 | 06-24-2010 |
20100155755 | LIGHT-EMITTING DIODE WITH LIGHT-CONVERSION LAYER - A method for making a lighting apparatus includes providing a substrate and disposing a light-emitting diode overlying the substrate. The light-emitting diode has a top surface oriented away from the substrate and a plurality of side surfaces. A light-conversion material is provided that includes a substantially transparent base material and a wave-shifting material dispersed in the base material. The concentration of the wave-shifting material can be at least 30%. In an embodiment, the concentration of the wave-shifting material can be approximately 50% or 70%. A predetermined amount of the light-conversion material is deposited on the top surface of the light-emitting diode while the side surfaces are maintained substantially free of the light-conversion material. | 06-24-2010 |
20100155756 | LIGHT EMITTING DIODE PACKAGE AND PROJECTION APPARATUS - A light emitting diode (LED) package including a carrier, at least one LED chip, and a light guide element is provided. The LED chip is disposed on the carrier. The light guide element including a light transmissive body, a light integration part, a reflective film, and a support part is disposed on the carrier and above the LED chip. The light integration part connected to the light transmissive body and disposed between the light transmissive body and the LED chip has a light incident surface facing the LED chip and at least one side. The side connects the light transmissive body and the light incident surface. The reflective film is disposed on the side. The support part leaning on the carrier is connected to the light transmissive body and surrounds the light integration part. The light transmissive body, the light integration part, and the support part are integrally formed. | 06-24-2010 |
20100155757 | Organic light emitting diode display - The present invention relates to an OLED display, and an OLED display according to an exemplary embodiment of the present invention includes a substrate member, an OLED including a first electrode formed on the substrate member, an organic emission layer formed on the first electrode, and a second electrode formed on the organic emission layer, and a cover layer formed on the second electrode and covering the OLED. The cover layer includes a cover main body and a corner-cube pattern formed on an opposite side of a side that faces the second electrode among both sides of the cover main body. | 06-24-2010 |
20100155758 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD FOR THE SAME - A light emitting device is provided, including a resin which can be manufactured according to a simple process and deliver a desired scattering property. The light emitting device is manufactured according to a step for mixing two or more types of immiscible liquid materials to obtain a composition containing at least two types of materials phase-separated in a sea-island structure, and a step for arranging the composition in proximity to an LED chip, curing the composition with the sea-island structure being maintained, thereby forming an encapsulation resin. Accordingly, it is possible to form an island region which serves as a scattering center, according to a simple step of mixing materials. | 06-24-2010 |
20100155759 | LIGHT-EMITTING DEVICE - The light-emitting device 100 of the present invention has a first cladding layer 106; an active layer 108 formed above the first cladding layer 106; and a second cladding layer 110 formed above the active layer 108, wherein the active layer 108 has a first side surface 107, and a second side surface 109 parallel to the first side surface 107; at least a portion of the active layer 108 constitutes a gain region 180; the gain region 180 has a first end surface 140 disposed on the first side surface 107 side and a second end surface 142 disposed on the second side surface 109 side, and extends from the first end surface 140 to the second end surface 142 in the direction inclined to the normal to the first side surface 107 as viewed from above; the second end face 109 is orthogonal to the direction in which the gain region 180 extends as viewed from above; a reflecting part 150 is disposed on the second end face 109; and a part of the light 10 generated in the gain region 180 is reflected in the reflecting part 150 disposed on the second end face 142 and is emitted from the first end surface 140. | 06-24-2010 |
20100155760 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - Disclosed are an organic light emitting display device with improved yield and processing efficiency, which includes an interlayer capable of being separated into a hydrophilic region and a hydrophobic region on top of a hole injection layer in an organic light emitting device and a plurality of layers including a light emitting layer and which is fabricated without using a shadow mask, as well as a method for manufacturing the same. The manufacturing method includes preparing a substrate having a plurality of pixel regions defined in a matrix form, arranging an anode in each of the pixel regions, forming a hole injection layer on the anode by the solution process, forming an interlayer with hydrophobic properties on the hole injection layer by a solution process, selectively UV irradiating the interlayer to define a hydrophilic region on the interlayer, forming a light emitting layer on the interlayer by the solution process, and arranging a cathode on the substrate having the light emitting layer. | 06-24-2010 |
20100155762 | STANDING TRANSPARENT MIRRORLESS LIGHT EMITTING DIODE - An (Al, Ga, In)N light emitting diode (LED) in which multi-directional light can be extracted from one or more surfaces of the LED before entering a shaped optical element and subsequently being extracted to air. In particular, the (Al, Ga, In)N and transparent contact layers (such as ITO or ZnO) are embedded in or combined with a shaped optical element comprising an epoxy, glass, silicon or other material molded into an inverted cone shape, wherein most of the light entering the inverted cone shape lies within a critical angle and is extracted. In addition, the present invention stands the LED on end, i.e., rotates the position of the LED within the shaped optical element by approximately 90° as compared to a conventional LED, in order to extract light more effectively from the LED. The present invention also minimizes internal reflections within the LED by eliminating mirrors and/or mirrored surfaces, in order to minimize re-absorption of the LED's light by the emitting layer (or the active layer) of the LED. To assist in minimizing internal reflections, transparent electrodes, such as ITO or ZnO, may be used. Surface roughening by patterning or anisotropically etching (i.e., creating microcones) may also assist in light extraction, as well as minimizing internal reflections. | 06-24-2010 |
20100155763 | SYSTEMS AND METHODS FOR APPLICATION OF OPTICAL MATERIALS TO OPTICAL ELEMENTS - Methods are disclosed including heating an optical element. An optical material is applied to the heated optical element to provide a conformal layer that is cured via the thermal energy in the heated optical element. | 06-24-2010 |
20100155764 | GLASS-COVERED LIGHT-EMITTING ELEMENT AND GLASS-COVERED LIGHT-EMITTING DEVICE - There is provided a glass-covered light-emitting element and a glass-covered light-emitting device, which contain a properly low content of bubbles that reduce transmittance by scattering of visible light, and which are covered with a glass film having a total light transmittance of 85% or more | 06-24-2010 |
20100155765 | LIGHT EMITTING DEVICE HAVING VERTICAL STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device having a vertical structure, which includes a semiconductor layer having a first surface and a second surface, a first electrode arranged on the first surface of the semiconductor layer, a transparent conductive oxide (TCO) layer arranged on the second surface of the semiconductor layer and a second electrode arranged on the TCO layer. | 06-24-2010 |
20100163895 | LIGHT EMITTING DEVICE - Provided is a compound light emitting device which facilitates easy connection of power supply lines, and has a high emission intensity in-plane uniformity. The light emitting device includes a first-conduction-type cladding layer, active layer structure, and second-conduction-type cladding layer each containing a III-V compound semiconductor. The first-conduction-type cladding layer and second-conduction-type cladding layer sandwich the active layer structure. The light emitting device includes a first-conduction-type-side electrode ( | 07-01-2010 |
20100163896 | Nitride Red Phosphors and White Light Emitting Diode Using Rare-Earth-Co-Doped Nitride Red Phosphors - Disclosed are nitride red phosphors and white light emitting diodes using the same. More particularly, the present invention provides a nitride red phosphor with easily controlled composition of phosphor fraction and improved uniformity and color gamut thereof, a method for preparation thereof, a white light emitting diode with excellent color rendition and high light emitting efficiency, and a white light emitting diode package using the same. | 07-01-2010 |
20100163897 | FLEXIBLE LIGHT SOURCE DEVICE AND FABRICATION METHOD THEREOF - A flexible light source device including a substrate, a light emitting device, a molding compound, a dielectric layer, and a metal line is provided. The substrate has a first surface, a second surface opposite to the first surface, and a first opening. The light emitting device is disposed on the first surface of the substrate and covers the first opening. The molding compound is located above the first surface and covers the light emitting device. The dielectric layer is disposed on the second surface and covers a sidewall of the first opening. The dielectric layer has a second opening which exposes part of the light emitting device. The metal line is disposed on the dielectric layer, wherein the metal line is electrically connected to the light emitting device via the second opening in the dielectric layer. Additionally, a fabrication method of the flexible light source device is also provided. | 07-01-2010 |
20100163898 | LIGHT EMITTING DIODE APPARATUS - A light emitting diode apparatus comprises a substrate having a circuit pattern, a reflection layer disposed on the substrate, at least one light emitting element disposed on the reflection layer, a reflector disposed around the at least one light emitting element, a sealing material formed over the at least one light emitting element and a phosphor layer disposed over the sealing material. The light emitting element comprises a conductive portion electrically coupled to the circuit pattern. In one embodiment, a plurality of light emitting elements are linearly arrayed, and a spacer is disposed between every two adjacent light emitting elements. | 07-01-2010 |
20100163899 | WHITE LIGHT EMITTING DEVICE - A white light emitting device is disclosed. The white light emitting device includes a blue light emitting diode (LED) including a plurality of active layers generating different peak wavelengths, and phosphors emitting yellow light when excited by light emitted from the blue LED. The white light emitting device ensures enhanced excitation efficiency of the phosphors, and high luminance. | 07-01-2010 |
20100163900 | LIGHT EMITTING DEVICE HAVING PLURALITY OF NON-POLAR LIGHT EMITTING CELLS AND METHOD OF FABRICATING THE SAME - Disclosed are a light emitting device having a plurality of non-polar light emitting cells and a method of fabricating the same. This method comprises preparing a first substrate of sapphire or silicon carbide having an upper surface with an r-plane, an a-plane or an m-plane. The first substrate has stripe-shaped anti-growth patterns on the upper surface thereof, and recess regions having sidewalls of a c-plane between the anti-growth patterns. Nitride semiconductor layers are grown on the substrate having the recess regions, and the nitride semiconductor layers are patterned to form the light emitting cells separated from one another. Accordingly, there is provided a light emitting device having non-polar light emitting cells with excellent crystal quality. | 07-01-2010 |
20100163901 | NITRIDE SEMICONDUCTOR LIGHT EMITTING ELEMENT - In a nitride semiconductor light emitting element, a light transmitting substrate has an upper surface on which a nitride semiconductor layer including at least a light emitting layer is formed. On the upper surface of the light transmitting substrate, recess regions and rise regions are formed. One of each of the recess regions and each of the rise regions is formed by a polygon having at least one apex having an interior angle of 180° or greater when viewed in a planar view. The other of each of the recess regions and each of the rise regions is formed not to be connected to one another in a straight line when viewed in a planar view. A nitride semiconductor light emitting element having such a configuration has excellent light extraction efficiency and can be manufactured at a moderate cost. | 07-01-2010 |
20100163902 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device. The light emitting device includes a light emitting structure comprising an active layer to generate first light, a first conductive semiconductor layer on the active layer, and a second conductive semiconductor layer on the active layer so that the active layer is disposed between the first and second conductive semiconductor layers, wherein a portion of the light emitting structure is implanted with at least one element which generates second light from the first light. | 07-01-2010 |
20100163903 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device is provided. The semiconductor light emitting device comprises a plurality of compound semiconductor layers including a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer, and a layer of the plurality of compound semiconductor layers comprising a roughness comprising a sapphire material. | 07-01-2010 |
20100163904 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND LIGHT-EMITTING DEVICE PACKAGE HAVING THE SAME - Provided are a semiconductor light-emitting device and a light-emitting device package having the same. The semiconductor light-emitting device comprises a light-emitting structure, a first electrode unit, and a second electrode layer. The light-emitting structure comprises a plurality of compound semiconductor layers having a rounded side surface at an outer edge. The first electrode unit is disposed on the light-emitting structure. The second electrode layer is disposed under the light-emitting structure. | 07-01-2010 |
20100163905 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package and a method for manufacturing the same are provided. The light emitting device package comprises a package body including a cavity disposed at an upper portion. The light emitting device package includes an insulating layer disposed on a surface of the package body. The light emitting device package includes a plurality of metal layers disposed on the insulating layer. The light emitting device package includes a light emitting device disposed in the cavity. The light emitting device package includes a first metal plate disposed at a rear surface of the package body at a location corresponding to the light emitting device. | 07-01-2010 |
20100163906 | Light Emitting Device with Air Bars and Method of Manufacturing the Same - Disclosed are a light emitting device having at least one air bar capable of improving light extracting efficiency and a method of manufacturing the same. With the present invention, there is provided a method of manufacturing a light emitting device including a semiconductor layer(s) having an air-bar layer(s) with a plurality of air bars. The method includes at least one process cycle for forming the semiconductor layer(s). The process cycle includes: forming a patterning thin-film layer on a substrate or a thin-film layer; forming on the patterning thin-film layer an etching guide pattern and an air-bar pattern connected to the etching guide pattern; forming a semiconductor layer(s) on the patterns and exposing the etching guide pattern; wet-etch the exposed etching guide pattern by using a wet-etching solution; and etch the air-bar pattern connected to the etching guide pattern. | 07-01-2010 |
20100163907 | CHIP LEVEL PACKAGE OF LIGHT-EMITTING DIODE - The application discloses a light-emitting diode chip level package structure including: a permanent substrate having a first surface and a second surface; a first electrode on the first surface; a second electrode on the second surface; an adhesive layer on where the first surface of the permanent substrate is not covered by the first electrode; a growth substrate on the adhesive layer; a patterned semiconductor structure on the growth substrate; a third electrode and a fourth electrode on the patterned semiconductor structure and electrically connect with the patterned semiconductor structure; an electrical connecting structure on the sidewall of the patterned semiconductor structure electrically connecting the third electrode and the fourth electrode with the first electrode; and an insulation layer located on the side wall of the patterned semiconductor structure and between the electrical connecting structure for electrically insulating the patterned semiconductor structure. | 07-01-2010 |
20100163908 | Light emitting device having vertical structrue and method for manufacturing the same - A light emitting device having a vertical structure and a method for manufacturing the same, which are capable of damping impact generated during a substrate separation process and achieving an improvement in mass productivity, are disclosed. The light emitting device includes a semiconductor layer having a multilayer structure, a first electrode arranged at one surface of the semiconductor layer, a metal support arranged on the first electrode, and an impact damping layer arranged between the first electrode and the metal support, and made of a metal having a ductility higher than a ductility of a metal for the metal support. | 07-01-2010 |
20100163909 | MANUFACTURING METHOD AND STRUCTURE OF LIGHT-EMITTING DIODE WITH MULTILAYERED OPTICAL LENS - A manufacturing method and a structure of a light-emitting diode (LED) with a multilayered optical lens are provided. The manufacturing method includes the steps of: providing an LED chip; forming at least one inner protective layer covering the LED chip and its wire connecting points; and forming an outer protective layer covering the inner protective layer. Both the inner and outer protective layers are optical resin layers while the inner protective layer is harder than the outer protective layer. The structure of the LED includes: an LED chip; at least one inner protective layer covering the LED chip and its wire connecting points; and an outer protective layer covering the said inner protective layer. The relatively hard said inner protective layer can resist external force transmitted by the outer protective layer and protect the LED chip and its wire connecting points from damage by the external force. | 07-01-2010 |
20100163910 | LIGHT EMITTING DIODE - An LED chip ( | 07-01-2010 |
20100163911 | ELECTRODE STRUCTURES FOR LEDS WITH INCREASED ACTIVE AREA - An electrode structure is disclosed for enhancing the brightness and/or efficiency of an LED. The electrode structure can have a metal electrode and an optically transmissive thick dielectric material formed intermediate the electrode and a light emitting semiconductor material. The electrode and the thick dielectric cooperate to reflect light from the semiconductor material back into the semiconductor so as to enhance the likelihood of the light ultimately being transmitted from the semiconductor material. Such LED can have enhanced utility and can be suitable for uses such as general illumination. The semiconductor material can have a cutout formed therein and a portion of the electrode can be formed outside of the cutout and a portion of the electrode can be formed inside of the cutout. The portion of the electrode outside the cutout can be electrically isolated from the semiconductor material by the dielectric material. | 07-01-2010 |
20100163912 | NITRIDE-BASED SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A nitride-based semiconductor light emitting device having an improved structure in which light extraction efficiency is improved and a method of manufacturing the same are provided. The nitride-based semiconductor light emitting device comprises an n-clad layer, an active layer, and a p-clad layer, which are sequentially stacked on a substrate, wherein the n-clad layer comprises a first clad layer, a second clad layer, and a light extraction layer interposed between the first clad layer and the second clad layer and composed of an array of a plurality of nano-posts, the light extraction layer diffracting or/and scattering light generated in the active layer. | 07-01-2010 |
20100163913 | LAMP AND METHOD OF PRODUCING A LAMP - A method of producing a lamp, including: mounting light emitting junctions in respective receptacles; mounting the receptacles on a curved support structure so as to form a three-dimensional array; and placing the light emitting junctions in electrical connection with the support structure. | 07-01-2010 |
20100163914 | LIGHT EMITTING DEVICE - A light emitting device, in which an encapsulation resin is disposed at a space confined between an optical member and a mounting substrate. This encapsulation resin is possibly made free from a void-generation therein. In this light emitting device, the optical member can be precisely positioned. An electrode disposed outside a color conversion member is possibly free from an improper solder connection. A ring gate is formed on the top surface of the mounting substrate outside of the optical member, and acts to position the color conversion member. The ring gate acts to prevent an overflowing liquid encapsulation resin from flowing to the electrode provided. The ring gate is provided with a plurality of centering projections which are spaced circumferentially along its inner circumference to position the color conversion member. | 07-01-2010 |
20100171133 | CAPSULAR MICRO LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a capsular micro light-emitting device and a method for manufacturing the same. The capsular micro light-emitting device includes a light emitting part having at least a light emitting layer | 07-08-2010 |
20100171134 | OPTICAL CONVERTER AND MANUFACTURING METHOD THEREOF AND LIGHT EMITTING DIODE - The present invention relates to an optical converter and a manufacturing method thereof and a light emitting diode. An optical converter for a light emitting diode includes two substrates, in which, a annular first cavity wall is arranged between the two substrates, and an airtight space filled with an optical conversion substance is surrounded by the first cavity wall and the two substrates. The invention implements the encapsulation and manufacturing of the optical conversion substance for the LED. The structure and the manufacturing method according to the invention can be utilized to encapsulate an active optical conversion substance in the optical converter while avoiding the active optical conversion substance reacting to other active substance, e.g., oxygen, during manufacturing. Furthermore, the optical conversion substance is encapsulated with wafer level chip size packaging to thereby improve the efficiency of manufacturing the optical converter and reduce the cost. | 07-08-2010 |
20100171135 | Optoelectronic Semiconductor Body and Method for Producing the Same - The invention relates to an opto-electronic semiconductor body having a semiconductor layer sequence ( | 07-08-2010 |
20100171136 | LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - The present invention provides a method of fabricating a light emitting diode, which comprises the steps of forming a compound semiconductor layer on a substrate, the compound semiconductor layer including a lower semiconductor layer, an active layer and an upper semiconductor layer; and scratching a surface of the substrate by rubbing the substrate with an abrasive. According to the present invention, the abrasive is used to rub and scratch the surface of the light emitting diode, thereby making it possible to cause the light emitted from the active layer to effectively exit to the outside. Therefore, the light extraction efficiency of the light emitting diode can be improved. | 07-08-2010 |
20100171137 | LIGHT EMITTING DEVICE AND LAYERED LIGHT EMITTING DEVICE - A light emitting device includes a support part, a first cladding layer formed above the support part, an active layer formed above the first cladding layer, a second cladding layer formed above the active layer, and a reflective part formed above the support part and separated from the active layer. At least a portion of the active layer constitutes a plurality of gain regions. Each of the plurality of gain regions is provided at an angle with respect to a line normal to a first lateral surface of the active layer, from the first lateral surface to a second lateral surface of the active layer that is parallel to the first lateral surface. The plurality of gain regions forms at least one gain region pair. A first gain region that is one of the gain region pair is provided in one direction. A second gain region that is the other of the gain region pair is provided in another direction different from the one direction. At least a portion of an end surface of the first gain region, the end surface being located on the side of the second lateral surface, and at least a portion of an end surface of the second gain region, the end surface being located on the side of the second lateral surface, overlap with each other. Light emitted from the end surface of the first gain region, the end surface being located on the side of the first lateral surface, is reflected by the reflective part, and propagates in the same direction or in the focusing direction with light emitted from the end surface of the second gain region, the end surface being located on the side of the first lateral surface. | 07-08-2010 |
20100171138 | Light Emitting Device and Electronic Device - Thinned and highly reliable light emitting elements are provided. Further, light emitting devices in which light emitting elements are formed over flexible substrates are manufactured with high yield. One light emitting device includes a flexible substrate, a light emitting element formed over the flexible substrate, and a resin film covering the light emitting element, and in the light emitting element, an insulating layer serving as a partition has a convex portion and the convex portion is embedded in the resin film, that is, the resin film covers an entire surface of the insulating layer and an entire surface of the second electrode, whereby the light emitting element can be thinned and highly reliable. In addition, a light emitting device can be manufactured with high yield in a manufacturing process thereof. | 07-08-2010 |
20100171139 | LIGHT EMITTING DEVICE - A light emitting device includes: a light emitting element;
| 07-08-2010 |
20100171140 | SEMICONDUCTOR LIGHT EMITTING DEVICE - There is provided a semiconductor light emitting device that minimizes reflection or absorption of emitted light, maximizes luminous efficiency with the maximum light emitting area, enables uniform current spreading with a small area electrode, and enables mass production with high reliability and high quality. A semiconductor light emitting device according to an aspect of the invention includes first and second conductivity type semiconductor layers, an active layer formed therebetween, first electrode layer, and a second electrode part electrically connecting the semiconductor layers. The second electrode part includes an electrode pad unit, an electrode extending unit, and an electrode connecting unit connecting the electrode pad unit and electrode extending unit. | 07-08-2010 |
20100171141 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a silicon substrate, a p-type semiconductor layer provided on the silicon substrate, a n-type semiconductor layer provided on the silicon substrate, the n-type semiconductor layer adjoining the p-type semiconductor layer, and a light emitting section formed at a p-n homojunction between the p-type semiconductor layer and the n-type semiconductor layer. The p-n homojunction is substantially perpendicular to a major surface of the silicon substrate. The p-n homojunction is corrugated with a period matched with an integer multiple of an emission wavelength at the light emitting section. | 07-08-2010 |
20100176407 | METHOD FOR MANUFACTURING LIGHT EMITTING DIODE PACKAGE AND PACKAGE STRUCTURE THEREOF - The present invention relates to a method for forming a package structure for a light emitting diode (LED) and the LED package structure thereof. By employing the same sawing process to cut through the trenches of the leadframe, the package units are singulated and different lead portions are simultaneously separated from each other in each package unit. Therefore, the overflow issues of the encapsulant can be avoided without using extra taping process. | 07-15-2010 |
20100176408 | LIGHT-EMITTING DIODE WITH HIGH LIGHTING EFFICIENCY - The invention discloses a light-emitting diode, including a substrate, a first conductive type semiconductor layer, a second conductive type semiconductor layer, a light-emitting layer and plural laminated structures. The first conductive type semiconductor layer, the light-emitting layer and the second conductive type semiconductor layer are formed on the substrate in sequence. The plural laminated structures are formed on the upper surface of the second conductive type semiconductor layer such that the upper surface is partially exposed. Each laminated structure consists of at least one first insulated layer with a high refractive index and at least one second insulated layer with a low refractive index, where the at least one first insulated layer and the at least one second insulated layer are alternately formed to obtain said each laminated structure. Thereby, light emitted from the light-emitting layer can be reflected by the laminated structures to enhance the light-extraction efficiency. | 07-15-2010 |
20100176409 | WHITE OR ULTRAVIOLET LEDS CONTAINING A GETTER SYSTEM | 07-15-2010 |
20100176410 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A wiring electrode is provided on a mount substrate. A light emitting element is provided on the wiring electrode to connect electrically with the wiring electrode and is configured to emit a blue to ultraviolet light. A reflective film is provided above the light emitting element to cover the light emitting element so that a space is interposed between the reflective film and the light emitting element. The reflective film is capable of transmitting the blue to ultraviolet light. A fluorescent material layer is provided above the light emitting element to cover the light emitting element so that the reflective film is located between the fluorescent material layer and the light emitting element. A light from the fluorescent material layer is reflected by the reflective film. | 07-15-2010 |
20100176411 | FLUORESCENT-LAMP-TYPE LED LIGHTING DEVICE - To provide a fluorescent-lamp-type LED lighting device that has a large amount of light intensity and that can replace a highly efficient existing lighting device, the fluorescent-lamp-type LED lighting device comprises an LED ( | 07-15-2010 |
20100176412 | ORGANIC EL DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic EL device includes an insulative film, a first pixel electrode and a second pixel electrode which are disposed on the insulative film, a first light emission layer which is commonly disposed above the first pixel electrode and the second pixel electrode, a second light emission layer which is disposed above the first light emission layer, a counter-electrode which is disposed above the second light emission layer, and an exciton block layer which is disposed between the first light emission layer and the second light emission layer. | 07-15-2010 |
20100176413 | LIGHT-EMITTING DIODE DEVICE INCLUDING A MULTI-FUNCTIONAL LAYER - A light-emitting diode device includes: a substrate; a light-emitting layered structure formed on the substrate; a multi-functional layer having a first main portion and formed on the light-emitting layered structure for spreading current laterally and for reflecting light emitted from the light-emitting layered structure; and first and second electrodes electrically coupled to the light-emitting layered structure. The first electrode is formed on the light-emitting layered structure and has a first electrode main part. The first main portion of the multi-functional layer is aligned below and is provided with a size larger than that of the first electrode main part. | 07-15-2010 |
20100176414 | PACKAGING STRUCTURE OF LIGHT-EMITTING COMPONENTS - The present invention provides a packaging structure of light-emitting components, comprising at least a light-emitting component, at least a connection wire, a base, at least a reflection surface, and an insulator, and characterized in that: the light-emitting component produces a light source and corresponding heat energy; the connection wire is coupled to the light-emitting component and at least an electrode; the electrode is disposed on the base; the light-emitting component is disposed on the base; the light-emitting component includes a heat-conducting base; the reflection surface reflects the light source produced by the light emitting component; the insulator insulates the electrode from the reflection surface; and the reflection surface is formed integrally with the heat-conducting base. | 07-15-2010 |
20100176415 | LIGHT EMITTING DEVICE WITH IMPROVED LIGHT EXTRACTION EFFICIENCY - A light emitting device having a high degree of light extraction efficiency includes a substrate, and a light emitting structure disposed on one surface of the substrate, the substrate having an internal reformed region where the index of refraction differs from the remainder the substrate. The ratio of the depth of the reformed region (distance between the other surface of the substrate and the reformed region) to the thickness of the substrate is in a range of between 1/8 and 9/11. | 07-15-2010 |
20100176416 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A light emitting device and a method of manufacturing the same are disclosed. The light emitting device includes a buffer layer formed on a substrate, a nitride semiconductor layer including a first semiconductor layer, an active layer, and a second semiconductor layer, which are sequentially stacked on the buffer layer, a portion of the first semiconductor layer being exposed to the outside by performing mesa etching from the second semiconductor layer to the portion of the first semiconductor layer, and at least one nanocone formed on the second semiconductor layer. | 07-15-2010 |
20100181584 | LASER LIFT-OFF WITH IMPROVED LIGHT EXTRACTION - A light emitting device includes a stack of semiconductor layers defining a light emitting pn junction and a dielectric layer disposed over the stack of semiconductor layers. The dielectric layer has a refractive index substantially matching a refractive index of the stack of semiconductor layers. The dielectric layer has a principal surface distal from the stack of semiconductor layers. The distal principal surface includes patterning, roughening, or texturing configured to promote extraction of light generated in the stack of semiconductor layers. | 07-22-2010 |
20100181585 | ILLUMINATION SYSTEM COMPRISING A COMPOUND WITH LOW THERMAL EXPANSION COEFFICIENT - The invention relates to an illumination system with a material having a low or negative thermal expansion coefficient in order to compensate for the thermal expansion of the further materials present in the illumination system. | 07-22-2010 |
20100181586 | LIGHT EMITTING DEVICE - A light emitting device That includes a first photonic crystal structure having a reflective layer and non-metal pattern elements on the reflective layer, a second conductive semiconductor layer on both the reflective layer and the non-metal pattern elements, an active layer on the second conductive semiconductor layer, and a first conductive semiconductor layer on the active layer. | 07-22-2010 |
20100181587 | LED packaging structure and fabricating method thereof - A LED (light emitting diode) packaging structure includes a base, a LED chip, a gel-blocking structure and a phosphor layer. The LED chip disposed on the base and electrically connected to the base. The LED chip having a substrate and a semiconductor layer formed on the substrate. The gel-blocking structure is disposed on the substrate of the LED chip and surrounding the semiconductor layer. The phosphor layer is filled within a space defined by the gel-blocking structure, the substrate and the semiconductor layer. The present invention also discloses a fabricating method of the LED packaging structure. | 07-22-2010 |
20100181588 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device includes an n-type semiconductor layer, a p-type semiconductor layer, and an active layer disposed therebetween, and a surface plasmon layer disposed between the active layer and at least one of the n-type and p-type semiconductor layers, including metallic particles and an insulating material, and including a conductive via for electrical connection between the active layer and the at least one of the n-type and p-type semiconductor layers, wherein the metallic particles are enclosed by the insulating material to be insulated from the at least one of the n-type and p-type semiconductor layers. The semiconductor light emitting device can achieve enhanced emission efficiency by using surface plasmon resonance. Using the semiconductor light emitting device, the diffusion of a metal employed for surface plasmon resonance into the active layer can be minimized. | 07-22-2010 |
20100181589 | CHIP PACKAGE STRUCTURE AND METHOD FOR FABRICATING THE SAME - The invention provides a chip package structure and method for fabricating the same. The chip package structure includes a carrier substrate. A plurality of isolated conductive layers is disposed on the carrier substrate. At least one chip is disposed on the carrier substrate, wherein the chip has a plurality of electrodes. The electrodes are electrically connected to the conductive layers. A conductive path is disposed in the carrier substrate, electrically connected to the electrodes through the conductive layers, wherein the conductive path comprises a plurality of laminating holes. | 07-22-2010 |
20100181590 | LIGHT-EMITTING DIODE ILLUMINATING APPARATUS - The invention provides a light-emitting diode illuminating apparatus. The light-emitting diode illuminating apparatus includes a carrier, a substrate, a light-emitting diode die, and a micro-lens assembly. The carrier includes a top surface and a bottom surface. A first recess is formed on the top surface of the carrier. A second recess is formed on the bottom surface of the carrier. The first recess is connected to the second recess. The substrate is embedded into the second recess. The light-emitting diode die is disposed on the substrate. The micro-lens assembly is disposed above the light-emitting diode die. | 07-22-2010 |
20100181591 | LED ILLUMINATION DEVICE USING DIFFRACTION MEMBER - An object of this invention is to provide an LED illumination device that can substitute for a fluorescent light and obtain uniform light with high efficiency. The LED illumination device comprises an LED with a thin-plate-shaped semiconductor element body transmitting the light generated in a PN junction area in a thickness direction and emits it from the surface, a surface electrode that covers the surface of the semiconductor element body, and columnar dielectric antennas that penetrate the surface electrode in the thickness direction and that condense the light transmitted in a body of the semiconductor element and emit it outside, a diffraction member that is arranged on a luminous surface side of the LED and that diffracts and disperses the light emitted by the LED, and a diffusion member that is arranged outside the diffraction member and that diffuses the light dispersed by the diffraction member and emits it outside. | 07-22-2010 |
20100181592 | Semiconductor Device and Method of Manufacturing Same - A FET is formed on a semiconductor substrate, a curved surface having a radius of curvature is formed on an upper end of an insulation, a portion of a first electrode is exposed corresponding to the curved surface to form an inclined surface, and a region defining a luminescent region is subjected to etching to expose the first electrode. Luminescence emitted from an organic chemical compound layer is reflected by the inclined surface of the first electrode to increase a total quantity of luminescence taken out in a certain direction. | 07-22-2010 |
20100187550 | LIGHT EMITTING DIODE - In a preferred embodiment, a light emitting device comprising: a polar template; a p-type layer grown on the polar template; the p-type layer having a first polarization vector; the first polarization vector having a first projection relative to a growth direction; an n-type layer grown on the p-type layer; the n-type layer having a second polarization vector; the second polarization vector having a second projection relative to said growth direction that is larger than the first projection of the first polarization vector for the p-type layer; the n-type layer and p-type layer forming an interface; whereby the first polarization vector in the p-layer and second polarization vector in the n-layer create a discontinuity at the interface resulting in a negative charge appearing at the interface. In another preferred embodiment, a light emitting device comprising: a polar template; a n-type layer grown on the template; the n-type layer having a first polarization vector; the first polarization vector having a first projection relative to a growth direction; an p-type layer grown on the n-type layer; the p-type layer having a second polarization vector; the second polarization vector having a second projection relative to said growth direction that is larger than the first projection of the first polarization vector for the p-type layer; the n-type layer and p-type layer forming an interface; whereby the first polarization vector in the p-layer and second polarization vector in the n-layer create a discontinuity at the interface resulting in a negative charge appearing at the interface. | 07-29-2010 |
20100187551 | LIGLIGHT EMITTING DIODE PACKAGE STRUCTURE - An LED package structure includes a carrier, a housing, an LED chip, a encapsulant and a surface treatment layer. The housing is disposed on the carrier and has an upper surface, wherein the housing and the carrier together form a chip-containing cavity. The LED chip is disposed on the carrier and located in the chip-containing cavity. The encapsulant is disposed in the chip-containing cavity and encapsulates the LED chip. The surface treatment layer is disposed on the upper surface of the housing to prevent the encapsulant from adhering to the upper surface of the housing. | 07-29-2010 |
20100187552 | HYBRID WHITE ORGANIC LIGHT EMITTTNG DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are a hybrid white organic light emitting diode (OLED) and a method of fabricating the same. A HOMO level difference between a fluorescent emission layer and an electron transport layer in an organic emission layer (OLED) becomes higher than that between the other layers or a LUMO level difference between a fluorescent emission layer and a hole transport layer is higher than that between the other layers, so that a recombination region is restricted to a part of an emission layer to obtain high-efficiency fluorescent light emission. In addition, triplet excitons that are not used in a fluorescent emission layer are transferred to an auxiliary emission layer formed to be spaced apart from a recombination region by a predetermined distance to emit light in a different color from the fluorescent emission layer, so that both singlet and triplet excitons formed in the OLED are used to obtain high-efficiency white light emission. | 07-29-2010 |
20100187553 | LIGHT EMITTING DIODE PACKAGE STRUCTURE AND METHOD THEREOF - An LED package structure includes a carrier substrate, a reflector and an LED chip. The reflector is disposed on the carrier substrate and includes a base, a magnesium fluoride layer and a cerium dioxide layer. The base has an opening to expose a part of the carrier substrate. The magnesium fluoride layer is disposed on the inside wall of the opening and the cerium dioxide layer is disposed on the magnesium fluoride layer. The LED chip is disposed in the opening and located on the carrier substrate. | 07-29-2010 |
20100187554 | LIGHT EMITTING DEVICE HAVING VERTICAL STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device having a vertical structure and a method for manufacturing the same, which are capable of increasing light extraction efficiency, are disclosed. The method includes forming a light extraction layer on a substrate, forming a plurality of semiconductor layers on the light extraction layer, forming a first electrode on the semiconductor layers, forming a support layer on the first electrode, removing the substrate, and forming a second electrode on a surface from which the substrate is removed. | 07-29-2010 |
20100187555 | (Al,Ga,In)N AND ZnO DIRECT WAFER BONDED STRUCTURE FOR OPTOELECTRONIC APPLICATIONS, AND ITS FABRICATION METHOD - An (Al, Ga, In)N and ZnO direct wafer bonded light emitting diode (LED), wherein light passes through electrically conductive ZnO. Flat and clean surfaces are prepared for both the (Al, Ga, In)N and ZnO wafers. A wafer bonding process is then performed between the (Al, Ga, In)N and ZnO wafers, wherein the (Al, Ga, In)N and ZnO wafers are joined together and then wafer bonded in a nitrogen ambient under uniaxial pressure at a set temperature for a set duration. After the wafer bonding process, ZnO is shaped for increasing light extraction from inside of LED. | 07-29-2010 |
20100187556 | Light Emitting Device Package And Method For Manufacturing The Same - A light emitting device package capable of emitting uniform white light and a method for manufacturing the same are disclosed. The light emitting device package includes a package body, an electrode formed on at least one surface of the package body, a light emitting device mounted on the package body, and a phosphor layer enclosing the light emitting device while having a uniform thickness around the light emitting device. | 07-29-2010 |
20100193809 | LIGHT EMITTING DIODE STRUCTURE, LED PACKAGING STRUCTURE USING THE SAME AND METHOD OF FORMING THE SAME - A light emitting diode (LED) structure, a LED packaging structure, and a method of forming LED structure are disclosed. The LED structure includes a sub-mount, a stacked structure, an electrode, an isolation layer and a conductive thin film layer. The sub-mount has a first surface and a second surface opposite the first surface. The stacked structure has a first semiconductor layer, an active layer and a second semiconductor layer that are laminated on the first surface. The electrode is disposed apart from the stacked structure on the first surface. The isolation layer is disposed on the first surface to surround the stacked structure as well as cover the lateral sides of the active layer. The conductive thin film layer connects the electrode to the stacked structure and covers the stacked structure. | 08-05-2010 |
20100193810 | Optical Device and the Forming Method Thereof - An optical device is provided which includes a first electrode; a substrate disposed on the first electrode; a plurality of multi-layer film structures disposed on the substrate, and the multi-layer film structure consisted of at least two insulated layer with different reflection index formed alternately; a first semiconductor conductive layer disposed on the substrate to cover the multi-layer film structure; an active layer disposed on the first semiconductor conductive layer; a second semiconductor conductive layer disposed on the active layer; a transparent conductive layer disposed on the second semiconductor conductive layer; and a second electrode disposed on the transparent conductive layer, thereby, the multi-layer structure can increase the light reflective effect or anti-reflective effect within the optical device to improve the light emitting effective. | 08-05-2010 |
20100193811 | LIGHT EMITTING DIODE - The invention discloses a light-emitting diode including a substrate, a main stack structure, a plurality of secondary pillars, a transparent insulating material, a transparent conducting layer, a first electrode and a second electrode. The pillars are formed on the substrate and surrounding the main stack structure. The main stack structure and each of the pillars has a first conducting-type semiconductor layer, a luminescing layer, and a second conducting-type semiconductor layer formed on the substrate in sequence. The transparent insulating material fills the gaps between the pillars and is as high as the pillars. The transparent conducting layer is coated on the main stack, the pillars and the transparent insulating material. The first electrode is formed on the transparent conducting layer and second electrode is formed on the first conducting-type semiconductor layer. | 08-05-2010 |
20100193812 | LIGHT-EMITTING DIODE - The invention discloses a light-emitting diode which comprises a substrate, a first conducting-type semiconductor layer, plural pillars, a transparent insulating material, an illuminating layer, a second conducting-type semiconductor layer, a first transparent conducting layer and a second transparent conducting layer. The first conducting-type semiconductor layer is formed on the substrate, and the top surface of the first conducting-type semiconductor layer comprises a first region and a second region surrounded by the first region. The pillars are formed on the first region. The transparent insulating material is filled in the gaps between the pillars to be as high as the pillars. The illuminating layer is formed on the second region, and the second conducting-type semiconductor layer is formed on the illuminating layer. The first transparent conducting layer is formed on the second conducting-type semiconductor layer, and the second transparent conducting layer is formed on a top surface of the pillars and the transparent insulating material. | 08-05-2010 |
20100193813 | LIGHT-EMITTING DIODE - The invention discloses a light-emitting diode comprising a substrate, a primary stack structure, a secondary stack structure, a transparent insulating material and a transparent conducting layer in an embodiment. Each of the primary and the secondary stack structure has a first conducting-type semiconductor layer, an illuminating layer, and a second conducting-type semiconductor layer sequentially formed on the substrate, wherein plural pillar-like holes are formed at the top surface of the second conducting-type semiconductor layer of the secondary stack structure and protrude into the first conducting-type semiconductor layer of the secondary stack structure. The transparent insulating material is filled into the holes. The transparent conducting layer is coated on the primary stack structure, the transparent insulating material, and the top surface of the second conducting-type semiconductor layer of the secondary stack structure. | 08-05-2010 |
20100193814 | LIGHT-EMITTING DIODE - The invention discloses a light-emitting diode which includes a substrate on which a first conducting-type semiconductor layer, an illuminating layer and a second conducting-type semiconductor layer are formed sequentially, a transparent insulating material, a first transparent conducting layer, and a second transparent conducting layer. The top surface of the first conducting-type semiconductor layer includes a first region and a second region surrounded by the first region. Plural pillar-like holes are formed at the first region and protrude into the first conducting-type semiconductor layer. The transparent insulating material fills up the holes. The first transparent conducting layer is formed on the second conducting-type semiconductor layer, and the second transparent conducting layer is formed on the top surface of the transparent insulating material and on the first region. | 08-05-2010 |
20100193815 | Method for the Manufacture of an Optoelectronic Component and an Optoelectronic Component - A method is disclosed for the manufacture of an optoelectronic component. A substrate has a first primary face and a second primary face that lies opposite the first primary face. A semiconductor body that is capable of emitting electromagnetic radiation from a front side is attached to the first primary face of the substrate. A covering that is transparent to the radiation from the optoelectronic semiconductor body is applied to at least the front side of the semiconductor body. The covering is given the form of an optical element by using a closed cavity that is shaped with the contour of the optical element. | 08-05-2010 |
20100193816 | LIGHT EMITTING DIODE PACKAGE AND FABRICATION METHOD THEREOF - A light emitting diode package and a fabrication method thereof are provided. The light emitting diode package comprises a lead frame, having a frame body and a conductive layer covering the frame body. A reflector has a first portion and a second portion sandwiching the lead frame, wherein the first portion has a depression to expose the lead frame, and a light emitting diode chip is disposed on the lead frame in the depression. The fabrication method comprises forming a frame body and forming a conductive layer covering the frame body to form a lead frame. A first portion and a second portion of a reflector are formed to sandwich the lead frame, wherein the first portion has a depression to expose the lead frame. A light emitting diode chip is disposed on the lead frame in the depression. | 08-05-2010 |
20100193817 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD FOR MANUFACTURING THE SAME - The present invention provides an organic electroluminescence (EL) element that suppresses leakage current flowing between an upper electrode and an under electrode through an organic layer. The organic EL element ( | 08-05-2010 |
20100193818 | Radiation-Emitting Device - A radiation-emitting device has a radiation-emitting component with a layer stack with an active region that is formed for the emission of electromagnetic radiation. A microstructure layer is mechanically coupled to the layer stack and has elevations that extend away from the layer stack. A protective layer has a planar side facing away from the microstructure layer and is arranged on a side of the microstructure layer facing away from the layer stack. | 08-05-2010 |
20100193819 | LIGHT-EMITTING SURFACE ELEMENT AND METHOD FOR PRODUCING A LIGHT-EMITTING SURFACE ELEMENT - A light-emitting surface element includes a connection device, a light-generating element having at least two electrical connections electrically conductively connected to assigned connection lines on the connection device, and at least one planar light-guiding element formed by injection-molding in a manner at least partly embedding an arrangement composed of connection device and light-generating element in the planar light-guiding element. | 08-05-2010 |
20100193820 | ORGANIC ELECTROLUMINESCENCE DEVICE AND LUMINESCENCE APPARATUS - The invention provides an organic EL device including an anode, a cathode, and a luminescent portion positioned between the anode and cathode, the luminescent portion including two or more luminescent layers, each of the luminescent layers including plural primary luminescent layers that emit light of different colors, and each of the primary luminescent layers having a thickness of 5 nm or less. | 08-05-2010 |
20100193821 | OPTICAL ELEMENT PACKAGE AND METHOD OF MANUFACTURING THE SAME - An optical element package includes: an optical element in a form of a chip, and a lens resin having a convex lens surface covering an optical functional surface of the optical element. The convex lens surface is formed as a rough surface having a plurality of minute convex curved surfaces having a vertex in a direction perpendicular to a plane in contact with each part of the convex lens surface. | 08-05-2010 |
20100193822 | LIGHT EMITTING SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURE THEREOF - The light emitting device has a substrate, metallization including silver established on the surface of the substrate, a light emitting element mounted on the substrate, conducting wire that electrically connects the metallization and the light emitting element, light reflective resin provided on the substrate to reflect light from the light emitting element, and insulating material that covers at least part of the metallization surfaces. The insulating material is established to come in contact with the side of the light emitting element. This arrangement can suppress the leakage of light emitting element light from the substrate, and can achieve a light emitting device with high light extraction efficiency. | 08-05-2010 |
20100193823 | NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - There are provided a method of manufacturing a nitride semiconductor light emitting device and the nitride semiconductor light emitting device manufactured by the method, the method including: forming a light emitting structure by sequentially growing a first conductivity nitride layer, an active layer and a second conductivity type nitride layer on a preliminary substrate for nitride single crystal growth; separating the light emitting structure in accordance with a size of final light emitting device; forming a conductive substrate on the light emitting structure; polishing a bottom surface of the preliminary substrate to reduce a thickness of the preliminary substrate; forming uneven surface structures by machining the preliminary substrate; selectively removing the preliminary substrate to expose portions of the first conductivity type nitride layer; and forming electrodes on the portions of the first conductivity type nitride layer exposed by selectively removing the preliminary substrate. | 08-05-2010 |
20100193824 | 2-TERMINAL SEMICONDUCTOR DEVICE USING ABRUPT METAL-INSULATOR TRANSITION SEMICONDUCTOR MATERIAL - Provided is a 2-terminal semiconductor device that uses an abrupt MIT semiconductor material layer. The 2-terminal semiconductor device includes a first electrode layer, an abrupt MIT semiconductor organic or inorganic material layer having an energy gap less than 2 eV and holes in a hole level disposed on the first electrode layer, and a second electrode layer disposed on the abrupt MIT semiconductor organic or inorganic material layer. An abrupt MIT is generated in the abrupt MIT semiconductor material layer by a field applied between the first electrode layer and the second electrode layer. | 08-05-2010 |
20100200878 | Light-Generating Arrangement - The invention relates to a light-generating arrangement comprising a light-emitting semiconductor element provided with electric supply lines and a transparent light-directing element ( | 08-12-2010 |
20100200879 | PHOTOELECTRIC SEMICONDUCTOR DEVICE - A photoelectric semiconductor device has a metal wiring layer packed or embedded into a housing for enhancing package stability and electric connectivity. The housing has a cavity structure, and at least one LED chip and an encapsulating material are configured inside the cavity structure. The metal wiring layer locates inside the housing, or in other words, between the top surface and the bottom surface of the housing, and extends to the bottom of the cavity structure to electrically connect the LED chip. With fully wrapping around, the metal wiring layer has higher stability and more reliability from being harmed by outside changes in humidity and temperature. | 08-12-2010 |
20100200880 | SEMICONDUCTOR WAFERS AND SEMICONDUCTOR DEVICES AND METHODS OF MAKING SEMICONDUCTOR WAFERS AND DEVICES - Semiconductor wafers, semiconductor devices, and methods of making semiconductor wafers and devices are provided. Embodiments of the present invention are especially suitable for use with substrate substitution applications, such in the case of fabricating vertical LED. One embodiment of the present invention includes a method of making a semiconductor device, the method comprising providing a substrate; forming a plurality of polishing stops on the substrate, each of the plurality of polishing stops including ceramic material; growing one or more buffer layers on the substrate; and growing one or more epitaxial layers on the one or more buffer layers. Additionally, the steps of applying one or more metal layers to the one or more epitaxial layers, affixing a second substrate to the one or more metal layers and removing the base substrate using a mechanical thinning process may be performed. | 08-12-2010 |
20100200881 | Light Emitting Element and Illumination Device - A light emitting element comprises a semiconductor layer ( | 08-12-2010 |
20100200882 | THERMOSETTING LIGHT-REFLECTING RESIN COMPOSITION, OPTICAL SEMICONDUCTOR ELEMENT MOUNTING BOARD PRODUCED THEREWITH, METHOD FOR MANUFACTURE THEREOF, AND OPTICAL SEMICONDUCTOR DEVICE - There is provided a thermosetting light-reflecting resin composition that has a high level of various characteristics required of optical semiconductor element mounting boards, such as optical properties and thermal discoloration resistance, provides high releasability during molding such as transfer molding, and allows molding processes to be performed continuously. There are also provided a highly-reliable optical semiconductor element mounting board and an optical semiconductor device each produced with the resin composition, and methods for efficient production thereof. A thermosetting light-reflecting resin composition is prepared and used, which includes (A) an epoxy resin, (B) a curing agent, (C) a curing catalyst, (D) an inorganic filler, (E) a white pigment, (F) an additive, and (G) a release agent as major components, wherein the resin composition, after curing, has a diffuse reflectance of 80% or more at a light wavelength of 400 nm; and the resin composition is possible to perform transfer molding 100 times or more continuously. | 08-12-2010 |
20100200883 | METHOD FOR PRODUCING ORGANIC ELECTROLUMINESCENCE ELEMENT AND ORGANIC ELECTROLUMINESCENCE ELEMENT - A method for producing an organic electroluminescence element, the method including subjecting an anode to a surface treatment using at least one non-oxidizing gas, and forming a p-doped hole-injection layer on a surface of the anode subjected to the surface treatment. | 08-12-2010 |
20100200884 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device is provided. The light emitting device comprises: a conductive support substrate; a bonding layer on the conductive support substrate; a reflective layer on the bonding layer; and a light emitting structure layer on the reflective layer. The bonding layer comprises a solder bonding layer on the conductive support substrate and at least one of a diffusion barrier layer and an adhesion layer on the solder bonding layer, the solder bonding layer, the diffusion barrier layer, and the adhesion layer being formed of a metal or an alloy of which the Young's Modulus is 9 GPa to 200 GPa. | 08-12-2010 |
20100200885 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device and a method of fabricating thereof are provided. The method of fabricating the light emitting device comprises: providing a substrate having a first major surface and a second major surface; forming a plurality of light-emitting stacks on the first major surface; forming an etching protection layer on each of the light emitting stacks; forming a plurality of holes by a discontinuous laser beam on the substrate; etching the plurality of holes; and slicing off the substrate along the plurality of holes to form a light emitting device. The light emitting device has a substrate wherein the sidewall of the substrate comprising a first area with a substantially flat surface and a second area with substantially textured surface. | 08-12-2010 |
20100200886 | WAVELENGTH-CONVERTED SEMICONDUCTOR LIGHT EMITTING DEVICE - Embodiments of the invention include a light emitting structure comprising a light emitting layer. A first luminescent material comprising a phosphor is disposed in a path of light emitted by the light emitting layer. A second luminescent material comprising a semiconductor is also disposed in a path of light emitted by the light emitting layer. The second luminescent material is configured to absorb light emitted by the light emitting layer and emit light of a different wavelength. In some embodiments, one of the first and second luminescent materials may be bonded to the semiconductor structure. | 08-12-2010 |
20100200887 | LIGHT EMITTING DEVICE - A light emitting device including a thinned color conversion layer which emits a light with a minimized color ununiformity. The light emitting element includes an LED chip, a color conversion layer. The color conversion layer is made of a light-transmissive material containing a phosphor. The phosphor is excited by a light emitted from the LED chip to emit a light of a color having a wavelength longer than that of a luminescent color of the LED chip. The LED chip is provided at its top surface with a frame-shaped electrode which extends along its edge. The color conversion layer is formed on the top surface of the LED chip at an area surrounded by the frame-shaped electrode. | 08-12-2010 |
20100207139 | PHOTONIC MATERIAL HAVING REGULARLY ARRANGED CAVITIES - The invention relates to photonic materials having regularly arranged cavities containing at least one colorant, where the wall material of the photonic material has dielectric properties and as such is essentially non-absorbent for the wavelength of an absorption band of the respective colorant and is essentially transparent for the wavelength of a colorant emission which can be stimulated by the absorption wavelength, and the cavities are shaped in such a way that radiation having the wavelength of the weak absorption band of the colorant is stored in the photonic material, to the use thereof as phosphor system in an illuminant, to corresponding illuminants and production processes. | 08-19-2010 |
20100207140 | COMPACT MOLDED LED MODULE - A method of forming a light emitting diode (LED) module molds an array of lens support frames over an array of connected lead frames. LEDs are bonded to the lead frame contacts within the support frames. Molded lenses are then affixed over each support frame, and the lead frames are diced to create individual LED modules. In another embodiment, the lenses are molded along with the support frames to create unitary pieces, and the support frames are affixed to the lead frames in the array of connected lead frames. In another embodiment, no lenses are used, and cups are molded with the lead frames so that the LED module is formed solely of the unitary lead frame/cup and the LED. Since each LED enclosure is formed of only one or two separate pieces, and the modules are fabricated on an array scale, the modules can be made very small and simply. | 08-19-2010 |
20100207141 | LIGHT EMITTING DEVICE - A light emitting device (LED) is provided. The LED comprises a first conductivity type semiconductor layer, an active layer, and a second conductivity type semiconductor layer. The active layer is on the first conductivity type semiconductor layer. The second conductivity type semiconductor layer is on at least one side of the active layer and the first conductivity type semiconductor layer, and on the active layer. | 08-19-2010 |
20100207142 | LIGHT-EMITTING DIODE LIGHT SOURCE MODULE - A light-emitting diode (LED) light source module is described, comprising: a heat conduction substrate, wherein a surface of the heat conduction substrate includes a plurality of recesses; a plurality of light-emitting diode chips respectively disposed in the recesses; an insulation layer disposed on the surface of the heat conduction substrate outside of the recesses; an electric conduction layer disposed on the insulation layer, wherein the light-emitting diode chips are electrically connected to the electric conduction layer; and an encapsulation layer covering the light-emitting diode chips, the electric conduction layer and the insulation layer. | 08-19-2010 |
20100207143 | LIGHT EMITTING DEVICE - A light emitting device includes a carrier, a light emitting element disposed and electrically connected to the carrier, and a transparent plate disposed on the carrier and including a flat-portion and a lens-portion. The lens-portion covers the light emitting element and has a light incident surface, a light emitting surface, a first side surface and a second side surface. The light emitting element is suitable for emitting a light beam. A first partial beam of the light beam passes through the light incident surface and leaves from the light emitting surface. A second partial beam of the light beam passes through the light incident surface and is transmitted to the first side surface or the second side surface, and the first side surface or the second side surface reflects at least a part of the second partial beam of the light beam to be passed through the light emitting surface. | 08-19-2010 |
20100207144 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package including a package body including a plurality of discrete and separated three-dimensional-shaped indentations formed in an undersurface of the package body and configured to dissipate heat generated in the package body, a cavity in the package body, and a light emitting device including at least one emitting diode in the cavity of the package body and configured to emit light. | 08-19-2010 |
20100207145 | Thin film light emitting diode - Light emitting LEDs devices comprised of LED chips that emit light at a first wavelength, and a thin film layer over the LED chip that changes the color of the emitted light. For example, a blue LED chip can be used to produce white light. The thin film layer beneficially consists of a florescent material, such as a phosphor, and/or includes tin. The thin film layer is beneficially deposited using chemical vapor deposition. | 08-19-2010 |
20100207146 | Light emitting element - A light emitting element includes a semiconductor laminated structure including a first semiconductor layer of first conductivity type, a second semiconductor layer of second conductivity type different from the first conductivity type, and an active layer sandwiched between the first semiconductor layer and the second semiconductor layer, a surface electrode including a center electrode disposed on one surface of the semiconductor laminated structure and a thin wire electrode extending from a periphery of the center electrode, and a contact part disposed on a part of another surface of the semiconductor laminated structure extruding a part located directly below the surface electrode, in parallel along the thin wire electrode, and including a plurality of first regions forming the shortest current pathway between the thin wire electrode and a second region allowing the plural first regions to be connected. The surface electrode has an arrangement that the shortest current pathway between the center electrode and the contact part is longer than the shortest current pathway between the thin wire electrode and the first region, and the shortest current pathway between an end part of the thin wire electrode and the contact part is not shorter than the shortest current pathway between the thin wire electrode and the first region. | 08-19-2010 |
20100207147 | Semiconductor light emitting device and method of manufacturing the same - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device includes a first conductive semiconductor layer; an active layer on the first conductive semiconductor layer; and a second conductive semiconductor layer on the active layer, in which a patterned roughness is formed on a top surface of the second conductive semiconductor layer. | 08-19-2010 |
20100207148 | RADIATION-EMITTING COMPONENT - A radiation-emitting component includes a semiconductor layer stack having an active region that emits electromagnetic radiation, and at least one surface of the semiconductor layer stack or of an optical element that transmits the electromagnetic radiation wherein the surface has a normal vector, wherein on the at least one surface of the semiconductor layer stack or of the optical element through which the electromagnetic radiation passes, an antireflection layer is arranged such that, for a predetermined wavelength, it has a minimum reflection at a viewing angle relative to the normal vector of the surface at which an increase in a zonal luminous flux of the electromagnetic radiation has approximately a maximum. | 08-19-2010 |
20100207149 | ORGANIC LIGHT-EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode (OLED) including: a substrate; a reflection layer on the substrate and including metal; a first electrode on the reflection layer and including a light transparent aluminum zinc oxide (AZO); an organic layer on the first electrode and including an emitting layer; and a second electrode on the organic layer and including a semi-permeable reflection layer. | 08-19-2010 |
20100207150 | Light Emitting Diode Assembly and Methods - Exemplary systems and methods for LED light engines include an LED package with electrical leads, each lead forming a compliant portion for making electrical and mechanical connection upon insertion into a receptacle of a circuit substrate. In an illustrative example, the electrical and mechanical connections may be formed upon the insertion of the compliant portion into the receptacle and without further process steps involving solder. Various examples may further include an elongated thermal dissipation member extending from a bottom of a package that contains the LED, where the elongated thermal member (e.g., tab) may be in substantial thermal communication with the LED die. As an example, the tab may provide a substantially reduced thermal impedance for dissipating heat from the LED die. Upon insertion into a circuit substrate, the LED package may be releasable by mechanical extraction without applied heat to facilitate repair or replacement, for example. | 08-19-2010 |
20100207151 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device includes a light emitting structure including a first conductive semiconductor layer, an active layer below the first conductive semiconductor layer, and a second conductive semiconductor layer below the active layer; a channel layer below the light emitting structure, in which an inner portion of the channel layer is disposed along an outer peripheral portion of the light emitting structure and an outer portion of the channel layer extends out of the light emitting structure; and a second electrode layer below the light emitting structure. | 08-19-2010 |
20100207152 | LIGHTING EMITTING DEVICE PACKAGE - Disclosed is a light emitting device package. The light emitting device package includes a package body including a cavity formed therein with first and second via holes, a first electrode extending from one side of the cavity to one side of a rear surface of the package body through the first via hole, a second electrode extending from an opposite side of the cavity to an opposite side of the rear surface of the package body through the second via hole, a light emitting device connected with the first and second electrodes, an insulating layer insulating the first and second electrodes from the package body, and a reflective layer disposed on the insulating layer having a structure in which first and second media having different refractive indexes are alternately stacked on each other. | 08-19-2010 |
20100207153 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE INCLUDING THE SAME - A semiconductor light emitting device is provided. The semiconductor light emitting device comprises a plurality of compound semiconductor layers, an electrode layer, a conductive support member and a first buffer member. The compound semiconductor layers comprise a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer. The electrode layer is disposed under the plurality of compound semiconductor layers. The conductive support member is disposed under the electrode layer. The first buffer member is embedded to be spaced apart, in the conductive support member. | 08-19-2010 |
20100207154 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - Provided are a light emitting device package and a lighting system comprising the same. The light emitting device package comprises a package body having a trench, a metal layer within the trench, and a light emitting device over the metal layer. | 08-19-2010 |
20100207155 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device including a substrate including a plurality of discrete and separated protruding reflective patterns protruding from the substrate and including a valley; a first semiconductor layer on the substrate and covering the reflective patterns; a gap formed in the valley of a corresponding reflective pattern between the substrate and the first semiconductor layer; an active layer on the first semiconductor layer; and a second semiconductor layer on the active layer. | 08-19-2010 |
20100213479 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - A light-emitting diode (LED) package structure including a carrier substrate, at least one LED chip, an optical element and a highly thermal-conductive transparent liquid is provided. The LED chip is disposed on the carrier substrate and has an active layer. The optical element is disposed on the substrate and forms a sealed space with the carrier substrate, and the LED chip is disposed in the sealed space. The highly thermal-conductive transparent liquid fills up the sealed space. | 08-26-2010 |
20100213480 | LENS FOR LIGHT EMITTING DIODE PACKAGE AND LIGHT EMITTING DIODE PACKAGE HAVING THE SAME - A lens for a light emitting diode package and a light emitting diode package having the same have simple structures and increase light extraction efficiency by preventing light emitted from a light emitting diode chip from being internally reflected by a lens surface through a structural change in the lens surface. | 08-26-2010 |
20100213481 | LIGHT EMITTING DEVICE - A light emitting device including a light emitting structure having a first conductive semiconductor layer, an active layer disposed under the active layer and a second conductive semiconductor layer disposed under the active layer; a trench formed in a portion of the light emitting structure; a current barrier layer in the trench and configured to hinder current supply to the active layer at a portion where the trench is located and to block the active layer over the trench from emitting light; and a first electrode on the first conductive semiconductor layer above the portion where the trench is located. | 08-26-2010 |
20100213482 | TOP EMISSION INVERTED ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - A top emission inverted OLED device is disclosed. The a top emission inverted OLED device includes: first and second pad portions disposed on peripheral areas which correspond to outer sides of a light generation area on a metal substrate; at least one thin film transistor formed on the light generation area; a passivation layer formed to cover the thin film transistor on the metal substrate and include contact holes which partially expose the thin film transistor and the first and second pad portions; a stacked pattern of first and second conductive patterns formed on the passivation layer and configured to make contact with the exposed part of the thin film transistor through one of the contact holes; a cathode electrode formed on the light generation area and electrically connected to the second conductive pattern; an organic light emission layer disposed on the cathode electrode; an anode electrode disposed on the organic light emission layer and formed from a transparent metal material; and electrode patterns formed from the same material as the second conductive pattern on the rest of the contact holes which expose the first and second pad portions. | 08-26-2010 |
20100213483 | ILLUMINATION DEVICE - An illumination device having a plurality of light emitting diodes is provided. The light emitting diode may include a plurality of semiconductor layers at least one of which has a light emitting surface which may include a rough surface pattern having a pre-determined pattern. The pre-determined pattern may include one or more impurity regions with each region having a plurality of peaks and valleys for directing the flow of current and causing an increase in the emission of light to reflect outwards from the light emitting surface while decreasing light reflected into the light emitting surface and as a result reducing the dissipation of heat. The plurality of impurity regions may be arranged such that a checkered pattern or configuration is formed by alternating the direction of the peaks and valleys in each region. | 08-26-2010 |
20100213484 | Lead frame assembly, package structure and LED package structure - A package structure is adapted for mounting at least one light emitting diode (LED) die. The package structure includes an insulating housing having a top surface that is formed with a cavity, and a lead frame unit. The lead frame unit includes a first lead frame portion and a second lead frame portion. The first lead frame portion is covered by the insulating housing, and has a die-bonding area exposed within the cavity and adapted for mounting the LED die. The second lead frame portion is covered by the insulating housing, and has a conductive surface exposed outwardly of the top surface of the insulating housing and adapted for electrical connection with an end of a conductive wire. | 08-26-2010 |
20100213485 | VERTICAL LED WITH CONDUCTIVE VIAS - A light emitting device comprises a novel low-loss array of conductive vias embedded in a dielectric multilayer stack, to act as an electrically-conductive, low-loss, high-reflectivity reflector layer (CVMR). In one example the CVMR stack is employed between a reflective metal bottom contact and a p-GaN semiconductor flip chip layer. The CVMR stack comprises at least (3) layers with at least (2) differing dielectric constants. The conductive vias are arranged such that localised and propagating surface plasmons associated with the structure reside within the electromagnetic stopband of the CVMR stack, which in turn inhibits trapped LED modes coupling into these plasmonic modes, thereby increasing the overall reflectivity of the CVM R. This technique improves optical light extraction and provides a vertical conduction path for optimal current spreading in a semiconductor light emitting device. A light emitting module and method of manufacture are also described. | 08-26-2010 |
20100213486 | TRANSPARENT HEAT SPREADER FOR LEDS - A heat spreader for an LED can include a thermally conductive and optically transparent member. The bottom side of the heat spreader can be configured to attach to a light emitting side of the LED. The top and/or bottom surface of the heat spreader can have a phosphor layer formed thereon. The heat spreader can be configured to conduct heat from the LED to a package. The heat spreader can be configured to conduct heat from the phosphors to the package. By facilitating the removal of heat from the LED and phosphors, more current can be used to drive the LED. The use of more current facilitates the construction of a brighter LED, which can be used in applications such as flashlights, displays, and general illumination. By facilitating the removal of heat from the phosphors, desired colors can be better provided. | 08-26-2010 |
20100213487 | SIDE-EMITTING LED PACKAGE AND MANUFACTURING METHOD OF THE SAME - A side-emitting LED includes a substrate formed with a plurality of electrodes, an LED chip bonded onto the substrate and electrically connected to the electrodes, a transparent member encapsulating the LED chip and a casing fixed on the substrate. The transparent member has a flat bottom surface attached to the substrate, a vertically surface extending perpendicularly from a straight side edge of the flat bottom surface and a curved surface connected to curved edges of the flat bottom and vertical surfaces. The casing encapsulates the transparent member excepting the vertical surface of the transparent member. The curved surface of the transparent member is shaped as a part of an outer surface of an ellipsoid. | 08-26-2010 |
20100213488 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - Provided are a light emitting device, a light emitting device package and a lighting system comprising the same. The light emitting device comprises a light emitting structure comprising a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer, and an anti-reflection region on a lateral surface of the light emitting structure. | 08-26-2010 |
20100213489 | PHOSPHOR AND LIGHT EMITTING DEVICE - A phosphor and a light emitting device containing the phosphor are provided. According to an embodiment, the phosphor is expressed in a chemical formula of L | 08-26-2010 |
20100213490 | SEALING COMPOSITION FOR LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE INCLUDING THE SAME - According to an aspect of the present invention, there is provided a sealing composition for a light emitting device, the sealing composition including: a silicone/epoxy compound resin including a silicone resin having at least one silicon atom-bonded hydroxyl group and an epoxy resin having at least one oxirane group while the hydroxyl group of the silicone resin and the oxirane group of the epoxy resin are chemically bound to each other. | 08-26-2010 |
20100213491 | LIGHT-EMITTING DEVICE WITH NARROW DOMINANT WAVELENGTH DISTRIBUTION AND METHOD OF MAKING THE SAME - This application discloses a light-emitting device with narrow dominant wavelength distribution and a method of making the same. The light-emitting device with narrow dominant wavelength distribution at least includes a substrate, a plurality of light-emitting stacked layers on the substrate, and a plurality of wavelength transforming layers on the light-emitting stacked layers, wherein the light-emitting stacked layer emits a first light with a first dominant wavelength variation; the wavelength transforming layer absorbs the first light and converts the first light into the second light with a second dominant wavelength variation; and the first dominant wavelength variation is larger than the second dominant wavelength variation. | 08-26-2010 |
20100213492 | Light Emitting Device and Method of Manufacturing the Same - A light emitting device for generating infrared light includes a substrate, a first metal layer, a dielectric layer and a second metal layer. The substrate has a first surface. The first metal layer is formed on the first surface of the substrate. The dielectric layer is formed on the first metal layer. A thickness of the dielectric layer is greater than a particular value. The second metal layer is formed on the dielectric layer. When the light emitting device is heated, the dielectric layer has a waveguide mode such that the infrared light generated by the light emitting device can be transmitted in the dielectric layer. A wavelength of the infrared light generated in the waveguide mode relates to the thickness of the dielectric layer. | 08-26-2010 |
20100213493 | LIGHT-EMITTING DEVICE - A light-emitting device including: a light-emitting stacked layer having first conductivity type semiconductor layer, a light-emitting layer formed on the first conductivity type semiconductor layer, and a second conductivity type semiconductor layer formed on the light-emitting layer, wherein the upper surface of the second conductivity type semiconductor layer is a textured surface; a first planarization layer formed on a first partial of the upper surface of the second conductivity type semiconductor layer; a first transparent conductive oxide layer formed on the first planarization layer and a second partial of the second conductivity type semiconductor layer, including a first portion in contact with the first planarization layer and a second portion having a first plurality of cavities in contact with the second conductivity type semiconductor layer; and a first electrode formed on the first portion of the first transparent conductive oxide layer. | 08-26-2010 |
20100213494 | GaN COMPOUND SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD OF MANUFACTURING THE SAME - The present invention relates to a gallium nitride (GaN) compound semiconductor light emitting element (LED) and a method of manufacturing the same. The present invention provides a vertical GaN LED capable of improving the characteristics of a horizontal LED by means of a metallic protective film layer and a metallic support layer. According to the present invention, a thick metallic protective film layer with a thickness of at least 10 microns is formed on the lateral and/or bottom sides of the vertical GaN LED to protect the element against external impact and to easily separate the chip. Further, a metallic substrate is used instead of a sapphire substrate to efficiently release the generated heat to the outside when the element is operated, so that the LED can be suitable for a high-power application and an element having improved optical output characteristics can also be manufactured. A metallic support layer is formed to protect the element from being distorted or damaged due to impact. Furthermore, a P-type electrode is partially formed on a P-GaN layer in a mesh form to thereby maximize the emission of photons generated in the active layer toward the N-GaN layer. | 08-26-2010 |
20100213495 | ELECTRODE STRUCTURES FOR LEDS WITH INCREASED ACTIVE AREA - An electrode structure is disclosed for enhancing the brightness and/or efficiency of an LED. The electrode structure can have a metal electrode and an optically transmissive thick dielectric material formed intermediate the electrode and a light emitting semiconductor material. The electrode and the thick dielectric cooperate to reflect light from the semiconductor material back into the semiconductor so as to enhance the likelihood of the light ultimately being transmitted from the semiconductor material. Such LED can have enhanced utility and can be suitable for uses such as general illumination. The semiconductor material can have a cutout formed therein and a portion of the electrode can be formed outside of the cutout and a portion of the electrode can be formed inside of the cutout. The portion of the electrode outside the cutout can be electrically isolated from the semiconductor material by the dielectric material. | 08-26-2010 |
20100219433 | LIGHT EMITTING DEVICES - Light emitting devices conformally covered by a luminescent material layer are presented. A light emitting device includes a semiconductor light emitting die attached to a substrate. At least one bond pad is disposed on the semiconductor light emitting die. A luminescent material layer conformally covers the semiconductor light emitting die, wherein the luminescent material layer has at least one opening corresponding to and exposing the at least one bond pad. At least one wirebond is electrically connected to the at least one bond pad and a contact pad on the substrate. | 09-02-2010 |
20100219434 | LIGHT EMITTING DEVICE - A light emitting device is provided. The light emitting device may include a reflective layer having a prescribed pattern of at least one shape having prescribed thickness, width and periodicity. The light emitting device may also include a light emitting layer formed on the reflective layer. The prescribed periodicity may be based on 0.75λ/n to 5λ/n, where λ is the wavelength of the light emitted from the light emitting layer, and n is the refractive index of the light emitting layer. | 09-02-2010 |
20100219435 | LIGHT EMITTING DEVICE - Provided is a light emitting device. The light emitting device comprises a body, a light emitting diode on the body, a resin layer on the light emitting diode, and a primer layer containing a metal material on the resin layer. | 09-02-2010 |
20100219436 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR PRODUCING SEMICONDUCTOR LIGHT-EMITTING DEVICE - The present invention provides a semiconductor light-emitting device that includes a compound semiconductor layer formed by laminating a first clad layer, a light-emitting layer and a second clad layer, a plurality of first ohmic electrodes formed on the first clad layer, a plurality of second ohmic electrodes formed on the second clad layer, a transparent conductive film that is formed on the first clad layer of the compound semiconductor layer and is conductively connected to the first ohmic electrodes, a bonding electrode formed on the transparent conducting film, and a support plate that is positioned on the second clad layer side of the compound semiconductor layer and is conductively connected to the second ohmic electrodes. | 09-02-2010 |
20100219437 | NITRIDE SEMICONDUCTOR LIGHT EMITTING DIODE - A nitride semiconductor light emitting diode includes a p-type layer | 09-02-2010 |
20100219438 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light-emitting device comprises: a semiconductor substrate; a semiconductor layer structure on the semiconductor substrate, including an active layer and a waveguide ridge; an electrode in contact with all of a top surface of the waveguide ridge; and an insulating film coating side faces of the waveguide ridge, side faces of the electrode, and ends, but not a center portion, of an upper face of the electrode. | 09-02-2010 |
20100219439 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Provided is a semiconductor light emitting device. The semiconductor light emitting device comprises a substrate, a first semiconductor layer on substrate, an air-gap part disposed in at least portion between the substrate and the first semiconductor layer, and a plurality of compound semiconductor layers comprising a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer on the first semiconductor layer. | 09-02-2010 |
20100219440 | Inverted LED Structure with Improved Light Extraction - A light source and method for fabricating the same are disclosed. The light source includes a substrate and a light emitting structure. The substrate has a first surface and a second surface, the second surface including a curved, convex surface with respect to the first surface of the substrate. The light emitting structure includes a first layer of a material of a first conductivity type overlying the first surface, an active layer overlying the first layer, the active layer generating light when holes and electrons recombine therein, and a second layer includes a material of a second conductivity type overlying the active layer and a second surface opposite to the first surface. A mirror layer overlies the light emitting structure. | 09-02-2010 |
20100219441 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - An LED package structure and an LED packaging method are disclosed. The LED package structure includes a substrate, an LED unit and a transparent holding wall. The LED unit is electrically connected and located on the surface of the substrate. The transparent holding wall that corresponds to the LED unit is formed on the surface of the substrate, and has a receiving space. The LED unit is received in the receiving space. By utilizing the transparent holding wall, the colloid is controllably received in the receiving space and uniformly spread on the surface of the LED unit and around the LED unit. Thereby, the quantity of the colloid is easily controlled, and the LED package structure has a wide lighting angle due to the light emitted from the LED unit can pass through the transparent holding wall. | 09-02-2010 |
20100224892 | Nitride Semiconductor Light Emitting Element - Provided is a nitride semiconductor light emitting element that has improved light extraction efficiency and a wide irradiation angle of outgoing light irrespective of the reflectance of a metal used for an electrode. An n side anti-reflection layer | 09-09-2010 |
20100224893 | Method for Arranging a Powder Layer on a Substrate and Layer Structure with at least One Powder Layer on a Substrate - A process for arranging a powder layer comprising a powder on a substrate surface of a substrate. A substrate having a substrate surface is provided, and a mixture comprising the powder and an adhesion promoter is applied on the substrate surface. The adhesion promoter is removed and the powder layer is fixed on the substrate surface. | 09-09-2010 |
20100224894 | III-NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - The present disclosure relates to a III-nitride semiconductor light emitting device, including: a substrate; a plurality of III-nitride semiconductor layers grown over the substrate and including an active layer generating light by recombination of electrons and holes; a scattering surface provided on the substrate to scatter the light generated in the active layer; and a sub-scattering portion ruggedly formed on the scattering surface. | 09-09-2010 |
20100224895 | LIGHT EMITTING DEVICE - A light emitting device that has excellent color rendering performance is provided. | 09-09-2010 |
20100224896 | LIGHT EMITTING DEVICE COMPRISING A COMPOSITE SIALON-BASED CERAMIC MATERIAL - The invention relates to a light emitting device, especially a LED with a ceramic composite material essentially of the composition M | 09-09-2010 |
20100224897 | SEMICONDUCTOR OPTOELECTRONIC DEVICE AND METHOD FOR FORMING THE SAME - A semiconductor optoelectronic device with enhanced light extraction efficiency includes at least one protrusion structure, which can be formed around a light-emitting region of the device. The at least one protrusion structure can include a plurality of protrusion structures in one embodiment. In addition, a fabricating method for forming a semiconductor optoelectronic device with enhanced light extraction efficiency is provided in the present invention. | 09-09-2010 |
20100224898 | OPTICAL SEMICONDUCTOR DEVICE HAVING AIR GAP FORMING REFLECTIVE MIRROR AND ITS MANUFACTURING METHOD - In an optical semiconductor device including an epitaxially-grown light emitting semiconductor layer and a reflective electrode layer provided at a counter face of the light emitting semiconductor layer opposing a light extracting face thereof, a support electrode layer is provided between the reflective electrode layer and the counter face of the light emitting semiconductor layer and is adapted to support the light emitting semiconductor layer and electrically connect the light emitting semiconductor layer to the reflective electrode layer. Also, a total area of the support electrode layer is smaller than an area of the reflective electrode layer. Further, an air gap at a periphery of the support electrode layer and the reflective electrode layer serves as a reflective mirror. | 09-09-2010 |
20100224899 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - Provided are a light emitting device, a light emitting device package and a lighting system including the same. | 09-09-2010 |
20100224900 | SEMICONDUCTOR OPTOELECTRONIC DEVICE AND METHOD FOR MAKING THE SAME - A semiconductor optoelectronic device with enhanced light extraction efficiency includes a major luminescent area and a secondary luminescent area, wherein the major luminescent area is surrounded by a secondary luminescent area. The secondary luminescent area not only can improve the light extraction efficiency of the major luminescent area, but per se also can luminesce. In addition, one embodiment of the present invention provides a fabricating method for forming the secondary luminescent area. | 09-09-2010 |
20100224901 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes an n-type semiconductor layer formed on a substrate, a light-emitting layer formed on the n-type semiconductor layer, a p-type semiconductor layer formed on the light-emitting layer, and an electrode layer formed on the p-type semiconductor layer. A through hole is formed in the electrode layer and filled with a dielectric layer. The dielectric layer is composed of a dielectric material having a dielectric constant such that the wavelength λ | 09-09-2010 |
20100230691 | Ferrous-Metal-Alkaline-Earth-Metal Silicate Mixed Crystal Phosphor and Light Emitting Device using The Same - A ferrous-metal-alkaline-earth-metal mixed silicate based phosphor is used in form of a single component or a mixture as a light converter for a primarily visible and/or ultraviolet light emitting device. The phosphor has a rare earth element as an activator. The rare earth element is europium (Eu). Alternatively, the phosphor may have a coactivator formed of a rare earth element and at least one of Mn, Bi, Sn, and Sb. | 09-16-2010 |
20100230692 | LAMP AND PRODUCTION METHOD OF LAMP - The present invention provides a lamp comprising a substrate composed of a base substrate and a covering member which are made of an inorganic insulator and are joined through a joining metal layer; and a semiconductor light emitting device mounted on said substrate, wherein a concave portion is provided in a covering member-side surface of said substrate, said semiconductor light emitting device is accommodated in said concave portion, an end face of said metal layer is positioned on a region of the lateral surface of said concave portion which faces said semiconductor light emitting device, and a light reflection portion, which reflects light emitted from said semiconductor light emitting device, is composed of said end face. | 09-16-2010 |
20100230693 | White light emitting diode package and method of making the same - A white light emitting diode (LED) package with multilayered encapsulation structure and the packaging methods are disclosed. The white LED package structure includes metal electrodes, a heat dissipation base, a PPA plastic for fixing the electrodes and the heat dissipation base together, at least one LED die, a die attaching material, gold wires for electrically connecting the LED die to the electrodes, a first type of silicone encapsulant, a second type of silicone encapsulant, and a phosphor containing layer. The invention utilizes a low-refractive index silicone (the second type of silicone encapsulant) to separate the phosphor containing layer away from the first type of silicone, which covers the LED die, to prevent/reduce emitted light going backward and hitting the LED die. | 09-16-2010 |
20100230694 | Optoelectronical Component Emitting Electromagnetic Radiation and Method for Producing an Optoelectronical Component - An optoelectronic component is specified that emits a useful radiation. It comprises a housing having a housing base body with a housing cavity, and a light-emitting diode chip arranged in the housing cavity. At least one base body material of the housing base body has radiation-absorbing particles admixed in a targeted manner to reduce its reflectivity. According to another embodiment of the component, the housing additionally or alternatively has a housing material transmissive for the useful radiation that has radiation-absorbing particles admixed in a targeted manner to reduce its reflectivity. In addition, a method for manufacturing such a component is specified. | 09-16-2010 |
20100230695 | LED package structure - An LED package structure includes an LED chip, an internal transparent colloidal layer, a fluorescent colloidal layer, and an external transparent colloidal layer. The internal transparent colloidal layer is interposed between the LED chip (such as a blue-light LED chip) and the fluorescent colloidal layer (such as a yellow fluorescent colloidal layer), and that the external transparent colloidal layer, in cooperation with the internal transparent colloidal layer, sandwiches and envelops the fluorescent colloidal layer so as to lower the possibility that light emitted from the LED chip may be absorbed by the LED chip itself because the light is scattered backward by particles of the fluorescent powder. This will increase overall lumen output and decrease thermal energy of the LED chip, and will as well provide a more desirable moisture insulation for the fluorescent powder. | 09-16-2010 |
20100230696 | WIRING MEMBER, METAL COMPONENT WITH RESIN AND RESIN SEALED SEMICONDUCTOR DEVICE, AND PROCESSES FOR PRODUCING THEM - There is provided a semiconductor device that suppresses the occurrence of resin burrs to ensure favorable electrical connectivity and bond strength, and a manufacturing method for such semiconductor device. Also provided is an LED device which ensures stronger adhesion between a silicone resin and a wiring lead and thus achieves favorable light emitting properties, and a manufacturing method for such LED device. Also provided is an LED device that can present superior luminous efficiency by the provision of a sufficient reflectivity even when emitting relatively short wavelength light, and a manufacturing method for such LED device. Also provided is a film carrier tape with which a superior Sn plating coat is formed, mechanical strength and connectivity are achieved. Also provided is a manufacturing method for such film carrier tape that can avoid damage to the wiring pattern layer during an Sn plating step while maintaining favorable manufacturing efficiency. Specifically, an organic coat | 09-16-2010 |
20100230697 | OPTO-ELECTRONIC SEMICONDUCTOR MODULE AND METHOD FOR THE PRODUCTION THEREOF - An optoelectronic semiconductor module includes a chip carrier, a light emitting semiconductor chip mounted on the chip carrier and a cover element with an at least partly light transmissive cover plate, which is arranged on the side of the semiconductor chip facing away from the chip carrier, and has a frame part, wherein the frame part laterally encloses the semiconductor chip, is joined to the cover plate in a joining-layer free fashion and is joined to the chip carrier on its side remote from the cover plate. | 09-16-2010 |
20100230698 | Optoelectronic Semiconductor Body - An optoelectronic semiconductor body includes a substrate with a front side for emitting electromagnetic radiation. The optoelectronic semiconductor body has a semiconductor layer sequence that is arranged on a rear side of the substrate and has an active layer suitable for generating the electromagnetic radiation. The optoelectronic semiconductor body also includes first and second electrical connection layers that are arranged on a first surface of the semiconductor body that faces away from the substrate. | 09-16-2010 |
20100230699 | LIGHT EMITTING DEVICE - A light emitting device including a light emitting structure having a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer; a first electrode on the light emitting structure; and a photon escape layer on the light emitting structure. Further, the photon escape layer has a refractive index that is between a refractive index of the light emitting structure and a refractive index of an encapsulating material with respect to the light emitting structure such that an escape probability for photons emitted by the light emitting structure is increased. | 09-16-2010 |
20100230700 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided. The light emitting device package may include a package body having a cavity formed therein, a lead frame, and a light emitting device positioned in the cavity and electrically connected to the lead frame. The lead frame may penetrate the package body such that one end of the lead frame is positioned in the cavity and the other end of the lead frame is exposed to an outside of the package body. The lead frame may be partially coated with a thin metal layer. | 09-16-2010 |
20100230701 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - A light emitting device, a light emitting device package and a lighting system including the same are provided. The light emitting device may include a light emitting structure, a dielectric pattern, a second electrode layer, and a resonator structure. The light emitting structure may include a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer. The dielectric pattern may be disposed on the second conductive type semiconductor layer. The second electrode layer may be disposed on the second conductive type comprising the dielectric pattern. The resonator structure may be disposed on the light emitting structure. | 09-16-2010 |
20100230702 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, LIGHT EMITTING APPARATUS, AND LIGHTING SYSTEM - Disclosed is a light emitting device including a conductive substrate; a reflective layer on the conductive substrate; an etching protective layer on a peripheral portion of a top surface of the conductive substrate; and a light emitting structure, which is formed on the reflective layer and the etching protective layer such that the etching protective layer is partially exposed and includes a first conductive semiconductor layer, a second conductive semiconductor layer and an active layer between the first and second conductive semiconductor layers, wherein the etching protective layer includes a first refractive layer having a first refractive index and a second refractive layer having a second refractive index greater than the first refractive index. | 09-16-2010 |
20100230703 | LIGHT EMITTING DEVICE FABRICATION METHOD THEREOF, AND LIGHT EMITTING APPARATUS - A light emitting device is provided. The light emitting device comprises a conductive substrate, a reflection layer, a support layer, an ohmic contact layer, and a light emitting semiconductor layer. The reflection layer is disposed on the conductive substrate. The support layer is disposed partially on the reflection layer. The ohmic contact layer is disposed at the side of the support layer. The light emitting semiconductor layer is disposed on the ohmic contact layer and the support layer. | 09-16-2010 |
20100230704 | LIGHT EMITTING APPARATUS, AND METHOD FOR MANUFACTURING THE SAME, AND LIGHTING SYSTEM - A light emitting apparatus includes: a substrate including a first conductive type impurity; a first heatsink and a second heatsink on a first region and a second region of the substrate; second conductive type impurity regions on the substrate and electrically connected to the first heatsink and the second heatsink, respectively; a first electrode electrically connected to the first heatsink on the substrate; a second electrode electrically connected to the second heatsink on the substrate; and a light emitting device electrically connected to the first electrode and the second electrode on the substrate. | 09-16-2010 |
20100230705 | LIGHT EMITTING DEVICE, METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE, AND LIGHT EMITTING APPARATUS - A light emitting device according to the embodiment includes a reflecting layer; an adhesion layer including an oxide-based material on the reflecting layer; an ohmic contact layer on the adhesion layer; and a light emitting structure layer on the ohmic contact layer. | 09-16-2010 |
20100230706 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - The invention discloses a semiconductor light-emitting device, which includes a substrate, a first conductive type semiconductor material layer, a second conductive type semiconductor material layer, a light-emitting layer, a first electrode, a second electrode, and a plurality of bump structures. The first conductive type semiconductor material layer is formed on the substrate and has an upper surface which includes a first region and a second region distinct from the first region. The first electrode is formed on the first region. The light-emitting layer and the second conductive type semiconductor material layer are formed on the second region. The bump structures are formed on the upper surface of the first conductive type semiconductor material layer and between the first region and the second region. At least one recess is formed in the sidewall of each bump structure. Alternatively, the sidewall of each bump structure has a curved contour. | 09-16-2010 |
20100230707 | LIGHT-EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - An LED package is provided. The LED package comprises a metal plate, circuit patterns, and an LED. The metal plate comprises grooves. The insulating layer is formed on the metal plate. The circuit patterns are formed on the insulating layer. The LED is electrically connected with the circuit pattern on the insulating layer. | 09-16-2010 |
20100237367 | LIGHT EMITTING DIODE PACKAGE - A light emitting diode (LED) package includes a carrier, an LED chip, an encapsulant, a plurality of phosphor particles, and a plurality of anti-humidity particles. The LED chip is disposed on and electrically connected to the carrier. The encapsulant encapsulates the LED chip. The phosphor particles and the anti-humidity particles are distributed within the encapsulant. A first light emitted from the LED chip excites the phosphor particles to emit a second light. Some of the anti-humidity particles are adhered onto a surface of the phosphor particles, while the other anti-humidity particles are not adhered onto the surface of the phosphor particles. The anti-humidity particles absorb H | 09-23-2010 |
20100237368 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light emitting device, includes: a substrate including a first major surface and a second major surface, the first major surface including a recess and a protrusion, the second major surface being formed on a side opposite to the first major surface; a first electrode provided on the first major surface; a semiconductor light emitting element provided on the first electrode and electrically connected to the first electrode; a second electrode provided on the second major surface; and a through-electrode provided to pass through the substrate at the recess and electrically connect the first electrode and the second electrode. | 09-23-2010 |
20100237369 | Light-emitting device - A light-emitting device includes a substrate, a light-emitting element mounted on a first flat surface of the substrate, and a glass sealing member for sealing the light-emitting element, wherein the sealing member is in contact with the first flat surface and a side surface of the substrate and a second flat surface of the surface opposite to the first flat surface is exposed. | 09-23-2010 |
20100237370 | LIGHT EMITTING DEVICE PACKAGE - Embodiments relate to a light emitting device package. The light emitting device package comprises: a body comprising a multilayer cavity; a light emitting device in the cavity; a first phosphor layer sealing the light emitting device and comprising a first phosphor; and a second phosphor layer comprising a second phosphor on the first phosphor layer, the second phosphor and the first phosphor having a difference in the specific gravity. | 09-23-2010 |
20100237371 | LIGHT EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - Disclosed is a light emitting device. The light emitting device comprises a light emitting semiconductor layer comprising a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer, a second electrode layer supporting the light emitting semiconductor layer while surrounding the light emitting semiconductor layer, and a first passivation layer between a side of the light emitting semiconductor layer and the second electrode layer. | 09-23-2010 |
20100237372 | LIGHT EMITTING DEVICE - A light emitting device including a light emitting structure including a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer; a first photonic crystal structure on the light emitting structure; a lower encapsulant on the first photonic crystal structure; and a second photonic crystal structure on the lower encapsulant. | 09-23-2010 |
20100237373 | Lighting Device - An object of the present invention is to reduce the thickness of a lighting device using an electroluminescent material. Another object of the present invention is to simplify the structure of a lighting device using an electroluminescent material to reduce cost. A light-emitting element having a stacked structure of a first electrode layer, an EL layer, and a second electrode layer is provided over a substrate having an opening in its center, and a first connecting portion and a second connecting portion for supplying electric power to the light-emitting element are provided in the center of the substrate (in the vicinity of the opening provided in the substrate). | 09-23-2010 |
20100237374 | Transparent Organic Light Emitting Diode Lighting Device - Provided is a transparent organic light emitting diode (OLED) lighting device in which opaque metal reflectors are formed to adjust light emitting directions. The transparent OLED lighting device includes a transparent substrate, a transparent anode formed on a predetermined region of the transparent substrate, a reflective anode formed adjacent to the transparent anode on another region of the transparent substrate, an organic layer formed on the transparent and reflective anodes, and a transparent cathode and an encapsulation substrate sequentially stacked on the organic layer. Directions of light emitted from the organic layer vary depending on the current applied to the transparent and reflective anodes. | 09-23-2010 |
20100237375 | Light Emitting Device - A light emitting device ( | 09-23-2010 |
20100237376 | LIGHT EMITTING DEVICE PACKAGE AND MANUFACTURING METHOD THEREOF - Provided is a light emitting device package. The light emitting device package comprises a base substrate, a frame, and a light emitting device. The base substrate comprises a plurality of electrode pads. The frame is formed of silicon, attached on the base substrate, and has an opening. The light emitting device is electrically connected to the electrode pad in the opening. | 09-23-2010 |
20100237377 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURING THE SAME - A light emitting diode (LED) package is provided. According to an embodiment, a light emitting apparatus includes a substrate; at least two distinct electrodes on the substrate; a light emitting device on one of the at least two distinct electrodes, wherein the at least two distinct electrodes are electrically separated from each other and spaced from each other; a guide unit on the substrate and around the light emitting device, wherein the guide unit includes an inner side surface, an outer side surface, a top surface and a bottom surface; and lenses including a first lens and a second lens on the substrate, wherein at least one of the lenses includes a convex shape and a portion of the at least one of the lenses is located higher than the top surface of the guide unit. | 09-23-2010 |
20100244064 | LIGHT SOURCE - A light source is described herein. An embodiment of the light source comprises a reflector cup having a cavity; a light emitter located in the cavity; a first encapsulant encompassing the light emitter; and a film located adjacent the first encapsulant, the film comprising phosphor. | 09-30-2010 |
20100244065 | SEMICONDUCTOR LIGHT EMITTING DEVICE GROWN ON AN ETCHABLE SUBSTRATE - A III-nitride structure comprising a light emitting layer disposed between an n-type region and a p-type region is grown on a silicon substrate. The III-nitride structure is attached to a host, then a portion of the silicon substrate is etched away to reveal a top surface of the III-nitride structure. In some embodiments, the silicon substrate is etched to form an enclosure on the top surface of the III-nitride structure. A wavelength converting material such as phosphor may be disposed in the enclosure. | 09-30-2010 |
20100244066 | RED LIGHT FLUORESCENT MATERIAL AND MANUFACTURING METHOD THEREOF, AND WHITE LIGHT LUMINESCENT DEVICE - A red-light-emitting fluorescent material, suitable for being excited by a first light to emit red light, is provided. The red-light-emitting fluorescent material is characterized in the chemical formula (1): | 09-30-2010 |
20100244067 | PHOSPHOR PLATES FOR LEDS FROM STRUCTURED FILMS - The invention relates to a phosphor element which is based on natural and/or synthetic flake-form substrates, such as mica, corundum, silica, glass, ZrO | 09-30-2010 |
20100244068 | Method For Applying A Thin-Film Encapsulation Layer Assembly To An Organic Device, And An Organic Device Provided With A Thin-Film Encapsulation Layer Assembly Preferably Applied With Such A Method - A method for applying a thin-film encapsulation layer assembly to an organic device, which comprises a substrate which is provided with an active stack and is then provided with the thin-film encapsulation layer assembly for screening the active stack substantially from oxygen and moisture, wherein the thin-film encapsulation layer assembly is formed by applying at least one organic and at least one inorganic layer applied with PECVD or reactive sputtering, onto the active stack, wherein after application of a first organic layer a metal layer is applied to the first organic layer before an inorganic layer is applied thereto utilizing PECVD or reactive sputtering, wherein the metal layer is applied utilizing a deposition technique that causes relatively little radiation, wherein the metal layer protects the organic layer against radiation upon a subsequent PECVD or reactive sputtering process step for applying an inorganic layer. The invention also relates to an organic device manufactured with such a method. | 09-30-2010 |
20100244069 | NOVEL OLED DISPLAY ARCHITECTURE - A device is provided. The device includes first, second and third subpixels. The first sub-pixel includes an emissive layer having a first emitting material but not a second emitting material. The second sub-pixel includes an emissive layer having the second emitting material but not the first emitting material. The third sub-pixel includes an emissive layer having both the first and second emitting materials. A method of fabricating the device is provided. For a three subpixel device, a first electrode layer is deposited, having a first sub-pixel and a second sub-pixel. Then, in a first patterned deposition process, a first emitting material is deposited on the first sub-pixel and the third sub-pixel, but not the second sub-pixel. Then, in a second patterned deposition process, a second emitting material is deposited on the second sub-pixel and the third sub-pixel, but not the first sub-pixel. Then, a second electrode layer is deposited. The first, second and third subpixels may be defined, for example, by patterning in either or both of the first and second electrode layers. Preferably, the device and method include a fourth subpixel. | 09-30-2010 |
20100244070 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME - A method of fabricating an organic light emitting display device includes forming a first electrode in both a luminescent region and a part of a non-luminescent region, forming a buffer layer in the non-luminescent region, forming an insulation pattern on the buffer layer in the non-luminescent region, forming an auxiliary electrode on the insulation pattern in the non-luminescent region, forming an organic emission layer in both the luminescent region and the non-luminescent region, forming a second electrode in both the luminescent region and the non-luminescent region, and applying over-voltage to the auxiliary electrode, the organic emission layer on the auxiliary electrode and the second electrode. | 09-30-2010 |
20100244071 | Method of manufacturing led lamp - A method of manufacturing a LED lamp that is formed by sealing a LED element mounted on a substrate with glass, includes a mounting process for mounting the LED element on the substrate, a sealing member preparation process for preparing a glass sealing member that includes a concave portion being capable of housing the LED element, and a sealing process wherein the sealing member is arranged so that a forming surface of the concave portion faces the LED element, the sealing member is bonded to the substrate by thermal compression bonding, and the forming surface of the concave portion is made along the LED element. | 09-30-2010 |
20100244072 | Light-emitting devices and methods of fabricating the same - A light-emitting device includes: a substrate; a light-emitting element is mounted on a first surface of the substrate; at least one uneven heat dissipation pattern is formed on at least one surface of the substrate; and an electrode covers at least a portion of the at least one uneven heat dissipation pattern and is connected to the light-emitting element. | 09-30-2010 |
20100244073 | ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object of the present invention is to obtain an organic EL device having excellent light resistance and a method for manufacturing the same. An organic EL device comprises: a first substrate as a supporting substrate; a first electrode provided on the first substrate; an organic layer that is provided on the first electrode and includes at least an organic light-emitting layer; a second electrode provided on the organic layer; a resin layer provided to cover the first substrate and the second electrode thereon, the resin layer containing, at least in a region on the organic layer, an ultraviolet light absorber that absorbs ultraviolet light; and a second substrate arranged on the resin layer to block the organic layer from ambient air. | 09-30-2010 |
20100244074 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor light-emitting device and a manufacturing method are provided, in which a metal film is deposited with positional differences between edges of an insulating film and the metal film, opposite a ridge waveguide top face, utilizing an overhanging-shaped resist pattern. An opening through the insulating film is extended in width without another masking step by etching the insulation film on the ridge waveguide top face, using the metal film as a mask. The contact area between a p-side electrode and a p-type contact layer is increased and operating voltage of the semiconductor light-emitting device is reduced. | 09-30-2010 |
20100244075 | Performance Optically Coated Semiconductor Devices and Related Methods of Manufacture - The present application disclosed various embodiments of improved performance optically coated semiconductor devices and various methods for the manufacture thereof and includes depositing a first layer of a low density, low index of refraction material on a surface of a semiconductor device, depositing a multi-layer optical coating comprising alternating layers of low density, low index of refraction materials and high density, high index of refraction materials on the coated surface of the semi-conductor device, selectively ablating a portion of the alternating multi-layer optical coating to expose at least a portion of the low density first layer, and selectively ablating a portion of the first layer of low density material to expose at least a portion of the semiconductor device. | 09-30-2010 |
20100244076 | LIGHT EMITTING DEVICE COMPRISING A GREEN EMITTING SIALON-BASED MATERIAL - The invention relates to a light emitting device, especially a LED comprising a green emitting material of the composition Sr | 09-30-2010 |
20100244077 | PHOTOELECTRONIC ELEMENT AND THE MANUFACTURING METHOD THEREOF - A photoelectronic element includes a composite substrate including an electrically insulative substrate having a chamber; an intermediate layer; and an electrically conductive substrate; a bonding layer including an electrically conductive region and an electrically insulative region; a first current spreading layer; a first semiconductor stacked layer including a first semiconductor layer, an active layer, and a second semiconductor layer; a current blocking layer; a second current spreading layer; and a first electrode. | 09-30-2010 |
20100244078 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package including a light emitting device and a magnetic ring is provided. The magnetic ring surrounds the light emitting device for forming a magnetic source for applying a magnetic field to the light emitting device. | 09-30-2010 |
20100244079 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR FABRICATING THE SAME - Projections/depressions forming a two-dimensional periodic structure are formed in a surface of a semiconductor multilayer film opposing the principal surface thereof, while a metal electrode with a high reflectivity is formed on the other surface. By using the diffracting effect of the two-dimensional periodic structure, the efficiency of light extraction from the surface formed with the projections/depressions can be improved. By reflecting light emitted toward the metal electrode to the surface formed with the projections/depressions by using the metal electrode with the high reflectivity, the foregoing effect achieved by the two-dimensional periodic structure can be multiplied. | 09-30-2010 |
20100244080 | LIGHT EMITTING DIODE PACKAGE - A light emitting diode package including a substrate; a plurality of electrodes on the substrate; a light emitting diode on the substrate; at least one wire connecting the light emitting diode and at least a first electrode of the plurality of electrodes; a reflecting member formed around the light emitting diode and being spaced apart from the light emitting diode; a cavity included in the reflecting member; a mold material including in the cavity; and a heat sink disposed under the light emitting diode and configured to emit heat generated by the light emitting diode. Further, a connection portion connecting the plurality of electrodes extends under a surface of the substrate through a portion of the substrate, and an inside surface of the reflecting member has a step-shape structure. | 09-30-2010 |
20100252845 | WHITE-LIGHT LIGHT EMITTING DIODE CHIPS AND FABRICATION METHODS THEREOF - A white-light LED chip and a fabrication method thereof are provided. The white-light LED chip comprises a blue-light LED chip and a phosphor layer directly disposed on a top surface of the blue-light LED chip. The method comprises providing a plurality of blue-light LED chips attached to a substrate, wherein at least one contact pad is formed on the top surface of each blue-light LED chip. A protective layer is formed on the contact pad. A phosphor layer is formed on the top surface of the blue-light LED chip by a molding process, exposing the contact pad. Finally, the protective layer and the substrate are removed from the blue-light LED chip to form a white-light LED chip. | 10-07-2010 |
20100252846 | BACKLIGHT INCLUDING SEMICONDUCTIOR LIGHT EMITTING DEVICES - A light source such as a semiconductor light emitting diode is positioned in a first opening in a transparent member, which may function as a waveguide in a display. The transparent member surrounds the light source. No light source is positioned in a second opening in the transparent member. In some embodiments, the first opening is shaped to direct light into the transparent member. In some embodiments, a reflector is positioned over the light source. The reflector includes a flat portion and a shaped portion. The shaped portion extends from the flat portion toward the light source. | 10-07-2010 |
20100252847 | RED LIGHT FLUORESCENT MATERIAL AND MANUFACTURING METHOD THEREOF, AND WHITE LIGHT LUMINESCENT DEVICE - A red light fluorescent material adapted for being excited by a first light to emit a red light is provided. The red light fluorescent material has the chemical formula (1) presented below, | 10-07-2010 |
20100252848 | METHOD FOR FORMING AN LED LENS STRUCTURE AND RELATED STRUCTURE - A method for manufacturing an LED lens structure includes the following steps of disposing a lead frame with the LED chip into a mold, and injecting thermoplastic transparent material to a plane of the lead frame which is different from a plane that LED chip is mounted thereon to form a lens structure corresponding to the LED chip. | 10-07-2010 |
20100252849 | Light-emitting element - A light-emitting element includes a semiconductor substrate, a light emitting layer portion including an active layer on the semiconductor substrate, a first reflective layer between the semiconductor substrate and the active layer for reflecting light emitted from the active layer; and a second reflective layer between the semiconductor substrate and the first reflective layer for reflecting light with a wavelength different from that of the light reflected by the first reflective layer. The second reflective layer reflects light with a wavelength longer than that of the light reflected by the first reflective layer. | 10-07-2010 |
20100252850 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - Provided are a semiconductor light emitting device and a method of fabricating the same. The semiconductor light emitting device comprises a multireflection layer comprising at least one of reflection layers of different refractive indices, a first conductive semiconductor layer on the multireflection layers, an active layer on the first conductive type semiconductor layer, and a second conductive type semiconductor layer on the active layer. | 10-07-2010 |
20100252851 | LED PACKAGE WITH INCREASED FEATURE SIZES - A light emitter package having increased feature sizes for improved luminous flux and efficacy. An emitter chip is disposed on a submount with a lens that covers the emitter chip. In some cases, the ratio of the width of the light emitter chip to the width of said lens in a given direction is 0.5 or greater. Increased feature sizes allow the package to emit light more efficiently. Some packages include submounts having dimensions greater than 3.5 mm square used in conjunction with larger emitter chips. Materials having higher thermal conductivities are used to fabricate the submounts, providing the package with better thermal management. | 10-07-2010 |
20100258827 | LIGHT-EMITTING DIODE PACKAGE AND WAFER-LEVEL PACKAGING PROCESS OF LIGHT-EMITTING DIODE - A wafer-level packaging process of a light-emitting diode is provided. First, a semiconductor stacked layer is formed on a growth substrate. A plurality of barrier patterns and a plurality of reflective layers are then formed on the semiconductor stacked layer, wherein each reflective layer is surrounded by one of the barrier patterns. A first bonding layer is then formed on the semiconductor stacked layer to cover the barrier patterns and the reflective layers. Thereafter, a carrying substrate having a plurality of second bonding layers and a plurality of conductive plugs electrically insulated from each other is provided, and the first bonding layer is bonded with the second bonding layer. The semiconductor stacked layer is then separated from the growth substrate. Next, the semiconductor stacked layer is patterned to form a plurality of semiconductor stacked patterns. Next, each semiconductor stacked pattern is electrically connected to the conductive plug. | 10-14-2010 |
20100258828 | SOLID STATE LIGHT EMITTER WITH NEAR-UV PUMPED NANOPHOSPHORS FOR PRODUCING HIGH CRI WHITE LIGHT - A solid state white light emitting device includes a semiconductor chip producing near ultraviolet (UV) electromagnetic energy in a range of 380-420 nm, e.g. 405 nm. The device may include a reflector forming and optical integrating cavity. Phosphors, such as doped semiconductor nanophosphors, within the chip packaging of the semiconductor device itself, are excitable by the near UV energy. However the re-emitted light from the phosphors have different spectral characteristics outside the absorption ranges of the phosphors, which reduces or eliminates re-absorption. The emitter produces output light that is at least substantially white and has a color rendering index (CRI) of 75 or higher. The white light output of the emitter may exhibit color temperature in one of the following specific ranges along the black body curve: 2,725±145° Kelvin; 3,045±175° Kelvin; 3,465±245° Kelvin; 3,985±275° Kelvin; 4,503±243° Kelvin; 5,028±283° Kelvin; 5,665±355° Kelvin; and 6,530±510° Kelvin. | 10-14-2010 |
20100258829 | METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT AND OPTOELECTRONIC COMPONENT - A method for producing an optoelectronic component including providing a radiation-emitting device, heating the device and applying a liquid lens material in a beam path of the device, wherein, with crosslinking of the lens material, a lens shaped onto the device is formed. | 10-14-2010 |
20100258830 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD OF THE SAME - Light from a semiconductor light-emitting element travels in all directions. Thus, light that travels in the directions other than a lighting direction cannot be used effectively. Means for forming a semiconductor light-emitting element having tilted side surfaces, and forming a reflective layer on the tilted side surfaces has been proposed. However, since the tilted surfaces are formed by an etching method or the like, it takes a long time to form the tilted surfaces, and it is difficult to control the tilted surfaces. As a solution to these problems, semiconductor light-emitting elements are placed on a submount substrate and sealed with a sealant, and then a groove is formed in a portion between adjoining ones of the semiconductor light-emitting elements. The grooves formed are filled with a reflective material, and a light-emitting surface is polished. Then, the submount substrate is divided into individual semiconductor light-emitting devices. Thus, a semiconductor light-emitting device having a reflective layer on its side surfaces can be obtained. | 10-14-2010 |
20100258831 | SIDE EMITTING DEVICE WITH HYBRID TOP REFLECTOR - A side-emitting light emitting device ( | 10-14-2010 |
20100258832 | SIDE EMITTING DEVICE WITH HYBRID TOP REFLECTOR - A side-emitting light emitting device ( | 10-14-2010 |
20100258833 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND MANUFACTURING METHOD THEREOF - The organic electroluminescence element includes an anode metal layer above a substrate. The anode metal layer comprises an inner region and an outer region. The inner region is adjacent to and different than the outer region. An upper surface of the inner region is lower than an upper surface of the outer region. A metal oxide layer is on the inner region of the metal anode layer. A hole transport layer is above the metal oxide layer and the inner region. The hole transport layer comprises a hole-transporting organic material. An organic luminescent layer is above the hole transport layer and the inner region. A cathode layer is above the organic luminescent layer and the inner region. The cathode layer injects electrons into the organic luminescent layer. An insulating layer is above the outer region of the anode metal layer. | 10-14-2010 |
20100258834 | VERTICAL LED WITH CURRENT GUIDING STRUCTURE - Techniques for controlling current flow in semiconductor devices, such as LEDs are provided. For some embodiments, a current guiding structure may be provided including adjacent high and low contact areas. For some embodiments, a second current path (in addition to a current path between an n-contact pad and a metal alloy substrate) may be provided. For some embodiments, both a current guiding structure and second current path may be provided. | 10-14-2010 |
20100264434 | Optoelectronic Semiconductor Chip - An optoelectronic semiconductor chip is disclosed which emits electromagnetic radiation from its front side ( | 10-21-2010 |
20100264435 | White light-emitting diode package structure for simplifying package process and method for making the same - A white light-emitting diode package structure for simplifying package process includes a substrate unit, a light-emitting unit, a phosphor unit and a conductive unit. The light-emitting unit is disposed on the substrate, and the light-emitting unit has a positive conductive layer and a negative conductive layer. The phosphor unit has a phosphor layer formed on the light-emitting unit and at least two openings for respectively exposing one partial surface of the positive electrode layer and one partial surface of the negative electrode layer. The conductive unit has at least two conductive wires respectively passing through the two openings in order to electrically connect the positive electrode layer with the substrate unit and electrically connect the negative electrode layer with the substrate unit. | 10-21-2010 |
20100264436 | PLCC Package With A Reflector Cup Surrounded By A Single Encapsulant - In an embodiment the invention provides a LFCC package comprising first, second and third lead frames, a light source, and an encapsulant. The first lead frame comprises two tongues and a reflector cup. The first, second and third lead frames are attached to the encapsulant. The light source is mounted at the bottom of the inside of the reflector cup. The light source is electrically connected to the second and third lead frames by wire bonds. The reflector cup is surrounded on at least four sides by the encapsulant, the encapsulant being an integral single piece structure. | 10-21-2010 |
20100264437 | PLCC Package With A Reflector Cup Surrounded By An Encapsulant - In an embodiment, the invention provides a PLCC package comprising first and second lead frames, a plastic structural body, a light source, an encapsulant, and an optical lens. The first lead frame comprises two tongues and a reflector cup. The first and second lead frames are attached to the plastic structural body. The light source is mounted and electrically connected at the bottom of the inside of the reflector cup. The light source is also electrically connected to the second lead frame by a wire bond. The reflector cup is surrounded on at least four sides by the encapsulant, the encapsulant having a domed portion that functions as the optical lens, the encapsulant being an integral single piece structure. | 10-21-2010 |
20100264438 | Light emitting device - The light emitting device has a light emitting element | 10-21-2010 |
20100264439 | LED PACKAGE STRUCTURE - A light emitting diode (LED) package structure includes a substrate having a chip disposal area and a recession, a chip installed in the chip disposal area, a silicon connecting element installed at the recession, and a silicon lens disposed at a position corresponding to the recession and coupled to the silicon connecting element, such that the silicon connecting element in the recession can assure the silicon lens to be secured onto the substrate to prevent the silicon lens from falling out. | 10-21-2010 |
20100264440 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed are a semiconductor light emitting device. The semiconductor light emitting device comprises a light emitting structure comprising a IH-V group compound semiconductor, a reflective layer comprising mediums, which are different from each other and alternately stacked under the light emitting structure, and a second electrode layer under the reflective layer. | 10-21-2010 |
20100264441 | LIGHT EMITTING ELEMENT AND FABRICATING METHOD THEREOF - The light emitting element includes a substrate; a first block pattern formed on the substrate; a light emitter including a first semiconductor pattern of a first conductivity type, a light emitting pattern, and a second semiconductor pattern of a second conductivity type, sequentially stacked on the substrate having the first block pattern formed thereon, the light emitter having a first portion formed on the first block pattern, and a second portion formed between two adjacent first block patterns, the second portion formed lower than the first portion to define a recessed region, and a second block pattern formed on the light emitter to fill the recessed region. | 10-21-2010 |
20100264442 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are a vertical-type light emitting device and a method of manufacturing the same. The light emitting device includes a p-type semiconductor layer, an active layer, and an n-type semi-conductor layer that are stacked, a cover layer disposed on a p-type electrode layer to surround the p-type electrode layer, a conductive support layer disposed on the cover layer, and an n-type electrode layer disposed on the n-type semiconductor layer. | 10-21-2010 |
20100264443 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light emitting device having high reliability and excellent light distribution characteristics is provided. Specifically, a semiconductor light emitting device | 10-21-2010 |
20100264444 | LED AND METHOD OF MANUFACTURING THE SAME - An LED can include a pair of electrode members, and an LED chip joined to a chip mount portion disposed at the extremity of one of the pair of electrode members. The LED chip can be electrically connected to the pair of electrode members. A transparent resin portion can include a wavelength conversion material mixed therein, the transparent resin portion formed in such a manner as to surround the LED chip, wherein the LED chip is positioned offset toward one side in the transparent resin portion, and wherein the wavelength conversion material mixed in the transparent resin portion has a higher density around the LED chip within the transparent resin portion. | 10-21-2010 |
20100264445 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device including a substrate, an electrode and a light emitting region is provided. The substrate may have protruding portions formed in a repeating pattern on substantially an entire surface of the substrate while the rest of the surface may be substantially flat. The cross sections of the protruding portions taken along planes orthogonal to the surface of the substrate may be semi-circular in shape. The cross sections of the protruding portions may in alternative be convex in shape. A buffer layer and a GaN layer may be formed on the substrate. | 10-21-2010 |
20100264446 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device including a substrate, an electrode and a light emitting region is provided. The substrate may have protruding portions formed in a repeating pattern on substantially an entire surface of the substrate while the rest of the surface may be substantially flat. The cross sections of the protruding portions taken along planes orthogonal to the surface of the substrate may be semi-circular in shape. The cross sections of the protruding portions may in alternative be convex in shape. A buffer layer and a GaN layer may be formed on the substrate. | 10-21-2010 |
20100264447 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device including a substrate, an electrode and a light emitting region is provided. The substrate may have protruding portions formed in a repeating pattern on substantially an entire surface of the substrate while the rest of the surface may be substantially flat. The cross sections of the protruding portions taken along planes orthogonal to the surface of the substrate may be semi-circular in shape. The cross sections of the protruding portions may in alternative be convex in shape. A buffer layer and a GaN layer may be formed on the substrate. | 10-21-2010 |
20100264448 | LIGHT EMTTING DEVICE - Disclosed herein is a light emitting device. The light emitting device includes a light emitting diode disposed on a substrate to emit light of a first wavelength. A transparent molding part encloses the LED, a lower wavelength conversion material layer is disposed on the transparent molding part, and an upper wavelength conversion material layer is disposed on the lower wavelength conversion material layer. The lower wavelength conversion material layer contains a phosphor converting the light of the first wavelength into light of a second wavelength longer than the first wavelength, and the upper wavelength conversion material layer contains a phosphor converting the light of the first wavelength into light of a third wavelength, which is longer than the first wavelength but shorter than the second wavelength. Light produced via wavelength conversion is prevented from being lost by the phosphor. Light emitting devices including a multilayer reflection mirror are also disclosed. | 10-21-2010 |
20100264449 | LIGHT EMITTING APPARATUS - A light emitting apparatus includes a light emitting device mounted on a base. First and second leads are electrically connected to the light emitting device. A first resin molding member formed of thermosetting resin covers at least partially the base and the first and second leads so that the first resin molding member is formed integrally with the base and the first and second leads. A second resin molding member formed of thermosetting resin is in contact with at least a part of the first resin molding member and covers the light emitting device. A recessed portion is formed in the first resin molding member on a light emitting device mount surface side of the base to open upward and to have a side surface. A protection device is mounted on the first lead or the second lead. The protection device is covered by the first resin molding member. | 10-21-2010 |
20100270569 | OPTOELECTRONIC COMPONENT - The invention relates to an optoelectronic component having at least one semi-conductor body ( | 10-28-2010 |
20100270570 | LIGHT EMITTING ELEMENT - The present invention provides a light emitting element comprising a first substrate, a light emitting unit disposed on the first substrate, at least a selective reflection layer disposed on an emitting side of the light emitting unit so that a light of a first color emitted from the light emitting unit passes through the selective reflection layer, and a fluorescent layer disposed on the emitting side of the light emitting unit and converting the light of the first color passing therethrough into a light of a second color, wherein a light of a mixed color is formed by the lights of the first and second color and only the light of the second color is reflected by the selective reflection layer. | 10-28-2010 |
20100270571 | SLIM LED PACKAGE - Disclosed herein is a slim LED package. The slim LED package includes first and second lead frames separated from each other, a chip mounting recess formed on one upper surface region of the first lead frame by reducing a thickness of the one upper surface region below other upper surface regions of the first lead frame, an LED chip mounted on a bottom surface of the chip mounting recess and connected with the second lead frame via a bonding wire, and a transparent encapsulation material protecting the LED chip while supporting the first and second lead frames. | 10-28-2010 |
20100270572 | PHOTONIC CRYSTAL LED - A semiconductor light emitting diode ( | 10-28-2010 |
20100270573 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM INCLUDING THE SAME - Provided are a light emitting device, a light emitting device package, and a lighting system including the light emitting device and the light emitting device package. The light emitting device includes a light emitting structure, a dielectric, a second electrode layer, a semiconductor region, and a first electrode. The light emitting device includes a plurality of semiconductor layers that form a heterojunction that produces light and a homojunction that protects the device from a reverse current. | 10-28-2010 |
20100270574 | Silicone coated light-emitting diode - A silicone coated light-emitting diode and the method for making the silicone coated light-emitting diode. | 10-28-2010 |
20100276711 | Light Emitting Diode Arrangement for High Safety Requirements - In a light emitting diode arrangement for lighting purposes, comprising a circuit board with at least one light generating semiconductor element disposed on the circuit board and conductors extending on the circuit board to the semiconductor element and being electrically connected to terminals of the semiconductor element, a light transmissive element is disposed on the circuit board and covers the semiconductor element and a flame resistant cover element is disposed below the light transmissive element and on top of the terminals to cover the terminals to provide for electrical and flame insulation thereof. | 11-04-2010 |
20100276712 | LIGHT EMITTING DIODE WITH THIN MULTILAYER PHOSPHOR FILM - A multiple layer film and a method of manufacturing the same, the film having a phosphor bearing layer including phosphor and a carrier, and a rigid protective layer. In some embodiments a mixture including phosphor and an uncurable fluid are dispensed onto a surface, and the mixture is at least partially dried. A curable fluid is dispensed onto the at least partially dried mixture, and the curable fluid is cured. | 11-04-2010 |
20100276713 | LED DEVICE AND PACKAGING METHOD THEREOF - A LED device includes a base structure having a receiving space, a light-emitting chip, an encapsulating structure, and a phosphor layer. The receiving space is defined by an inner bottom surface of the base structure and an inner side wall surrounding the inner bottom surface. The light-emitting chip is mounted on the bottom of the receiving space. The encapsulating structure is filled into the receiving space to cover the light-emitting chip. The phosphor layer is formed on the encapsulating structure. The dimension of the phosphor layer is more than the dimension of the receiving space and less than 1.5 times that of the receiving space, so as to mount on the top surface of the base structure. | 11-04-2010 |
20100276714 | CONVERSION LED - A conversion LED is provided. The conversion LED may include a primary light source which emits in the short-wave radiation range below 420 nm, and a luminophore placed in front of it consisting of the BAM system as a host lattice for at least partial conversion of the light source's radiation into longer-wave radiation, wherein the BAM luminophore is applied as a thin layer having a thickness of at most 50 μm directly on the surface of the light source, the BAM luminophore having the general stoichiometry (M1−r Mgr)O*k(Al2O3), where r=0.4 to 0.6 and M=EAeEu1−e, with EA=Ba, Sr, Ca, and e=0.52 to 0.8, and k=1.5 to 4.5. | 11-04-2010 |
20100276715 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - A light emitting device including a second conductive type semiconductor layer; an active layer over the second conductive type semiconductor layer; a first conductive type semiconductor layer over the active layer; a second electrode in a first region under the second conductive type semiconductor layer; a current blocking layer including a metal; and a first electrode over the first conductive type semiconductor layer. Further, the first electrode has at least one portion that vertically overlaps the current blocking layer. | 11-04-2010 |
20100276716 | LIGHT EMITTING DIODE COATING METHOD - Provided is a light emitting diode (hereinafter, referred to as an LED) coating method, and more particularly, an LED coating method that can be used to coat a phosphor, a molding, etc., on an LED. | 11-04-2010 |
20100276717 | LIGHT SCATTERING BY CONTROLLED POROSITY IN OPTICAL CERAMICS FOR LEDS - The present invention relates to a light emitting device ( | 11-04-2010 |
20100276718 | LIGHT EMITTING APPARATUS AND METHOD FOR THE SAME - A light emitting apparatus includes a patterned conductive layer, a light emitting device on the patterned conductive layer, and a first light diffusion layer. The light emitting device and the patterned conductive layer are embedded in the first light diffusion layer. A method of forming such a light emitting apparatus is also disclosed. | 11-04-2010 |
20100276719 | OPTOELECTRONIC DEVICE - An optoelectronic device such as a light-emitting diode chip is disclosed. It includes a substrate, a multi-layer epitaxial structure, a first metal electrode layer, a second metal electrode layer, a first bonding pad and a second bonding pad. The multi-layer epitaxial structure on the transparent substrate comprises a semiconductor layer of a first conductive type, an active layer, and a semiconductor layer of a second conductive type. The first bonding pad and the second bonding pad are on the same level. Furthermore, the first metal electrode layer can be patterned so the current is spread to the light-emitting diode chip uniformly. | 11-04-2010 |
20100276720 | Light Emitting Device Structure and Process for Fabrication Thereof - A light emitting device structure, wherein the emitter layer structure comprises one or more device wells defined by thick field oxide regions, and a method of fabrication thereof are provided. Preferably, by defining device well regions after depositing the emitter layer structure, emitter layer structures with reduced topography may be provided, facilitating processing and improving layer to layer uniformity. The method is particularly applicable to multilayer emitter layer structures, e.g. comprising a layer stack of active layer/drift layer pairs. Preferably, active layers comprise a rare earth oxide, or rare earth doped dielectric such as silicon dioxide, silicon nitride, or silicon oxynitride, and respective drift layers comprise a suitable dielectric, preferably silicon dioxide, of an appropriate thickness to control excitation energy. Pixellated light emitting structures, or large area, high brightness emitter layer structures, e.g. for solid-state lighting applications, may therefore be provided with improved process flexibility and reliability. | 11-04-2010 |
20100283069 | Optical systems fabricated by printing-based assembly - The present invention provides optical devices and systems fabricated, at least in part, via printing-based assembly and integration of device components. In specific embodiments the present invention provides light emitting systems, light collecting systems, light sensing systems and photovoltaic systems comprising printable semiconductor elements, including large area, high performance macroelectronic devices. Optical systems of the present invention comprise semiconductor elements assembled, organized and/or integrated with other device components via printing techniques that exhibit performance characteristics and functionality comparable to single crystalline semiconductor based devices fabricated using conventional high temperature processing methods. Optical systems of the present invention have device geometries and configurations, such as form factors, component densities, and component positions, accessed by printing that provide a range of useful device functionalities. Optical systems of the present invention include devices and device arrays exhibiting a range of useful physical and mechanical properties including flexibility, shapeability, conformability and stretchability. Optical systems of the present invention include, however, devices and device arrays provided on conventional rigid or semi-rigid substrates, in addition to devices and device arrays provided on flexible, shapeable and/or stretchable substrates. | 11-11-2010 |
20100283070 | Nitride semiconductor light emitting device and method of manufacturing the same - There are provided a nitride semiconductor light emitting device having improved light extraction efficiency and a method of manufacturing the same. A nitride semiconductor light emitting device according to an aspect of the invention includes a light emitting lamination including first and second conductivity type nitride semiconductors and an active layer formed therebetween, first and second electrode pads electrically connected to the first and second conductivity nitride semiconductor layers, respectively, a plurality of patterns formed below the second electrode pad and having a depth reaching at least part of the first conductivity type nitride semiconductor layer, and an insulating film formed at an internal surface of the plurality of patterns to electrically insulate a region of a light emitting lamination, which is exposed through the plurality of patterns, from the second electrode pad. | 11-11-2010 |
20100283071 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing an organic electroluminescent display device may comprise forming transistors on a substrate, forming a lower electrode over an insulating layer, forming an insulating layer on the transistors, the lower electrode being coupled to a source or a drain of each of the transistors, forming a bank layer on the lower electrode, the bank layer having openings to expose part of the lower electrode, forming a bus electrode on the bank layer, forming an organic light-emitting layer to cover the lower electrode, the bank layer, and the bus electrode, patterning the organic light-emitting layer using a laser, thereby exposing the bus electrode placed on the bank layer, and forming an upper electrode on the organic light-emitting layer so that the upper electrode comes into contact with the exposed bus electrode. | 11-11-2010 |
20100283072 | Quantum dot-based light sheets useful for solid-state lighting - A quantum dot-based light sheet or film is disclosed. In certain embodiments, a quantum dot-based light sheet includes one or more films or layers comprising quantum dots (QD) disposed on at least a portion of a surface of a waveguide and one or more with LEDs optically coupled to the waveguide. The film or layer can be continuous or discontinuous. The film or layer can optionally further include a host material in which the quantum dots are dispersed. A solid state light-device including a quantum-dot based sheet or film or optical component disclosed herein is also provided. | 11-11-2010 |
20100283073 | Thin-Film LED Having a Mirror Layer and Method for the Production Thereof - A thin-film LED comprising a barrier layer ( | 11-11-2010 |
20100283074 | LIGHT EMITTING DIODE WITH BONDED SEMICONDUCTOR WAVELENGTH CONVERTER - A light emitting diode (LED) has various LED layers provided on a substrate. A multilayer semiconductor wavelength converter, capable of converting the wavelength of light generated in the LED to light at a longer wavelength, is attached to the upper surface of the LED by a bonding layer. One or more textured surfaces within the LED are used to enhance the efficiency at which light is transported from the LED to the wavelength converter. In some embodiments, one or more surfaces of the wavelength converter is provided with a textured surface to enhance the extraction efficiency of the long wavelength light generated within the converter. | 11-11-2010 |
20100283075 | LED WITH ENHANCED LIGHT EXTRACTION - A light emitting device having a plurality of light extracting elements defined on an upper surface of a semiconductor layer of the device, wherein the light extracting elements are adapted to couple light out of the device and to modify the far field emission profile of the device. Each element comprises an elongate region having a length at least twice its width and also greater than the effective dominant wavelength of light generated in the device. The elongate region extends orthogonal to the upper surface but not into the light emitting region of the device and may be oriented at an angle of less than 45° relative to one of a pair of basis axis defining a plane parallel to the semiconductor layer. Each elongate region is spatially separated from neighbouring elongate regions such that it perturbs light generated in the light emitting region independently of the neighbouring regions. | 11-11-2010 |
20100283076 | COATED PHOSPHOR PARTICLES WITH REFRACTIVE INDEX ADAPTION - The invention relates to coated phosphor particles comprising luminescent particles and at least one, preferably substantially transparent, metal, transition-metal or semimetal oxide coating, and to a process for the production thereof. | 11-11-2010 |
20100283077 | LIGHT EMITTING DIODES INCLUDING OPTICALLY MATCHED SUBSTRATES - Light emitting diodes include a diode region comprising a gallium nitride-based n-type layer, an active region and a gallium nitride-based p-type layer. A substrate is provided on the gallium nitride-based n-type layer and optically matched to the diode region. The substrate has a first face remote from the gallium nitride-based n-type layer, a second face adjacent the gallium nitride-based n-type layer and a sidewall therebetween. At least a portion of the sidewall is beveled, so as to extend oblique to the first and second faces. A reflector may be provided on the gallium nitride-based p-type layer opposite the substrate. Moreover, the diode region may be wider than the second face of the substrate and may include a mesa remote from the first face that is narrower than the first face and the second face. | 11-11-2010 |
20100283078 | TRANSPARENT MIRRORLESS LIGHT EMITTING DIODE - An (Al, Ga, In)N light emitting diode (LED) in which multi-directional light can be extracted from one or more surfaces of the LED before entering a shaped optical element and subsequently being extracted to air. In particular, the (Al, Ga, In)N and transparent contact layers (such as ITO or ZnO) are embedded in or combined with a shaped optical element, which may be an epoxy, glass, silicon or other material molded into a sphere or inverted cone shape, wherein most of the light entering the inverted cone shape lies within a critical angle and is extracted. The present invention also minimizes internal reflections within the LED by eliminating mirrors and/or mirrored surfaces, in order to minimize re-absorption of the LED's light by the emitting layer (or the active layer) of the LED. To assist in minimizing internal reflections, transparent electrodes, such as ITO or ZnO, may be used. Surface roughening by patterning or anisotropically etching (i.e., creating microcones) may also assist in light extraction, as well as minimizing internal reflections. | 11-11-2010 |
20100283079 | SEMICONDUCTOR LIGHT EMITTING DEVICE PACKAGE - A semiconductor light emitting device package including a main body including a supporting member and an outside member on the supporting member; at least one semiconductor light emitting device disposed on the supporting member in which the outside member at least partially surrounds the at least one semiconductor light emitting device; first and second electrodes, at least one electrode of the first and second electrodes at least partially extending under the at least one semiconductor light emitting device; a metallic member disposed under the at least one semiconductor light emitting device and extending beyond outside edges of the at least one semiconductor light emitting device; a first molding part surrounded by the outside member and covering the at least one semiconductor light emitting device; and a second molding part disposed on the first molding part, the second molding part formed in a domed shape. Further, the first and second electrodes also extend to a bottom surface of the supporting member. | 11-11-2010 |
20100289043 | HIGH LIGHT EXTRACTION EFFICIENCY LIGHT EMITTING DIODE (LED) THROUGH MULTIPLE EXTRACTORS - An (Al,In,Ga)N and ZnO direct wafer bonded light emitting diode (LED), combined with a second light extractor acting as an additional light extraction method. This second light extraction method aims at extracting the light which has not been extracted by the ZnO structure, and more specifically the light which is trapped in the (Al,In,Ga)N layer. This second method is suited for light extraction from thin films, using surface patterning or texturing, or a photonic crystal acting as a diffraction grating. The combination of both the ZnO structure and the second light extraction method enables most of the emitted light from the LED to be extracted. In a more general extension of the present invention, the ZnO structure can be replaced by another material in order to achieve additional light extraction. In another extension, the (Al,In,Ga)N layer can be replaced by structures comprising other materials compositions, in order to achieve additional light extraction. | 11-18-2010 |
20100289044 | WAVELENGTH CONVERSION FOR PRODUCING WHITE LIGHT FROM HIGH POWER BLUE LED - A white light LED is described that uses an LED die that emits visible blue light in a wavelength range of about 450-470 nm. A red phosphor or quantum dot material converts some of the blue light to a visible red light having a peak wavelength between about 605-625 nm with a full-width-half-maximum (FWHM) less than 80 nm. A green phosphor or quantum dot material converts some of the blue light to a green light having a FWHM greater than 40 nm, wherein the combination of the blue light, red light, and green light produces a white light providing a color rendering of R | 11-18-2010 |
20100289045 | Luminescent Particles, Methods and Light Emitting Devices Including the Same - A luminescent particle includes an interior portion of the luminescent particle comprising a luminescent compound that reacts with atmospherically present components and a passivating layer on an outer surface of the luminescent particle that is operable to inhibit the reaction between the luminescent compound and the atmospherically present components. | 11-18-2010 |
20100289046 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A light emitting device includes: a multilayer body including a light emitting layer made of a semiconductor; a first bonding metal layer attached to the multilayer body; a substrate; and a second bonding metal layer attached to the substrate and bonded to the first bonding metal layer at a bonding interface, at least one of a planar size of the first bonding metal layer on the bonding interface side and a planar size of the second bonding metal layer on the bonding interface side being smaller than a planar size of the substrate. | 11-18-2010 |
20100289047 | Light Emitting Element and Illumination Device - Provided is a light emitting element capable of improving light extraction efficiency and suppressing the nonuniformity of emission intensity distribution over the entire surface of a light extraction surface. The light emitting element is provided with a semiconductor multilayer body having an n-type semiconductor layer and an emission layer and a p-type semiconductor layer, and an electrode pad connected to the p-type semiconductor layer. The semiconductor multilayer body has a large number of projections on one main surface thereof through which the light from the emission layer is emitted. The main surface of the semiconductor multilayer body has a first region located in the vicinity of the electrode pad, and a second region being further separated from the electrode pad than the first region. The interval between the projections in the second region is smaller than that in the first region. | 11-18-2010 |
20100289048 | LIGHT EMITTER - The invention relates to a light emitter, such as an LED sealed with a resin, in particular, an LED wherein irregularities in a surface of a sealing resin can be formed through a simpler process in order to improve the light output efficiency of the LED. The LED is an LED wherein a liquid sealing resin is mixed with a solid transparent resin different from the sealing resin in specific gravity and subsequently the mixture is injected into a package into which an LED chip is integrated and then cured, thereby sealing the chip, characterized in that the solid transparent resin is fixed to the sealing resin to be partially naked to the sealing-resin-side surface of the LED through which light from the LED chip is emitted to the outside, and be partially embedded in the sealing resin, thereby being projected into the form of convexes. This LED is used for an LED displayer, an LCD backlight source, a lighting device or the like. | 11-18-2010 |
20100289049 | LIGHT EMITTING DEVICE - A light emitting device including a first area and a second area adjacent to the first area in a plan view includes: a substrate formed in the first and second areas; a first cladding layer formed above the substrate in the first area; an active layer formed above the first cladding layer; a second cladding layer formed above the active layer; a guide layer formed above the substrate in the second area; and a core layer formed above the guide layer, wherein the active layer has a first side surface and a second side surface opposite to the first side surface, at least part of the active layer forms a gain area, an end surface of the gain area is provided at least along the second side surface, the core layer has a third side surface adjacent to the end surface of the gain area, which is on the side where the second side surface is present, and a fourth side surface opposite to the third side surface, and light produced in the gain area passes through the end surface of the gain area, which is on the side where the second side surface is present, travels through the core layer to the fourth side surface, and exits therethrough. | 11-18-2010 |
20100289050 | LIGHT-EMITTING ELEMENT - Disclosed are a light emitting device and a method of manufacturing the same. The light emitting device includes a second electrode layer, a light emitting semiconductor layer including a second conductive semiconductor layer, an active layer, and a first conductive semiconductor layer on the second electrode layer, a reflective member spaced apart from the light emitting semiconductor layer on the second electrode layer, and a first electrode layer on the first conductive semiconductor layer. | 11-18-2010 |
20100289051 | CHIP COATED LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - A chip coated LED package and a manufacturing method thereof. The chip coated LED package includes a light emitting chip composed of a chip die-attached on a submount and a resin layer uniformly covering an outer surface of the chip die. The chip coated LED package also includes an electrode part electrically connected by metal wires with at least one bump ball exposed through an upper surface of the resin layer. The chip coated LED package further includes a package body having the electrode part and the light emitting chip mounted thereon. The invention improves light efficiency by preventing difference in color temperature according to irradiation angles, increases a yield, miniaturizes the package, and accommodates mass production. | 11-18-2010 |
20100289052 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - Disclosed are a semiconductor light emitting device and a method for manufacturing the same. The semiconductor light emitting device comprises a substrate, in which concave-convex patterns are in at least a portion of a backside of the substrate, and a light emitting structure on the substrate and comprising a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer. | 11-18-2010 |
20100295072 | Light-emitting diode - Disclosed is an improved light-emitting diode, which can be a PLCC or SMD type light-emitting diode. The light-emitting diode includes a package body, at least one pair of conductive terminals, and an optic lens. The package body has an end surface, a circumferential surface extending from the end surface, and a receptacle for accommodating a light-emitting chip. The pair of conductive terminals is fixed to the package body. The optic lens covers the end surface of the package body and is even expanded to cover the circumferential surface of the package body. In this way, effects of improved bonding strength, improved optic advantages, being easy to adjust to a desired angle with the optic lens, and alleviation of troubles caused by overflow of adhesive can be realized. | 11-25-2010 |
20100295073 | Optoelectronic Semiconductor Chip - An optoelectronic semiconductor chip ( | 11-25-2010 |
20100295074 | Light-Emitting Component Having a Wavelength Converter and Production Method - A conversion layer ( | 11-25-2010 |
20100295075 | DOWN-CONVERTED LIGHT EMITTING DIODE WITH SIMPLIFIED LIGHT EXTRACTION - A wavelength converted light emitting diode (LED) device has an LED having an output surface. A multilayer semiconductor wavelength converter is optically bonded to the LED. At least one of the LED and the wavelength converter is provided with light extraction features. | 11-25-2010 |
20100295076 | Semiconductor Component Emitting Polarized Radiation - A semiconductor component emits polarized radiation with a first polarization direction. The semiconductor component includes a chip housing, a semiconductor chip and a chip-remote polarizing filter. | 11-25-2010 |
20100295077 | MANUFACTURE OF LIGHT EMITTING DEVICES WITH PHOSPHOR WAVELENGTH CONVERSION - A method of manufacturing a light emitting device: an LED wafer having an array of LEDs formed on a surface thereof, the method comprises: a) fabricating a sheet of phosphor/polymer material comprising a light transmissive polymer material having at least one phosphor material distributed throughout its volume and in which the polymer material is transmissive to light generated by the LEDs and to light generated by the at least one phosphor material; b) selectively making apertures through the phosphor/polymer sheet at positions corresponding to electrode contact pads of the LEDs of the LED wafer; c) attaching the sheet of phosphor/polymer material to the surface of the LED wafer such that each aperture overlies a respective electrode contact pad; and d) dividing the wafer into individual light emitting devices. The method can further comprise, prior to dividing the LED wafer, cutting slots through the phosphor/polymer material that are configured to pass between individual LEDs. | 11-25-2010 |
20100295078 | MANUFACTURE OF LIGHT EMITTING DEVICES WITH PHOSPHOR WAVELENGTH CONVERSION - A method of manufacturing a light emitting device comprises: a) depositing over substantially the entire surface of a LED diode wafer having an array of LEDs formed on a surface thereof a mixture of at least one phosphor material and a polymer material, wherein the polymer material is transmissive to light generated by the LEDs and to light generated by the at least one phosphor material; b) mechanically stamping the phosphor/polymer mixture with a stamp having features configured such as to form passages in the phosphor/polymer corresponding to electrode contact pads of each LED thereby enabling access to each electrode contact pad; c) curing the polymer; d) removing the stamp; and e) dividing the LED wafer into individual light emitting devices. The stamp comprises a dissolvable material (polyvinyl alcohol) and the stamp is removed by dissolving it using a solvent (e.g. water). | 11-25-2010 |
20100295079 | MANUFACTURE OF LIGHT EMITTING DEVICES WITH PHOSPHOR WAVELENGTH CONVERSION - A method of manufacturing a light emitting device comprises: depositing over substantially the entire surface of a LED wafer having a array of LEDs formed on a surface thereof a mixture of at least one phosphor and a polymer material, wherein the polymer material is transmissive to light generated by the LEDs and to light generated by the at least one phosphor material; using laser ablation to selectively make apertures in the phosphor/polymer material corresponding to electrode contact pads of each LED thereby enabling access to each electrode contact pad; and dividing the wafer into individual light emitting devices. The method can further comprise, prior to dividing the wafer, cutting slots in the phosphor/polymer material which are configured to pass between individual LEDs. The slots are configured such that a layer of phosphor/polymer material remains on the edges of each LED after division of the wafer into individual light emitting devices. | 11-25-2010 |
20100295080 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - A light emitting device may comprise a first semiconductor layer having a first and second surfaces, the first and second surfaces being opposite surfaces, the first semiconductor layer having a plurality of semiconductor columns extending from the second surface, the plurality of semiconductor columns being separated from each other; a light emitting structure formed over the first semiconductor layer, the light emitting structure including a first conductive semiconductor layer, an active layer and a second semiconductor layer, the light emitting structure having a side surface and an exposed side surface of a semiconductor column closest to the side surface of the light emitting structure being non-aligned with the side surface of the light emitting structure; and a substrate provided adjacent to the plurality of semiconductor columns. | 11-25-2010 |
20100295081 | SINGLE OR MULTI-COLOR HIGH EFFICIENCY LIGHT EMITTING DIODE (LED) BY GROWTH OVER A PATTERNED SUBSTRATE - A single or multi-color light emitting diode (LED) with high extraction efficiency is comprised of a substrate, a buffer layer formed on the substrate, one or more patterned layers deposited on top of the buffer layer, and one or more active layers formed on or between the patterned layers, for example by Lateral Epitaxial Overgrowth (LEO), and including one or more light emitting species, such as quantum wells. The patterned layers include a patterned, perforated or pierced mask made of insulating, semiconducting or metallic material, and materials filling holes in the mask. The patterned layer acts as an optical confining layer due to a contrast of a refractive index with the active layer and/or as a buried diffraction grating due to variation of a refractive index between the mask and the material filling the holes in the mask. | 11-25-2010 |
20100295082 | Light emitting package and light emitting package array - Example embodiments may include a light emitting device package. The light emitting device package may include a light emitting device, a package body-including a cavity having a bottom surface on which the light emitting device is mounted and a side surface for reflecting light emitted from the light emitting device, a first electrode protruding from the package body, and a second electrode coupled with the package body. The first and second electrodes may be designed to couple respectively with the second and first electrodes of another light emitting device package, thereby forming an array of light emitting device packages. | 11-25-2010 |
20100295083 | SUBSTRATES FOR MONOLITHIC OPTICAL CIRCUITS AND ELECTRONIC CIRCUITS - A multilayer wafer structure containing a silicon layer that contains at least one waveguide, an insulating layer and a layer that is lattice compatible with Group III-V compounds, with the lattice compatible layer in contact with one face of the insulating layer, and the face of the insulating layer opposite the lattice compatible layer is in contact with the silicon layer. The silicon and insulating layers contain either or both of at least one continuous cavity filled with materials such as to constitute a photodetector zone, or at least one continuous cavity filled with materials such as to constitute a light source zone. | 11-25-2010 |
20100295084 | Method of Fabricating Photoelectronic Device of Group III Nitride Semiconductor and Structure Thereof - A method of fabricating a photoelectric device of Group III nitride semiconductor comprises the steps of: forming a first Group III nitride semiconductor layer on a surface of an original substrate; forming a patterned epitaxial-blocking layer on the first Group III nitride semiconductor layer; forming a second Group III nitride semiconductor layer on the epitaxial-blocking layer and the first Group III nitride semiconductor layer not covered by the epitaxial-blocking layer and then removing the epitaxial-blocking layer; forming a third Group III nitride semiconductor layer on the second Group III nitride semiconductor layer; depositing or adhering a conductive layer on the third Group III nitride semiconductor layer; and releasing a combination of the third Group III nitride semiconductor layer and the conductive layer apart from the second Group III nitride semiconductor layer. | 11-25-2010 |
20100295085 | ORGANIC ELECTROLUMINESCENT ELEMENT, PRODUCTION METHOD FOR THE SAME, PLANAR LIGHT SOURCE, LIGHTING DEVICE, AND DISPLAY DEVICE - An organic electroluminescent element comprising:
| 11-25-2010 |
20100295086 | COMPOUND SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND METHOD OF MANUFACTURING THE SAME, CONDUCTIVE TRANSLUCENT ELECTRODE FOR COMPOUND SEMICONDUCTOR LIGHT-EMITTING ELEMENT, LAMP, ELECTRONIC DEVICE, AND MECHANICAL APPARATUS - The invention provides a compound semiconductor light-emitting element including: a substrate on which an n-type semiconductor layer ( | 11-25-2010 |
20100301357 | Light emitting element - The present invention discloses a light emitting element including a carrier, at least one light emitting chip, an adhesive and a first encapsulated layer. The light emitting chip is fixed onto the carrier by the adhesive, and most of the carrier and adhesive are made of a light absorbing material, so that the external luminescence quantum efficiency of the light emitting element is poor. The invention adopts a first encapsulated layer disposed on the carrier to cover the light absorbing material including the adhesive or carrier, so as to reduce the light absorption and improve the external luminescence quantum efficiency of the light emitting element. | 12-02-2010 |
20100301358 | Semiconductor Substrate, Electronic Device, Optical Device, and Production Methods Therefor - The present invention provides a method for producing a semiconductor substrate, the method including reacting nitrogen (N) with gallium (Ga), aluminum (Al), or indium (In), which are group III elements, in a flux mixture containing a plurality of metal elements selected from among alkali metals and alkaline earth metals, to thereby grow a group III nitride based compound semiconductor crystal. The group III nitride based compound semiconductor crystal is grown while the flux mixture and the group III element are mixed under stirring. At least a portion of a base substrate on which the group III nitride based compound semiconductor crystal is grown is formed of a flux-soluble material, and the flux-soluble material is dissolved in the flux mixture, at a temperature near the growth temperature of the group III nitride based compound semiconductor crystal, during the course of growth of the semiconductor crystal. | 12-02-2010 |
20100301359 | Light Emitting Diode Package Structure - An LED package structure with good heat dissipation, includes a metal plate having at least one recess, a heat-conducting insulating layer directly formed on a surface of the metal plate, a conductor layer directly formed on a surface of the heat-conducting insulating layer, at least one LED chip disposed on a bottom surface of the recess and electrically connected to the conductor layer, and at least one optical lens covering the recess. The heat-conducting insulating layer is oxides or nitrides of the metal plate. | 12-02-2010 |
20100301360 | LIGHTING DEVICES WITH DISCRETE LUMIPHOR-BEARING REGIONS ON REMOTE SURFACES THEREOF - A lighting device includes a semiconductor light emitting device (LED) configured to emit light having a first peak wavelength upon the application of a voltage thereto, an element in adjacent, spaced-apart relationship with the LED, and a pattern of discrete lumiphor-containing regions on a surface of, or within, the element. The lumiphor-containing regions are configured to receive light emitted by the LED and convert at least a portion of the received light to light having a longer wavelength than the first peak wavelength. The remote element may be a lens, a reflective element, or a combination thereof. | 12-02-2010 |
20100301361 | LIGHT EMITTING DIODE - A light emitting diode of the present invention comprises a support frame, a shell, a light emitting diode chip and an encapsulating body. The shell is used to accommodate the support frame. The shell has a bowl-shaped structure and the bowl-shaped structure has two opposite first walls and two opposite second walls. The upper edge of the second wall is a concave-convex structure. The encapsulating body is used to package the bowl-shaped structure and the encapsulating body has a concave-convex structure similar to the concave-convex structure of the bowl-shaped structure. The concave-convex structure comprises a concave portion and a convex portion. The structure of the light emitting diode is simplified. The luminous intensity and the light extraction efficiency of the light emitting diode are enhanced and the thickness of the light emitting diode is not increased. | 12-02-2010 |
20100301362 | Semiconductor light emitting element - A semiconductor light emitting element includes a group III-V compound semiconductor layer, a first main surface and a second main surface, a reflection metal film formed on the second main surface, a front surface electrode formed on the first main surface, and an ohmic contact joint part formed between the reflection metal film and the group III-V compound semiconductor layer except a region directly under the front surface electrode. The ohmic contact joint part is disposed in a side of an outer peripheral part of the semiconductor light emitting element, formed so as to surround the front surface electrode when the ohmic contact joint part is viewed from a side of the front surface electrode, and disposed so that distance from each location of outer edge parts of the front surface electrode to the ohmic contact joint part nearest to the each location becomes equal to each other. | 12-02-2010 |
20100301363 | VERTICAL RESONATOR TYPE LIGHT EMITTING DIODE - A novel vertical resonator type light emitting diode of which has a simplified structure of the reflector layer of its light emitting side an which is resistant to declination of its emission output power towards a high temperature range, has an active layer | 12-02-2010 |
20100301364 | LIGHT EMISSION DEVICE - A light emission device and a display device including the same. The light emission device includes: a substrate body having a concave portion recessed into the substrate body and extending along a first direction; a first electrode in the concave portion and extending along the first direction; a second electrode on a front surface of the substrate body and extending along a second direction crossing the first electrode; an anti-conduction electrode disposed at an edge portion of the substrate body and extending along the second direction to be parallel with the second electrode; and an electron emission unit on the first electrode and spaced apart from the second electrode. Here, each of the second electrode and the anti-conduction electrode includes: a mesh unit having a plurality of opening portions; and a support unit joined to the substrate body while surrounding the mesh unit. | 12-02-2010 |
20100301365 | LIGHT EMITTING DIODE MODULE AND MANUFACTURE METHOD THEREOF - A manufacture method of light emitting diode (LED) module includes: providing a carrier board including a carrying area and a shaping area; arranging at least one substrate having at least one circuit layer in the carrying area of the carrier board; arranging at least one LED in the carrying area of the carrier board; electrically connecting the LED to the circuit layer of the substrate; encapsulating the LED and at least part of the circuit layer by at least one light transmissive encapsulation element; and fabricating the shaping area of the carrier board into a desired appearance. The above-mentioned carrier board not only can be a heat sink but also can be easily fabricated into various types of design shapes. Therefore, a light emitting diode module manufactured by the above-mentioned method has preferred heat dissipation effects and a better appearance with relatively low production costs. | 12-02-2010 |
20100301366 | ORGANIC ELECTRO-LUMINESCENCE DEVICE - According to one embodiment, an organic EL device includes an insulating substrate, an organic EL element including a pixel electrode arranged in an active area above the insulating substrate, an organic layer arranged on the pixel electrode, and an opposed electrode arranged on the organic layer, a wiring arranged in a peripheral area outside the active area above the insulating substrate and electrically connected to the opposed electrode that extends from the active area to the peripheral area, and a conductive layer formed on the opposed electrode in a connection portion that connects the wiring and the opposed electrode. | 12-02-2010 |
20100301367 | LIGHT-EMITTING DEVICE COMPRISING A DOME-SHAPED CERAMIC PHOSPHOR - Some embodiments provide a light-emitting device comprising: a light-emitting diode; a substantially transparent encapsulating material having a refractive index in the range of about 1.3 to about 1.8; a layer of low refractive index material having a refractive index in the range of about 1 to about 1.2; and a translucent ceramic phosphor having a refractive index in the range of about 1.6 to about 2.7, and is substantially dome-shaped with substantially uniform thickness. Some embodiments provide a light-emitting device comprising: a substrate; a light-emitting diode mounted on a surface of the substrate; and a substantially hemispheric cover mounted on the surface of the substrate so as to enclose the light emitting diode; wherein the substantially hemispheric cover comprises an outer layer, a middle layer, and an inner layer arranged concentrically, with the inner layer being nearest the light-emitting diode. | 12-02-2010 |
20100301368 | ORGANIC LIGHT EMITTING DIODE - Provided is an organic light emitting diode (OLED) including a substrate, a first electrode, a second electrode, and an organic layer disposed between the first and second electrodes. The first electrode includes an aluminum (Al)-based reflective film and a transparent conductive film that contacts the Al-based reflective film. The Al-based reflective film includes aluminum, a first element and nickel (Ni). In this structure, galvanic corrosion, which occurs due to a potential difference between electrodes, may not occur between the Al-based reflective film | 12-02-2010 |
20100301369 | HIGH EFFICIENCY LIGHT EMITTING DIODE (LED) WITH OPTIMIZED PHOTONIC CRYSTAL EXTRACTOR - A high efficiency, and possibly highly directional, light emitting diode (LED) with an optimized photonic crystal extractor. The LED is comprised of a substrate, a buffer layer grown on the substrate (if needed), an active layer including emitting species, one or more optical confinement layers that tailor the structure of the guided modes in the LED, and one or more diffraction gratings, wherein the diffraction gratings are two-dimensional photonic crystal extractors. The substrate may be removed and metal layers may be deposited on the buffer layer, photonic crystal and active layer, wherein the metal layers may function as a mirror, an electrical contact, and/or an efficient diffraction grating. | 12-02-2010 |
20100301370 | PHOTOELECTRIC SEMICONDUCTOR DEVICE CAPABLE OF GENERATING UNIFORM COMPOUND LIGHTS - A transparent layer and a phosphor layer are covered on the LED chip for increasing light emission efficiency and evenness of the LED. Based on angle-dependent emission strength of the LED chip, the phosphor layer is designed with different thickness or contains different phosphor powder concentration in different section. The lights emitted with different strength from different angle of the LED chip are transformed into uniform compound lights after passing through the phosphor layer that has different thickness or phosphor powder concentration. Micro structures capable of destroying the full reflection occurred on the incident lights are further configured on both the inner and outer surfaces of the phosphor layer to increase the light emission efficiency. | 12-02-2010 |
20100301371 | LIGHT EMITTING DEVICE - A light emitting device can be characterized as including a light emitting diode configured to emit light and a phosphor configured to change a wavelength of the light. The phosphor substantially covers at least a portion of the light emitting diode. The phosphor includes a compound having a host material. Divalent copper ions and oxygen are components of the host material. | 12-02-2010 |
20100301372 | POWER SURFACE MOUNT LIGHT EMITTING DIE PACKAGE - A light emitting die package includes a substrate, a reflector plate, and a lens. The substrate has traces for connecting an external electrical power source to a light emitting diode (LED) at a mounting pad. The reflector plate is coupled to the substrate and substantially surrounds the mounting pad, and includes a reflective surface to direct light from the LED in a desired direction. The lens is free to move relative to the reflector plate and is capable of being raised or lowered by the encapsulant that wets and adheres to it and is placed at an optimal distance from the LED chip(s). Heat generated by the LED during operation is drawn away from the LED by both the substrate (acting as a bottom heat sink) and the reflector plate (acting as a top heat sink). | 12-02-2010 |
20100301373 | LIGHT-EMITTING DEVICE - A light-emitting device of the present invention includes: a LED chip | 12-02-2010 |
20100308354 | LED WITH REMOTE PHOSPHOR LAYER AND REFLECTIVE SUBMOUNT - A light emitting device comprises a flip-chip light emitting diode (LED) die mounted on a submount. The top surface of the submount has a reflective layer. Over the LED die is molded a hemispherical first transparent layer. A low index of refraction layer is then provided over the first transparent layer to provide TIR of phosphor light. A hemispherical phosphor layer is then provided over the low index layer. A lens is then molded over the phosphor layer. The reflection achieved by the reflective submount layer, combined with the TIR at the interface of the high index phosphor layer and the underlying low index layer, greatly improves the efficiency of the lamp. Other material may be used. The low index layer may be an air gap or a molded layer. Instead of a low index layer, a distributed Bragg reflector may be sputtered over the first transparent layer. | 12-09-2010 |
20100308355 | LIGHT-EMITTING DEVICE HAVING A THINNED STRUCTURE AND THE MANUFACTURING METHOD THEREOF - A semiconductor light-emitting device having a thinned structure comprises a thinned structure formed between a semiconductor light-emitting structure and a carrier. The manufacturing method comprises the steps of forming a semiconductor light-emitting structure above a substrate; attaching the semiconductor light-emitting structure to a support; thinning the substrate to form a thinned structure; forming or attaching a carrier to the thinned substrate; and removing the support. | 12-09-2010 |
20100308356 | Optoelectronic Component - An optoelectronic component comprising the following features is disclosed, at least one semiconductor body ( | 12-09-2010 |
20100308357 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING THE SAME - A light-emitting element ( | 12-09-2010 |
20100308358 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM HAVING THE SAME - Embodiments relate to a light emitting device and a light emitting device package having the same. The light emitting device a light emitting structure including a first conductive type semiconductor layer including a first semiconductor layer and a second semiconductor layer under the first semiconductor layer, an active layer under the second semiconductor layer, and a second conductive type semiconductor layer under the active layer; an electrode layer under the second conductive type semiconductor layer; a first insulating layer on a periphery between the first semiconductor layer and the second semiconductor layer; and a second insulating layer under the first insulating layer, the second insulating layer covering a periphery of the second semiconductor layer, the active layer and the second conductive type semiconductor layer. | 12-09-2010 |
20100308359 | HIGH LIGHT EXTRACTION EFFICIENCY SOLID STATE LIGHT SOURCES - A solid state light source includes a substrate having a top surface and a bottom surface, and at least one optically active layer on the top surface of the substrate. At least one of the top surface, the bottom surface, the optically active layer or an emission surface on the optically active layer includes a patterned surface that includes a plurality of tilted surface features that have a high elevation portion and a low elevation portion that define a height (h), and wherein the plurality of tilted surface features define a minimum lateral dimension (r). The plurality of tilted surface features provide at least one surface portion that has a surface tilt angle from 3 to 85 degrees. The patterned surface has a surface roughness <10 nm rms, and h/r is ≧0.05. | 12-09-2010 |
20100308360 | Nitride semiconductor light emitting device - A nitride semiconductor light emitting device includes a first coat film of aluminum nitride or aluminum oxynitride formed at a light emitting portion and a second coat film of aluminum oxide formed on the first coat film. The thickness of the second coat film is at least 80 nm and at most 1000 nm. Here, the thickness of the first coat film is preferably at least 6 nm and at most 200 nm. | 12-09-2010 |
20100308361 | Wavelength conversion chip for use with light emitting diodes and method for making same - A wavelength conversion chip is formed by depositing a wavelength conversion material on a substrate to form a layer, removing the resulting wavelength conversion layer from the substrate and then segmenting the wavelength conversion layer into a plurality of wavelength conversion chips. The wavelength conversion material can be annealed by thermal annealing or radiation annealing to increase the wavelength conversion efficiency of the chips or to sinter the wavelength conversion material to form a ceramic material. Optical coatings, vias, light extraction elements, electrical connections or electrical bond pads can be fabricated on the wavelength conversion chips. | 12-09-2010 |
20100308362 | Optoelectronic Component - An optoelectronic component ( | 12-09-2010 |
20100308363 | LIGHT EMITTING DEVICE HAVING LIGHT EXTRACTION STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device having a light extraction structure, which is capable of achieving an enhancement in light extraction efficiency and reliability, and a method for manufacturing the same. The light emitting device includes a semiconductor layer having a multi-layered structure including a light emission layer; and a light extraction structure formed on the semiconductor layer in a pattern having unit structures. Further, the wall of each of the unit structures is sloped at an angle of −45° to +45° from a virtual vertical line being parallel to a main light emitting direction of the light emitting device. | 12-09-2010 |
20100308364 | SIDE-VIEW LIGHT EMITTING DIODE PACKAGE HAVING A REFLECTOR - Disclosed herein is a side-view light emitting diode package with a reflector. The side-view light emitting diode package of the present invention comprises first and second lead terminals spaced apart from each other. The package body supports the first and second lead terminals and has an elongated opening through which a light emitting diode chip mounting region and the first and second lead terminals are exposed. Reflectors are formed between the chip mounting region and sidewalls positioned in a major axis direction of the opening. Each of the reflectors has a height lower than that of the sidewall of the opening. Accordingly, light emitted from a light emitting diode chip can be reflected using the reflectors, thereby improving light emitting efficiency of the side-view light emitting diode package. | 12-09-2010 |
20100308365 | COMPOUND SEMICONDUCTOR LIGHT EMITTING DIODE - Disclosed is a compound semiconductor light emitting diode | 12-09-2010 |
20100314641 | Lighting Device - A lighting device can include at least one optoelectronic semiconductor chip, which emits electromagnetic radiation and generates heat in operation, and a reflector. The reflector is suitable for deflecting the electromagnetic radiation and dissipating the heat generated by the optoelectronic semiconductor chip by means of a reflecting surface. | 12-16-2010 |
20100314642 | NITRIDE SEMICONDUCTOR LIGHT-EMITTING DIODE DEVICE - A nitride semiconductor light-emitting diode element | 12-16-2010 |
20100314643 | Thin-film LED with P and N contacts electrically isolated from the substrate - A thin-film LED includes an insulating substrate, an electrode on the insulating substrate, and an epitaxial structure on the electrode. | 12-16-2010 |
20100314644 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescence device including opposite anode and cathode, and a hole-transporting region, an emitting layer and an electron-transporting region in sequential order from the anode between the anode and the cathode, wherein the emitting layer is formed of a red emitting layer, a green emitting layer, and blue emitting layer; the blue emitting layer contains a host BH and a fluorescent dopant FBD; the triplet energy E | 12-16-2010 |
20100314645 | LIGHT EMITTING DEVICE - An embodiment of this invention relates to a light emitting device. The light emitting device disclosed in the embodiment includes: a reflective layer, and a semiconductor layer which includes an emissive layer on said reflective layer, wherein the distance from the reflective layer to the center of the emissive layer corresponds to a constructive interference condition. | 12-16-2010 |
20100314646 | Compositions, optical component, system including an optical component, devices, and other products - The present inventions relate to optical components which include quantum confined semiconductor nanoparticles, wherein at least a portion of the nanoparticles include a ligand attached to a surface thereof, the ligand being represented by the formula: X-Sp-Z, wherein: X represents a primary amine group, a secondary amine group, a urea, a thiourea, an imidizole group, an amide group, an other nitrogen containing group, a carboxylic acid group, a phosphonic or arsonic acid group, a phosphinic or arsinic acid group, a phosphate or arsenate group, a phosphine or arsine oxide group; Sp represents a spacer group, such as a group capable of allowing a transfer of charge or an insulating group; and Z represents: (i) a reactive group capable of communicating specific chemical properties to the nanocrystal as well as provide specific chemical reactivity to the surface of the nanocrystal, and/or (ii) a group that is cyclic, halogenated, or polar a-protic. Compositions, systems, kits, films, inks, and TFEL lamps are also disclosed. | 12-16-2010 |
20100314647 | LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - A light emitting device package comprises: a substrate; first and second conduction members on the substrate; a light emitting diode on the substrate, the light emitting diode being electrically connected with the first and second conduction members; and a phosphor layer on the light emitting diode. | 12-16-2010 |
20100314648 | Device Emitting Radiation and Method for the Production Thereof - A radiation-emitting device with a first electrode, a first emission layer, a second emission layer and a second electrode. The invention additionally relates to a method of producing a radiation-emitting device. | 12-16-2010 |
20100314649 | THIN-FILM LED WITH P AND N CONTACTS ELECTRICALL ISOLATED FROM THE SUBSTRATE - A thin-film LED includes an insulating substrate, an electrode on the insulating substrate, and an epitaxial structure on the electrode. | 12-16-2010 |
20100314650 | LIGHT EMITTING MODULE AND METHOD OF MANUFACTURING THE SAME - There is provided a light emitting module. The light emitting module includes: a semiconductor light emitting element that emits light; and a plate-like optical wavelength conversion member that converts a wavelength of light emitted from the semiconductor light emitting element and emits light having the converted wavelength. The semiconductor light emitting element and the optical wavelength conversion member are directly bonded to each other. | 12-16-2010 |
20100314651 | THIN-FILM LED WITH P AND N CONTACTS ELECTRICALLY ISOLATED FROM THE SUBSTRATE - A thin-film LED includes an insulating substrate, an electrode on the insulating substrate, and an epitaxial structure on the electrode. | 12-16-2010 |
20100314652 | PACKAGE FOR LIGHT EMITTING DEVICE - The present invention discloses a light emitting device package, comprising: a metal base; an electrical circuit layer provided at an upper side of the metal base for providing a conductive path; a light emitting device mounted in a second region having a smaller thickness than a first region on the metal base; an insulating layer sandwiched between the meta base and the electrical circuit layer; an electrode layer provided at an upper side of the electrical circuit layer; and a wire for electrically connecting the electrode layer and the light emitting device. Further, there is provided a light emitting device package which is improved in light emission efficiency since the light emitting device is placed on a small thickness portion of the metal base. | 12-16-2010 |
20100314653 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT - A semiconductor light-emitting device includes a semiconductor multilayer ( | 12-16-2010 |
20100320489 | SEMICONDUCTOR LIGHT EMITTING DEVICE WITH A CONTACT FORMED ON A TEXTURED SURFACE - A device includes a semiconductor structure comprising a light emitting layer disposed between an n-type region and a p-type region. The semiconductor structure includes an n-contact region and a p-contact region. A cross section of the n-contact region comprises a plurality of first regions wherein portions of the light emitting layer and p-type region are removed to expose the n-type region. The plurality of first regions are separated by a plurality of second regions wherein the light emitting layer and p-type region remain in the device. The device further includes a first metal contact formed over the semiconductor structure in the p-contact region and a second metal contact formed over the semiconductor structure in the n-contact region. The second metal contact is in electrical contact with at least one of the second regions in the n-contact region. | 12-23-2010 |
20100320490 | LIGHT EMITTING DIODE PACKAGING STRUCTURE - A light emitting diode (LED) packaging structure includes a base, a transparent layer, and an LED chip. The transparent layer is provided between the LED chip and the base to increase a relative distance between the LED chip and the base. With an increased relative distance between the LED chip and the base and the light transmitting function of the transparent layer, the overall LED packaging structure can have enhanced light extraction efficiency. Further, the transparent layer provides good thermal conductivity and accordingly, forms no harm to the heat dissipation efficiency of the LED. | 12-23-2010 |
20100320491 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - A semiconductor light emitting device comprises a first electrode contacting layer, a first active layer on the first electrode contacting layer, a second electrode contacting layer on the first active layer, a second active layer on the second electrode contacting layer, and a third electrode contacting layer on the second active layer. | 12-23-2010 |
20100320492 | RED EMITTING SIAION-BASED MATERIAL - The invention relates to a red emitting material of the composition a(M | 12-23-2010 |
20100320493 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device comprises a substrate for mounting at least one light emitting element, a reflective film formed on the substrate, an edge of which rises perpendicularly to a surface of the substrate, and at least one light emitting element. A decrease in a reflected luminous flux from a reflective film can be restrained. | 12-23-2010 |
20100320494 | LUMINANCE-ENHANCING POLARISING PLATE FOR AN ORGANIC LIGHT-EMITTING ELEMENT - There is provided a polarizer for organic light emitting diodes (OLED) having improved brightness. The polarizer, which comprises a linear polarizer and a ¼ retardation plate, comprises a reflective polarizer film disposed between the linear polarizer and the ¼ retardation plate and transmitting a polarized light horizontal to the transmission axis of the linear polarizer while reflecting a polarized light vertical to the transmission axis of the linear polarizer. The polarizer may be useful to highly improve the brightness of the OLED device when the polarizer is used in the OLED device. | 12-23-2010 |
20100320495 | WHITE LIGHT EMITTING DEVICE AND VEHICLE LAMP USING THE SAME - A white light emitting device includes a semiconductor light emitting element that has a peak of an emission spectrum in a range of 370 nm to 480 nm, and at least one kind of phosphor that is excited by light emitted from the semiconductor light emitting element to emit visible light. The phosphor is represented by the formula: Sr | 12-23-2010 |
20100320496 | SEMICONDUCTOR DEVICE - A semiconductor device comprises a semiconductor layer having a semiconductor integrated circuit, which is for processing an electrical signal, on a semiconductor substrate and an optical interconnect layer for transmitting an optical signal are joined. Control of modulation of the optical signal transmitted in the optical interconnect layer is performed by an electrical signal from the semiconductor layer, and an electrical signal generated by reception of light in the optical interconnect layer is transmitted to the semiconductor layer. The optical interconnect layer is disposed on the underside of the semiconductor substrate. | 12-23-2010 |
20100327300 | CONTACT FOR A SEMICONDUCTOR LIGHT EMITTING DEVICE - Embodiments of the invention include a semiconductor structure comprising a III-nitride light emitting layer disposed between an n-type region and a p-type region. A contact disposed on the p-type region includes a transparent conductive material in direct contact with the p-type region, a reflective metal layer, and a transparent insulating material disposed between the transparent conductive layer and the reflective metal layer. In a plurality of openings in the transparent insulating material, the transparent conductive material is in direct contact with the reflective metal layer. | 12-30-2010 |
20100327301 | LED LIGHTING DEVICE - An LED lighting device includes a circuit board, a plurality of LED units, a waterproof layer and a middle layer. The LED units are disposed on the circuit board by surface mounted way. The light beam emitted from the LED units emits from the light-emitting surface. The waterproof layer wraps the circuit board and the LED units. The middle layer is located between the light-emitting surface and the water-proof layer. The middle layer extends from a direction of the LED units being disposed on the circuit board so that the middle layer fully covers the light-emitting surface. The light beams passing through the light-emitting surface enters into the waterproof layer via the middle layer. Thereby, the middle layer is located between the LED units and the waterproof layer to make the color of the light beam be more uniform. | 12-30-2010 |
20100327302 | LED MODULE - An LED module includes an LED and a lens located over and enclosing the LED. The lens includes a surface of incidence facing the LED for an incidence of light emitted from the LED and a surface of emission for an emission of the light out of the LED module. The surface of incidence has a convex spherical surface, and the surface of emission has a concave spherical surface corresponding to the convex spherical surface. The convex spherical surface refracts the light incident thereon to redirect the light to the concave spherical surface. The concave spherical surface spreads the light into a wide and uniform beam. The surface of emission further has a first cylinder extending downwardly from an outer edge of the concave spherical surface. A totally reflective coincoid extends downwards from the first cylinder to a bottom of the LED module. | 12-30-2010 |
20100327303 | Light-emitting diode lamp with uniform resin coating - LED lamps with a conformally coated LED chip and methods of manufacturing the same provides for LEDs having predictable color temperature. A conformally coated LED chip includes an LED chip with a conformal resin layer disposed over a portion of the LED chip. The LED lamp may have the characteristics of stable color temperature, substantially even and uniform distribution of illumination, and wide illumination angle. | 12-30-2010 |
20100327304 | ORGANIC EL DEVICE AND DESIGN METHOD THEREOF - An organic electroluminescence device including an organic electroluminescence display part which includes an anode, a cathode and at least a light-emitting layer disposed therebetween, and a lens which controls an optical path of light emitted from the light-emitting layer, wherein the organic electroluminescence device has a ratio of A to B (A/B) of greater than 1, where A denotes a light-extraction efficiency in terms of front brightness when the lens is placed on a surface from which the light is extracted, and B denotes a light-extraction efficiency in terms of front brightness when the lens is not placed on the surface from which the light is extracted, and wherein the organic electroluminescence device has a ratio of φ to a (φ/a) of 1.0 or greater, where a denotes the maximum length of a side of the light-emitting layer and φ denotes an effective diameter of the lens. | 12-30-2010 |
20100327305 | PHOTONIC STRUCTURES FOR EFFICIENT LIGHT EXTRACTION AND CONVERSION IN MULTI-COLOR LIGHT EMITTING DEVICES - A high efficiency light emitting diode (LED) comprised of a substrate, a buffer layer grown on the substrate (if such a layer is needed), a first active region comprising primary emitting species (PES) that are electrically-injected, a second active region comprising secondary emitting species (SES) that are optically-pumped by the light emitted from the PES, and photonic crystals, wherein the photonic crystals act as diffraction gratings to provide high light extraction efficiency, to provide efficient excitation of the SES, and/or to modulate the far-field emission pattern. | 12-30-2010 |
20100327306 | LED BASED LIGHT SOURCE FOR IMPROVED COLOR SATURATION - There is provided a light emitting device comprising a light source comprising at least one light emitting diode emitting visible radiation. The light emitting device further comprises a wavelength converting body comprising a first wavelength converting material, which is arranged to receive light emitted by said light source and which has an emission maximum in the range of from 600 to 700 nm. The first wavelength converting material comprises the elements Mg, Ge, O and Mn. A light emitting device according to the invention produces light having increased saturation of red colors. Moreover, long life and good color stability is achieved. | 12-30-2010 |
20100327307 | Optoelectronic Component - An optoelectronic component having a basic housing or frame and at least one semiconductor chip, specifically a radiation-emitting or-receiving semiconductor chip, in a cavity of the basic housing. In order to increase the efficiency of the optoelectronic component, reflectors are provided in the cavity in the region around the semiconductor chip. These reflectors are formed by virtue of the fact that a filling compound filled at least partly into the cavity is provided, the material and the quantity of the filling compound being chosen in such a way that the filling compound, on account of the adhesion force between the filling compound and the basic housing, assumes a form which widens essentially conically from bottom to top in the cavity, and the conical inner areas of the filling compound serve as reflector. | 12-30-2010 |
20100327308 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURING THE SAME - According to an embodiment, a light emitting apparatus includes a substrate; at least two distinct electrodes on the substrate, wherein the at least two distinct electrodes are spaced from each other; a light emitting device on one of the at least two distinct electrodes; lenses including a first lens and a second lens on the substrate, wherein the second lens is disposed on the first lens, wherein an outermost portion of the second lens is spaced from the substrate; and a supporting unit configured to directly contact the second lens, wherein the supporting unit is formed of a non-conductivity material. | 12-30-2010 |
20110001150 | LIGHT EMITTING DIODE AND METHOD FOR FABRICATING THEREOF - The present invention provides a light-emitting diode (LED) and a method for manufacturing said LED. The LED is characterized that, the silica gel as the interlayer is provided between the transparent organic material and the chip. The method for manufacturing is characterized that, a gel applying step for applying the silica gel and the solidifying step for solidifying the semi-finished product of LED applied with the silica gel are included prior to the material packaging step for packaging using the transparent organic material. The LED of this invention and the LED manufactured by the method of this invention have no light decay for the low-power, such as Ø5 mm or less LED, and little light decay for the high-power LED, and have the advantages of excellent weathering resistance and low production cost. | 01-06-2011 |
20110001151 | LED PACKAGES WITH SCATTERING PARTICLE REGIONS - An LED package comprises at least one LED that emits LED light in an LED emission profile. The LED package includes regions of scattering particles with the different regions scattering light primarily at a target wavelength or primarily within a target wavelength range. The location of the regions and scattering properties are based at least partially on the LED emission profile. The regions scatter their target wavelength of LED light to improve the uniformity of the LED emission profile so that the LED package emits a more uniform profile compared to the LED emission profile. By targeting particular wavelengths for scattering, the emission efficiency losses are reduced. | 01-06-2011 |
20110001152 | LED PACKAGE STRUCTURE FOR FORMING A STUFFED CONVEX LENS TO ADJUST LIGHT-PROJECTING ANGLE AND METHOD FOR MANUFACTURING THE SAME - An LED package structure includes a substrate unit, a light-emitting unit, a light-reflecting unit and a convex package unit. The substrate unit has a substrate body and a chip-placing area. The light-emitting unit has a plurality of LED chips electrically disposed on the chip-placing area. The light-reflecting unit has an annular reflecting resin body surroundingly formed on the substrate body by coating. The annular reflecting resin body surrounds the LED chips that are disposed on the chip-placing area to form a resin position limiting space above the chip-placing area, and the annular reflecting resin body has an inner surface that has been cleaned by plasma to form a clean surface. The convex package unit has a convex package resin body disposed on the substrate body in order to cover the LED chips. The position of the convex package resin body is limited in the resin position limiting space. | 01-06-2011 |
20110001153 | SUBSTRATE BEARING AN ELECTRODE, ORGANIC LIGHT-EMITTING DEVICE INCORPORATING IT, AND ITS MANUFACTURE - A substrate bearing, on one main face, a composite electrode, which includes an electroconductive network which is a layer formed from strands made of an electroconductive material based on a metal and/or a metal oxide, and having a light transmission of at least 60% at 550 nm, the space between the strands of the network being filled by an electroconductive fill material. The composite electrode also includes an electroconductive coating, which may or may not be different from the fill material, covering the electroconductive network, and in electrical connection with the strands, having a thickness greater than or equal to 40 nm, of resistivity ρ1 less than 10 | 01-06-2011 |
20110001154 | Method of preparing an oxynitride phosphor, oxynitride phosphor obtained using the method, and a white light-emitting device including the oxynitride phosphor - A method of preparing oxynitride phosphor represented by Formula 1: | 01-06-2011 |
20110001155 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A method of fabricating a light emitting device comprising: providing a substrate, wherein the substrate comprises a first major surface and a second major surface opposite to the first major surface, forming a plurality of light emitting stack layers on the first major surface, forming an etching protection layer on the plurality of light emitting stack layers, forming a plurality of discontinuous holes or continuous lines on the substrate by a laser beam with the depth of 10˜150 μm, cleaving the substrate through the plurality of discontinuous holes or continuous lines, providing a adhesion layer on the second major surface of the substrate, and expanding the adhesion layer to form a plurality of separated light emitting device. | 01-06-2011 |
20110001156 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A light emitting device includes: a substrate; an LED chip provided on a main surface of the substrate; and a printed resistor element connected in parallel with the LED chip, the printed resistor element being provided in at least one of regions (i) on the main surface of the substrate, (ii) on a back surface of the substrate, and (iii) inside the substrate. According to the arrangement, it is possible to provide: a light emitting device which can emit light having preferable luminance without a reduction in optical output by suppressing light shielding and light absorption of light emitted from the LED toward the outside; and a method for manufacturing the light emitting device. | 01-06-2011 |
20110001157 | LIGHT EMITTING MODULE WITH OPTICALLY-TRANSPARENT THERMALLY-CONDUCTIVE ELEMENT - A light emitting module with improved optical functionality and reduced thermal resistance is described, which comprises a light emitting device (LED), a wavelength converting (WC) element and an inorganic optically-transmissive thermally-conductive (OTTC) element. The WC element is capable of absorbing light generated from the LED at a specific wavelength and re-emitting light having a different wavelength. The re-emitted light and any unabsorbed light exits through at least one surface of the module. The OTTC is in physical contact with the WC element and at least partially located in the optical path of the light. The OTTC comprises one or more layers of inorganic material having a thermal conductivity greater than that of the WC element. As such, a compact unitary integrated module is provided with excellent thermal characteristics, which may be further enhanced when the OTTC provides a thermal barrier for vertical heat propagation through the module but not lateral propagation. | 01-06-2011 |
20110001158 | III-NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE - The present disclosure relates to a Ill-nitride semiconductor light emitting device, comprising: a substrate with a plurality of protrusions formed thereon, each of the plurality of protrusions having three acute portions and three obtuse portions; and a plurality of Ill-nitride semiconductor layers formed over the substrate and including an active layer for generating light by recombination of electrons and holes. | 01-06-2011 |
20110001159 | SUBSTRATE FOR ELECTRONIC DEVICE, LAYERED BODY FOR ORGANIC LED ELEMENT, METHOD FOR MANUFACTURING THE SAME, ORGANIC LED ELEMENT, AND METHOD FOR MANUFACTURING THE SAME - An organic LED element having improved reliability in a long-term use, and having improved external extraction efficiency up to 80% of emitted light is provided. A substrate for an electronic device according to the present invention includes: a translucent substrate; a scattering layer including a glass and being provided on the translucent electrode; a coating layer provided on the scattering layer; and scattering materials that are present in the scattering layer and the coating layer and are not present on a surface of the coating layer, in which a surface of the coating layer has waviness in which a ratio Ra/Rλa of waviness height Ra to waviness period Rλa exceeds 1.0×10 | 01-06-2011 |
20110001160 | SEMICONDUCTOR LIGHT EMITTING DEVICE HAVING ROUGHNESS LAYER - A semiconductor light emitting device includes a substrate, a first conductive semiconductor layer, an active layer on the first conductive semiconductor layer, a second conductive semiconductor layer on the active layer, and a roughness layer on the second conductive semiconductor layer. The second conductive semiconductor layer includes a shape of multiple horns, and the roughness layer includes a shape of multiple horns. The second conductive semiconductor layer includes a roughness in which horn shapes and inverse-horn-shaped shapes are alternately formed, and the roughness has a height of about 0.5 μm to about 1.2 μm and a diameter of about 0.3 μm to about 1.0 μm. | 01-06-2011 |
20110001161 | LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME, AND LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE LIGHT EMITTING DEVICE - Disclosed are a light emitting diode, a method of manufacturing the same, a light emitting device and a method of manufacturing the same. The light emitting device includes a circuit board formed with a first conductive pattern and a second conductive pattern electrically isolated from the first conductive pattern; a light emitting diode electrically connected to the first and second conductive patterns on the circuit board; a first molding member surrounding the light emitting diode; and a second molding member on the first molding member. The light emitting diode includes a conductive support substrate, a reflective electrode layer having a convex center portion on the conductive support substrate, a protective layer on a peripheral portion of the reflective electrode layer, a second conductive semiconductor layer on the reflective layer and the protective layer, an active layer on the second conductive semiconductor layer, a first conductive semiconductor layer on the active layer, and a first electrode layer on the first conductive semiconductor layer. | 01-06-2011 |
20110006321 | COMPOSITION FOR LIGHT EMITTING BODY-POLYMER COMPOSITE, LIGHT EMITTING BODY-POLYMER COMPOSITE, AND LIGHT EMITTING DEVICE INCLUDING THE LIGHT EMITTING BODY-POLYMER COMPOSITE - A composition for a light emitting body-polymer composite, the composition including a light emitting body; and a cross-linkable composition including a monomer represented by Chemical Formula 1. | 01-13-2011 |
20110006322 | WAFER-LEVEL PACKAGE STRUCTURE OF LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - A wafer-level package structure of a light emitting diode and a manufacturing method thereof, and the package structure includes: a die including a first side and a second side opposite to the first side; a first insulating layer on the first side of the die; at least two wires which are arranged on the insulating layer and electrically isolated from each other; bumps which are arranged on the wires and adapted to be electrically connected correspondingly with electrodes of a bare chip of the light emitting diode; at least two discrete lead areas on the second side of the die; and leads in the lead areas, electrically isolated from each other and electrically connected correspondingly with the wires. The invention forms the leads on the second side of the substrate to extract the electrodes of the light emitting diode, that is, the light emitting diode and the leads thereof are located on the two opposite sides of the substrate in the technical solution of the invention, to thereby reduce the area required for the substrate; and the electrodes can be extracted in the subsequent structure of the package without gold wiring to thereby further reduce the volume of the package. | 01-13-2011 |
20110006323 | LIGHTING DEVICE - A lighting device comprising an LED chip having a light emitting surface, and being configured to emit a light from the light emitting surface, a mounting substrate being configured to mount the LED chip, a first color conversion member comprising a first light transmissive material and a first phosphor, the first phosphor being excited by the light which is emitted from the LED chip, thereby giving off a first light having a wavelength which is longer than a wavelength of the light emitted from the LED chip, the first color conversion member being directly disposed on the light emitting surface of the LED chip, a second color conversion member comprising a second light transmissive material and a second phosphor, the second phosphor being excited by the light which is emitted from the LED chip, thereby giving off a second light having a wavelength which is longer than the wavelength of the light emitted from the LED chip, the second color conversion member being shaped to have a dome-shape, wherein the LED chip and the first color conversion member are disposed between the mounting substrate and the second color conversion member. | 01-13-2011 |
20110006324 | Lighting Device - The present invention provides a lighting device with a chip housing with at least one recess, which is defined by a reflective internal surface. The lighting device also includes at least one radiation-emitting semiconductor chip with a chip surface, which is arranged in the recess. A chip-remote angular filter element is integrated into the chip housing and is arranged downstream of the semiconductor chip in a preferred direction. The reflective internal surface is at least ten times as large as the chip surface. | 01-13-2011 |
20110006325 | PHOSPHOR AND LED LIGHT-EMITTING DEVICE USING THE SAME - An LED light emitting device is provided that has high color rendering properties and is excellent color uniformity and, at the same time, can realize even luminescence unattainable by conventional techniques. A phosphor having a composition represented by formula: (Sr | 01-13-2011 |
20110006326 | LIGHT-EMITTING DIODE STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting diode (LED) structure and a method for manufacturing the same are described. The light-emitting diode structure includes a p-type electrode, a bonding substrate, a p-type semiconductor layer, an active layer, an n-type semiconductor layer, an epitaxial growth substrate and an n-type electrode. The bonding substrate is disposed on the p-type electrode. The p-type semiconductor layer is disposed on the bonding substrate. The active layer is disposed on the p-type semiconductor layer. The n-type semiconductor layer is disposed on the active layer. The epitaxial growth substrate is disposed on the n-type semiconductor layer, wherein the epitaxial growth substrate includes an opening penetrating the epitaxial growth substrate. The n-type electrode is disposed in the opening and is electrically connected to the n-type semiconductor layer. | 01-13-2011 |
20110006327 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display according to an exemplary embodiment includes a substrate main body, a plurality of organic light emitting elements formed on the substrate main body, an encapsulation thin film formed on the substrate main body thereby covering the plurality of organic light emitting elements, and a disparity barrier rib layer formed on a boundary region between the plurality of organic light emitting elements. | 01-13-2011 |
20110006328 | LIGHTING UNIT WITH TEMPERATURE COMPENSATION - A lighting unit comprises a packaging substrate ( | 01-13-2011 |
20110006329 | WAVELENGTH CONVERSION MEMBER AND METHOD FOR MANUFACTURING THE SAME - To provide a wavelength conversion member having good surface accuracy and dimensional accuracy even when processed in various shapes, and a method for manufacturing the same. A method for manufacturing a wavelength conversion member, including the steps of: subjecting a preform made of a powder mixture containing a glass powder and an inorganic phosphor powder to heat treatment, thereby obtaining a sintered powder product; and re-press molding the sintered powder product with a die. | 01-13-2011 |
20110006330 | SEMICONDUCTOR LIGHT EMITTING DEVICES INCLUDING AN OPTICALLY TRANSMISSIVE ELEMENT AND METHODS FOR PACKAGING THE SAME - Methods of packaging a semiconductor light emitting device include dispensing a first quantity of encapsulant material into a cavity including the light emitting device. The first quantity of encapsulant material in the cavity is treated to form a hardened upper surface thereof having a shape. A luminescent conversion element is provided on the upper surface of the treated first quantity of encapsulant material. The luminescent conversion element includes a wavelength conversion material and has a thickness at a middle region of the cavity greater than proximate a sidewall of the cavity. | 01-13-2011 |
20110006331 | LIGHT-EMITTING DEVICE WITH A SEMI-REMOTE PHOSPHOR COATING - A complex lens and a light-emitting device comprising a complex lens are disclosed. At least one semiconductor die is disposed on a substrate. The complex lens is created by forming a first lens comprising a clear transparent material directly on a surface of each of at least one die, and by forming an outer lens comprising a clear transparent material filled uniformly with phosphor, directly encapsulating the substrate and the at least one die with the formed first lens. The outer lens is in contact with the substrate either directly or through an intervening reflective layer of the light-emitting device. | 01-13-2011 |
20110006332 | LEDs with LOW OPTICAL LOSS ELECTRODE STRUCTURES - Semiconductor devices in which one or more LEDs are formed include a dielectric region formed on a n/p region of the semiconductor, and that a metallic electrode can be formed on (at least partially on) the region of dielectric material. A transparent layer of a material such as Indium Tin Oxide can be used to make ohmic contact between the semiconductor and the metallic electrode, as the metallic electrode is separated from physical contact with the semiconductor by one or more of the dielectric material and the transparent ohmic contact layer (e.g., ITO layer). The dielectric material can enhance total internal reflection of light and reduce an amount of light that is absorbed by the metallic electrode. | 01-13-2011 |
20110006333 | LIGHT EMITTING DIODE DEVICE - The invention relates to a light emitting diode device comprising a light emitting layer ( | 01-13-2011 |
20110006334 | WHITE LED LAMP, BACKLIGHT, LIGHT EMITTING DEVICE, DISPLAY DEVICE AND ILLUMINATION DEVICE - A white LED lamp including: a conductive portion; a light emitting diode chip mounted on the conductive portion, for emitting a primary light having a peak wavelength of 360 nm to 420 nm; a transparent resin layer including a first hardened transparent resin, for sealing the light emitting diode chip; and a phosphor layer covering the transparent resin layer, the phosphor layer being formed by dispersing a phosphor powder into a second hardened transparent resin, and the phosphor powder receiving the primary light and radiating a secondary light having a wavelength longer than that of the primary light. An energy of the primary light contained in the radiated secondary light is 0.4 mW/lm or less. In the white LED lamp, a backlight, and an illumination device using the white LED lamp an amount of UV light to be contained in the released light and an amount of heat to be generated from the lamp are decreased to be small. | 01-13-2011 |
20110012147 | WAVELENGTH-CONVERTED SEMICONDUCTOR LIGHT EMITTING DEVICE INCLUDING A FILTER AND A SCATTERING STRUCTURE - A semiconductor structure comprises a light emitting layer disposed between an n-type region and a p-type region. A wavelength converting material is disposed over the semiconductor structure. The wavelength converting material is configured to absorb light emitted by the semiconductor structure and emit light of a different wavelength. A filter configured to reflect blue ambient light is disposed over the wavelength converting material. A scattering structure is disposed over the wavelength converting layer. The scattering structure is configured to scatter light. In some embodiments, the scattering structure is a transparent material having a rough surface, containing non-wavelength-converting particles that appear substantially white in ambient light, or including both a rough surface and white particles. | 01-20-2011 |
20110012148 | LIGHTING DEVICE WITH LIGHT SOURCES POSITIONED NEAR THE BOTTOM SURFACE OF A WAVEGUIDE - A device according to embodiments of the invention includes a waveguide, typically formed from a first section of transparent material. A light source is disposed proximate a bottom surface of the waveguide. The light source comprises a semiconductor light emitting diode and a second section of transparent material disposed between the semiconductor light emitting diode and the waveguide. Sidewalls of the second section of transparent material are reflective. A surface to be illuminated is disposed proximate a top surface of the waveguide. In some embodiments, an edge of the waveguide is curved. | 01-20-2011 |
20110012149 | REFLECTIVE SUBSTRATEFOR LEDS - An underfill formation technique for LEDs molds a reflective underfill material to encapsulate LED dies mounted on a submount wafer while forming a reflective layer of the underfill material over the submount wafer. The underfill material is then hardened, such as by curing. The cured underfill material over the top of the LED dies is removed using microbead blasting while leaving the reflective layer over the submount surface. The exposed growth substrate is then removed from all the LED dies, and a phosphor layer is molded over the exposed LED surface. A lens is then molded over the LEDs and over a portion of the reflective layer. The submount wafer is then singulated. The reflective layer increases the efficiency of the LED device by reducing light absorption by the submount without any additional processing steps. | 01-20-2011 |
20110012150 | LIGHT EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - A light-emitting device comprises a second conductive type semiconductor layer, an active layer on the second conductive type semiconductor layer, a first conductive type semiconductor layer on the active layer, and a nonconductive semiconductor layer on the first conductive type semiconductor layer, the nonconductive semiconductor layer comprising a light extraction structure. | 01-20-2011 |
20110012151 | LIGHT EMITTING DEVICE - A light emitting device includes: a mounting member including a recess; a light emitting element provided in the recess and made of a semiconductor; an electrostatic discharge protection element provided in the recess and connected parallel to the light emitting element; and a translucent resin layer mixed with a filler capable of reflecting emitted light from the light emitting element, covering the electrostatic discharge protection element and not covering the light emitting element. | 01-20-2011 |
20110012152 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - Disclosed are a light emitting device and a light emitting device package having the same. The light emitting device includes a light emitting structure that includes a first conductive type semiconductor layer, an active layer on the first conductive type semiconductor layer, and a second conductive type semiconductor layer on the active layer, a first electrode including at least one arm shape and contacted with a portion of the first conductive type semiconductor layer, an insulating layer covering the first electrode, and a second electrode including on at least one arm shape, wherein the second electrode disposes on at least one of the insulating layer and the second conductive type semiconductor layer. | 01-20-2011 |
20110012153 | Light emitting package and methods of fabricating the same - Example embodiments are directed to a light emitting package having a structure that prevents variance in a depth of a cavity in which a chip is mounted and a method of fabricating the same. A light emitting package includes a package body including a first body including the cavity and a second body bonded to the first body. The cavity penetrates the first body. A first electrode and a second electrode separate from each other are on the package body. A first dielectric layer is between the package body and the first electrode and between the package body and the second electrode. A light emitting element is placed in the cavity and electrically connected to the first electrode and the second electrode. A method of fabricating the light emitting package includes forming the first body and the second body bonded to the first body through a dielectric layer, forming the cavity in the first body and forming the light emitting element in the cavity. | 01-20-2011 |
20110012154 | LED ELEMENT AND METHOD FOR MANUFACTURING LED ELEMENT - Provided is a GaN-based LED element having a novel structure for improving output by increasing light extraction efficiency. A GaN-based LED element comprising: a semiconductor laminated structure in which an n-type GaN-based semiconductor layer is arranged on the side of a lower surface of a p-type GaN-based semiconductor layer having an upper surface and the lower surface, and a light emitting part comprising a GaN-based semiconductor is interposed between the layers; a p-side electrode formed on the upper surface of the p-type GaN-based semiconductor layer; and an n-side electrode electrically connected to the n-type GaN-based semiconductor layer, wherein the p-side electrode comprises a transparent conductive film comprising a window region serving as a window for extracting light generated in the light emitting part, and a flat section and a rough surface section formed by a roughening treatment are arranged to form a predetermined mixed pattern on the upper surface of the p-type GaN-based semiconductor layer covered with the window region of the transparent conductive film. | 01-20-2011 |
20110012155 | Semiconductor Optoelectronics Structure with Increased Light Extraction Efficiency and Fabrication Method Thereof - A semiconductor optoelectronic structure with increased light extraction efficiency and a fabrication method thereof are presented. The semiconductor optoelectronic structure includes continuous grooves formed under an active layer of the semiconductor optoelectronic structure to reflect light from the active layer and thereby direct more light through a light output surface so as to increase the light intensity from the semiconductor optoelectronic structure. | 01-20-2011 |
20110012156 | LIGHT EMITTING DEVICES INCLUDING WAVELENGTH CONVERTING MATERIAL - Light-emitting devices and associated methods are provided. The light emitting devices can have a wavelength converting material-coated emission surface. | 01-20-2011 |
20110012157 | TRANSPARENT HEAT SPREADER FOR LEDS - A heat spreader for an LED can include a thermally conductive and optically transparent member. The bottom side of the heat spreader can be configured to attach to a light emitting side of the LED. The top and/or bottom surface of the heat spreader can have a phosphor layer formed thereon. The heat spreader can be configured to conduct heat from the LED to a package. The heat spreader can be configured to conduct heat from the phosphors to the package. By facilitating the removal of heat from the LED and phosphors, more current can be used to drive the LED. The use of more current facilitates the construction of a brighter LED, which can be used in applications such as flashlights, displays, and general illumination. By facilitating the removal of heat from the phosphors, desired colors can be better provided. | 01-20-2011 |
20110012158 | MANUFACTURING METHOD AND INTEGRATED CIRCUIT HAVING A LIGHT PATH TO A PIXILATED ELEMENT - The present invention relates to a manufacturing method of an integrated circuit (IC) comprising a substrate ( | 01-20-2011 |
20110012159 | PACKAGE FOR OPTICAL SEMICONDUCTOR DEVICE, OPTICAL SEMICONDUCTOR DEVICE USING THE PACKAGE, AND METHODS FOR PRODUCING SAME - The present invention is a package for optical semiconductor devices, and an optical semiconductor device using the package, which can prevent discoloration of a plating layer formed on a lead frame even when a silicone resin is used as a sealing resin for an optical semiconductor device, and which enables high luminous efficiency for a long time. | 01-20-2011 |
20110012160 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device | 01-20-2011 |
20110018015 | CONTACT FOR A SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor structure includes a light emitting layer disposed between an n-type region and a p-type region. A p-electrode is disposed on a portion of the p-type region. The p-electrode includes a reflective first material in direct contact with a first portion of the p-type region and a second material in direct contact with a second portion of the p-type region adjacent to the first portion. The first material and second material are formed in planar layers of substantially the same thickness. | 01-27-2011 |
20110018016 | REDUCED COLOR OVER ANGLE VARIATION LEDS - A light emitting diode (LED) package includes an LED die includes a stack of semiconductor layers including an active region, and a wavelength converting element over the LED die. The wavelength converting element includes two or more non-flat surfaces that produce a desired angular color distribution pattern. | 01-27-2011 |
20110018017 | LED WITH MOLDED REFLECTIVE SIDEWALL COATING - A submount wafer, having mounted on it an array of LEDs with a phosphor layer, is positioned with respect to a mold having an array of indentions. A mixture of silicone and 10%-50%, by weight, TiO | 01-27-2011 |
20110018018 | SEMICONDUCTOR CHIP PACKAGE STRUCTURE FOR ACHIEVING ELECTRICAL CONNECTION WITHOUT USING WIRE-BONDING PROCESS AND METHOD FOR MAKING THE SAME - A semiconductor chip package structure for achieving electrical connection without using wire-bonding process includes an insulative substrate unit, a package unit, a semiconductor chip, a first conductive unit, an insulative unit and a second conductive unit. The package unit is disposed on the insulative substrate unit to form a receiving groove. The semiconductor chip is received in the receiving groove. The semiconductor chip has a plurality of conductive pads. The first conductive unit has a plurality of first conductive layers formed on the package body, and one side of each first conductive layer is electrically connected to each conductive pad. The insulative unit has an insulative layer formed between the first conductive layers in order to insulate the first conductive layers from each other. The second conductive unit has a plurality of second conductive layers respectively formed on another sides of the first conductive layers. | 01-27-2011 |
20110018019 | SEMICONDUCTOR CHIP PACKAGE STRUCTURE FOR ACHIEVING FLIP-CHIP TYPE ELECTRICAL CONNECTION WITHOUT USING WIRE-BONDING PROCESS AND METHOD FOR MAKING THE SAME - A semiconductor chip package structure for achieving flip-chip electrical connection without using a wire-bonding process includes a package unit, a semiconductor chip, a first insulative layer, first conductive layers, a second insulative layer, and second conductive layers. The package unit has a receiving groove. The semiconductor chip is received in the receiving groove and has a plurality of conductive pads disposed on its top surface. The first insulative layer is formed between the conductive pads to insulate the conductive pads. The first conductive layers are formed on the first insulative layer and the package unit, and one side of each first conductive layer is electrically connected to the corresponding conductive pad. The second insulative layer is formed between the first conductive layers in order to insulate the first conductive layers from each other. The second conductive layers are respectively formed on the other opposite sides of the first conductive layers. | 01-27-2011 |
20110018020 | SIDE EMITTING DEVICE WITH WAVELENGTH CONVERSION - A side-emitting light emitting device ( | 01-27-2011 |
20110018021 | LIGHT EMITTING DEVICE PACKAGE AND METHOD FOR FABRICATING THE SAME - Disclosed are a light emitting device package and a method for fabricating the same. The light emitting device package includes: a trench formed in a substrate; a light emitting structure which is directly grown on a first area of the trench in the substrate; an electrode on the substrate; a wire bonding connecting the electrode with the light emitting structure; and | 01-27-2011 |
20110018022 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light-emitting device of the present invention includes: a substrate ( | 01-27-2011 |
20110018023 | ORGANIC ELECTROLUMINESCENCE ELEMENT, LIGHTING DEVICE, AND DISPLAY DEVICE - An object of the present invention is to provide an organic EL element having high light extraction efficiency and to provide a lighting device and a display device provided using the organic EL element. The organic EL element | 01-27-2011 |
20110018024 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND ILLUMINATING APPARATUS USING THE SAME - A semiconductor light emitting element, including: an n-type semiconductor layer having optical transparency with an emission wavelength of a light emitting layer, the light emitting layer and a p-type semiconductor layer, which are laminated; and a reflection film which is disposed on a side opposite to a surface from which light emitted from the light emitting layer is extracted, wherein the reflection film comprises: a transparent layer having optical transparency with the emission wavelength of the light emitting layer, and a metal layer, which is laminated on the transparent layer on a side opposite to the light emitting layer and is constituted by a metal material having a high reflectance, the transparent layer has a refractive index lower than a refractive index of a layer disposed on a side of the light emitting layer when viewed from the transparent layer, with the emission wavelength, and a thickness of the transparent layer is equal to or more than a value obtained by dividing a value of ¾ of the emission wavelength by the refractive index of the transparent layer. | 01-27-2011 |
20110024778 | Optoelectronic device - This invention relates to optoelectronic devices of improved efficiency. In particular it relates to light emitting diodes, photodiodes and photovoltaics. By careful design of periodic microstructures, e.g. gratings, associated with such devices more efficient light generation or detection is achieved. | 02-03-2011 |
20110024779 | ORGANIC EL DEVICE - An organic EL device in the present invention comprises a light-transmissive substrate | 02-03-2011 |
20110024780 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode includes a display substrate assembly including an organic light emitting element; an encapsulation substrate assembly disposed on the display substrate assembly with a space therebetween and sealed with the display substrate assembly in a vacuum-tight manner; and a filling agent filling the space between the display substrate assembly and the encapsulation substrate assembly. The filling agent is adapted to selectively absorb external light entering through the encapsulation substrate assembly and incident on the organic light emitting element as a function of wavelength in a wavelength band of the external light to control transmittance. | 02-03-2011 |
20110024781 | Light emitting device - A light emitting device has a light emitting layer having a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type different from the first conductivity type, and an active layer sandwiched between the first semiconductor layer and the second semiconductor layer, a reflecting layer provided on a side of one surface of the light emitting layer, which reflects a light emitted from the active layer, a supporting substrate provided on an opposite side of the reflecting layer with respect to the light emitting layer, which supports the light emitting layer via an adhesion layer, an ohmic contact portion provided on a part of the reflecting layer, which electrically connects between the reflecting layer and the light emitting layer, and convexo-concave portions formed on other surface of the light emitting layer and side surfaces of the light emitting layer, respectively, and an insulating film configured to cover the convexo-concave portions. | 02-03-2011 |
20110024782 | LOW OPTICAL LOSS ELECTRODE STRUCTURES FOR LEDS - An electrode structure is disclosed for enhancing the brightness and/or efficiency of an LED. The electrode structure can have a metal electrode and an dielectric material formed intermediate the electrode and a light emitting semiconductor material. Electrical continuity between the semiconductor material and the metal electrode is provided by an optically transmissive ohmic contact layer, such as a layer of Indium Tin Oxide. The metal electrode thus can be physically separated from the semiconductor material by one or more of the dielectric material and the ohmic contact layer. The dielectric layer can increase total internal reflection of light at the interface between the semiconductor and the dielectric layer, which can reduce absorption of light by the electrode. Such LED can have enhanced utility and can be suitable for uses such as general illumination. | 02-03-2011 |
20110024783 | LIGHT EMITTING DIODE - A light emitting diode includes: an electrically conductive permanent substrate having a reflective top surface; an epitaxial film disposed on the reflective top surface of the permanent substrate and having an upper surface and a roughened lower surface that is opposite to the upper surface, the roughened lower surface having a roughness with a height of not less than 300 nm and a plurality of peaks which are in ohmic contact with the reflective top surface; an optical adhesive filled in a gap between the lower surface and the reflective top surface and connecting the epitaxial film to the permanent substrate; and a top electrode disposed on the upper surface and in ohmic contact with the epitaxial film. | 02-03-2011 |
20110024784 | LIGHT-EMITTING ELEMENT - Disclosed is a light emitting device. The light emitting device includes a first conductive semiconductor layer, an active layer on the first conductive semiconductor layer, a second conductive semiconductor layer on the active layer, a passivation layer surrounding the first conductive semiconductor layer, the active layer, and the second conductive semiconductor layer, a first light extracting structure layer having a concave-convex structure on the passivation layer, a first electrode layer electrically connected to the first conductive semiconductor layer through the passivation layer and the first light extracting structure layer, and a second electrode layer electrically connected to the second conductive semiconductor layer through the passivation layer and the light extracting structure layer. | 02-03-2011 |
20110031516 | LED WITH SILICONE LAYER AND LAMINATED REMOTE PHOSPHOR LAYER - A method for fabricating a light emitting device is described where an array of flip-chip light emitting diode (LED) dies are mounted on a submount wafer. Over each of the LED dies is simultaneously molded a hemispherical first silicone layer. A preformed flexible phosphor layer, comprising phosphor powder infused in silicone, is laminated over the first silicone layer to conform to the outer surface of the hemispherical first silicone layer. A silicone lens is then molded over the phosphor layer. By preforming the phosphor layer, the phosphor layer may be made to very tight tolerances and tested. By separating the phosphor layer from the LED die by a molded hemispherical silicone layer, color vs. viewing angle is constant, and the phosphor is not degraded by heat. The flexible phosphor layer may comprise a plurality of different phosphor layers and may comprise a reflector or other layers. | 02-10-2011 |
20110031517 | METHOD FOR FABRICATING PIXEL STRUCTURE - A fabricating method for a pixel structure is provided. First, a substrate having an active device and a capacitor electrode line thereon is provided. Next, a passivation layer is formed on the substrate to cover the active device. After that, a light shielding layer is formed on the passivation layer to define a unit area. Next, an ink-jet printing is performed to form a color filter pattern within the unit area defined by the light shielding layer. After that, a portion of the color filter pattern is removed to form a first hole above active device. Next, the passivation layer exposed by the first hole is removed so as to form a contact hole exposing a portion of the active device. After that, a pixel electrode is formed on the color filter pattern to fill into the contact hole so as to electrically connect with active device. | 02-10-2011 |
20110031518 | LED DEVICE - A LED device includes a LED having a light-emitting surface and adapted for emitting light through the light-emitting surface, and a reflector formed of three or more than three reflecting layers having the peripheral surfaces thereof sloping at different angles and arranged in a stack on the light-emitting surface of the LED for letting the light emitted by the LED pass and/or reflecting and/or refracting the light to enhance luminous uniformity and luminous brightness and to avoid light concentration at the center or the formation of a corona. | 02-10-2011 |
20110031519 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light emitting device includes a light emitting portion, and an electrode formed on the light emitting portion. The electrode includes: a light reflecting layer configured to reflect light emitted from the light emitting portion and including a first metal; a first seed layer formed directly on the light reflecting layer and including a second metal; a second seed layer coating at least side surfaces of the light reflecting layer and the first seed layer, the second seed layer including a third metal; and a plating layer coating at least top and side surfaces of the second seed layer, the plating layer including a fourth metal. | 02-10-2011 |
20110031520 | LIGHT EMITTING MODULE - A light emitting module includes: a light emitting element including: a first light emitting surface, and second light emitting surfaces bordering the first light emitting surface; an optical wavelength conversion member that converts a wavelength of light emitted from the light emitting element, wherein the optical wavelength conversion member is plate-shaped and is disposed such that an incident surface of the optical wavelength conversion member faces the first light emitting surface; and a reflecting member disposed to face the incident surface of the optical wavelength conversion member, the reflecting member comprising a reflecting surface. The reflecting surface faces the second light emitting surfaces, and the reflecting surface is inclined such that a distance between the reflecting surface and the second light emitting surfaces is gradually increased toward the incident surface of the optical wavelength conversion member. | 02-10-2011 |
20110031521 | COMPOSITE PHOSPHOR POWDER, LIGHT EMITTING DEVICE USING THE SAME AND METHOD FOR MANUFACTURING COMPOSITE PHOSHPOR POWDER - The invention provides a high quality composite phosphor powder which ensures diversity in emission spectrum, color reproduction index, color temperature and color, a light emitting device using the same and a method for manufacturing the composite phosphor powder. The composite phosphor powder comprises composite particles. Each of the composite particles includes at least two types of phosphor particles and a light transmitting binder. The phosphor particles have different emission spectrums. In addition, the light transmitting binder is formed between the phosphor particles and binds them together. | 02-10-2011 |
20110031522 | NITRIDE-BASED SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A nitride-based semiconductor light-emitting device | 02-10-2011 |
20110031523 | WHITE LIGHT EMITTING DEVICE, BACKLIGHT, LIQUID CRYSTAL DISPLAY DEVICE, AND ILLUMINATING DEVICE - A white light emitting device includes a blue light emitting diode chip that emits blue light in a specific wavelength band, a first resin layer that seals the blue light emitting diode chip and includes a cured product of silicone resin, and a second resin layer that covers the first resin layer and includes phosphor powder, which absorbs the blue light and emits light in a specific wavelength band, and a cured product of transparent resin. The phosphor powder has a composition represented by the following Formula (1): | 02-10-2011 |
20110037090 | LIGHT EMITTING DIODE - A light emitting diode includes an epitaxial layer, an electroder electrically conductive members, a light incident layer, a light reflecting layer, an adhesive, and an electrically conductive permanent substrate. The epitaxial layer has first and second surfaces. The electrode is disposed on the second surface of the epitaxial layer. The electrically conductive members are formed on the first surface of the epitaxial layer and are spaced apart from each other. The light incident layer is formed on the first surface of the epitaxial layer at regions where none of the electrically conductive members are formed. The light reflecting layer is formed on the light incident layer and the electrically conductive members, and has indented parts and non-indented parts. The adhesive is disposed in the indented parts of the light reflecting layer. The permanent substrate is bonded to the light reflecting layer through the adhesive and through wafer bonding. | 02-17-2011 |
20110037091 | PACKAGE FOR LIGHT EMITTING DIODE, LIGHT EMITTING DEVICE, AND LIGHT EMITTING DEVICE MANUFACTURING METHOD - The present invention relates to a light emitting diode package for mounting a light emitting diode, a light emitting diode device with the light emitting diode package mounting a vertical electrode type light emitting diode thereon and a manufacturing method for manufacturing the light emitting device. | 02-17-2011 |
20110037092 | LIGHT-EMITTING ELEMENT - A light-emitting device includes an n-type semiconductor layer | 02-17-2011 |
20110042699 | Substrate for light emitting diode package and light emitting diode package having the same - A substrate for a light emitting diode (LED) package, and an LED package having the same are disclosed. The substrate for an LED package includes: a metal plate; an insulation oxide layer formed on a portion of the surface of the metal plate; a first conductive pattern formed at one region of the insulation oxide layer and providing a light emitting diode mounting area; and a second conductive pattern formed at another region of the insulation oxide layer such that it is separated from the first conductive pattern. In the substrate for an LED package, because regions of the insulation oxide layer other than regions for insulating conductive patterns are removed, heat generated from the light emitting diode can be effectively released. In addition, degradation of reflexibility and luminance of the LED due to the insulation oxide layer can be prevented. | 02-24-2011 |
20110042700 | DIFFUSER FOR LED LIGHT SOURCES - An LED light source, which includes at least one LED, a panel between the LED and the light emission surface of the light source, and a filler material inside the panel containing a material to diffuse the light from the at least one LED by Mie scattering. | 02-24-2011 |
20110042701 | OPTOELECTRONIC DEVICE WITH LIGHT DIRECTING ARRANGEMENT AND METHOD OF FORMING THE ARRANGEMENT - An optoelectronic device ( | 02-24-2011 |
20110042702 | Organic Light Emitting Device and Method for Manufacturing the Same - Provided are an organic light emitting device and a method for manufacturing the same. The organic light emitting device includes: a substrate; an organic light emitting device layer on the substrate; an encapsulation layer on the organic light emitting device, the encapsulation layer comprising at least one first layer and at least one second layer on the first layer, the first layer having a different refractive index from the second layer; and a moisture transmission layer on the encapsulation layer, the moisture transmission layer being configured to prevent moisture from permeating the encapsulation layer. The encapsulation layer is formed by stacking material layers having different refractive indexes to protect the organic light emitting device layer. Thus, light emitted to lateral surfaces of the organic light emitting device which is a surface emitting device can be directed toward a front surface to improve optical radiation efficiency. | 02-24-2011 |
20110042703 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND MANUFACTURING METHOD THEREOF - The organic electroluminescence element includes a substrate and an anode metal layer above the substrate. The anode metal layer includes an inner region between a pair of outer regions. A metal oxide layer is above the inner region and the outer regions of the anode metal layer. An insulating layer is above the metal oxide layer and the outer regions of the anode metal layer. A hole transport layer is above the metal oxide layer and the inner region of the anode metal layer. An organic luminescent layer is above the hole transport layer. A cathode layer is above the organic luminescent layer for injecting electrons into the organic luminescent layer. A thickness of the metal oxide layer on the inner region of the anode metal layer is greater than a thickness of the metal oxide layer on the outer regions of the anode metal layer. | 02-24-2011 |
20110042704 | METHOD OF COATING SULFIDE PHOSPHOR AND LIGHT EMITTING DEVICE EMPLOYING COATED SULFIDE PHOSPHOR - A method of coating phosphor powder with a composite oxide, and a light emitting device that employs the phosphor powder coated with the composite oxide are disclosed. The method includes mixing a silicon oxide precursor and a precursor of another oxide in water and alcohol to form a primary coating layer on a sulfide phosphor through a sol-gel reaction, heat treating the primary coating layer to form a composite oxide layer of the silicon oxide and the other oxide from the primary coating layer. The method improves moisture stability of the sulfide phosphor compared to a sulfide phosphor coated with a single silicon oxide film. | 02-24-2011 |
20110042705 | SEMICONDUCTOR LIGHT EMITTING DIODES INCLUDING MULTIPLE BOND PADS ON A SINGLE SEMICONDUCTOR DIE - A light emitting device includes a single semiconductor die light emitting diode and at least five bond pads on the single semiconductor die. The bond pads may be in the four corners and at least one midpoint of the single semiconductor die. A wavelength conversion layer may be provided and bond pad extensions may extend through the wavelength conversion layer. Multiple wire bond connections may also be provided. | 02-24-2011 |
20110042706 | AlxGa(1-x)As Substrate, Epitaxial Wafer for Infrared LEDs, Infrared LED, Method of Manufacturing AlxGa(1-x)As Substrate, Method of Manufacturing Epitaxial Wafer for Infrared LEDs, and Method of Manufacturing Infrared LEDs | 02-24-2011 |
20110049545 | LED PACKAGE WITH PHOSPHOR PLATE AND REFLECTIVE SUBSTRATE - After flip chip LEDs are mounted on a submount wafer and their growth substrates removed, a phosphor plate is affixed to the exposed top surface of each LED. A reflective material, such as silicone containing at least 5% TiO | 03-03-2011 |
20110049546 | HIGH REFLECTIVITY MIRRORS AND METHOD FOR MAKING SAME - A composite high reflectivity mirror (CHRM) with at least one relatively smooth interior surface interface. The CHRM includes a composite portion, for example dielectric and metal layers, on a base element. At least one of the internal surfaces is polished to achieve a smooth interface. The polish can be performed on the surface of the base element, on various layers of the composite portion, or both. The resulting smooth interface(s) reflect more of the incident light in an intended direction. The CHRMs may be integrated into light emitting diode (LED) devices to increase optical output efficiency. | 03-03-2011 |
20110049547 | FABRICATING METHOD AND STRUCTURE OF A WAFER LEVEL MODULE - A fabricating method and structure form a wafer level module with a solid adhesive film. A first solid adhesive film includes a first release film and a second release film that respectively cover a first surface and a second surface of the first solid adhesive film. Openings are patterned through the first solid adhesive film. After removing parts of the first release film to expose the first surface of the first solid adhesive film, the exposed first surface of the first solid adhesive film is aligned and adhered to a first substrate. | 03-03-2011 |
20110049548 | Patterning method of metal oxide thin film using nanoimprinting, and manufacturing method of light emitting diode - A method for forming a metal oxide thin film pattern using nanoimprinting according to one embodiment of the present invention includes: coating a photosensitive metal-organic material precursor solution on a substrate; pressurizing the photosensitive metal-organic material precursor coating layer to a mold patterned to have a protrusion and depression structure; forming the metal oxide thin film pattern by irradiating ultraviolet rays to the pressurized photosensitive metal-organic material precursor coating layer to cure it; and removing the patterned mold from the metal oxide thin film pattern. | 03-03-2011 |
20110049549 | Light emitting devices and methods of manufacturing the same - Light emitting devices and methods of manufacturing the light emitting devices. The light emitting devices include a silicon substrate; a metal buffer layer on the silicon substrate, a patterned dispersion Bragg reflection (DBR) layer on the metal buffer layer; and a nitride-based thin film layer on the patterned DBR layer and regions between patterns of the DBR layer. | 03-03-2011 |
20110049550 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting element, includes: a laminated structure body including an n-type semiconductor layer, a p-type semiconductor layer, and a light emitting layer; a p-side electrode provided in contact with the p-type semiconductor layer; an n-side electrode provided in contact with the n-type semiconductor layer; a highly reflective insulating layer provided in contact with the n-type semiconductor layer and having a higher reflectance than a reflectance of the n-side electrode; and an upper metal layer provided on at least a part of the n-side electrode and on at least a part of the highly reflective insulating layer and electrically connected to the n-side electrode. An area of a region of the n-side electrode in contact with the n-type semiconductor layer is smaller than an area of a region of the highly reflective insulating layer sandwiched between the n-type semiconductor layer and the upper metal layer. | 03-03-2011 |
20110049551 | Illuminating Device - A lighting device ( | 03-03-2011 |
20110049552 | LIGHT EMITTING DIODE PACKAGE - There is provided a light emitting diode (LED) package. The LED package includes A light emitting diode (LED) package includes a pair of lead frames connected with at least one LED chip through a metal wire, a package body integrally fixed with the lead frames and having a cavity having an open top, a lead frame bent downwardly to a lower part of an external mounting surface of the package body, a light-transmissive, transparent resin covering the LED chip and filling the cavity, a recess formed in a bottom surface of the cavity, in which the LED chip is mounted, and a transparent resin including a fluorescent material formed in the recess and the cavity. Accordingly, the amount of light-transmissive, transparent resin filling the cavity is reduced to save on manufacturing costs, and the height of the resin is lowered to improve the luminance of light. Also, the height of the package body is lowered, contributing to manufacturing a small product. | 03-03-2011 |
20110049553 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided. The light emitting device package includes a substrate including a first cavity having a first depth and a lateral surface inclined with respect to a bottom surface and a second cavity having a second depth recessed from the bottom surface of the first cavity and a lateral surface perpendicular to the bottom surface of the first cavity, a first electrode layer and a second electrode layer on the substrate, and a light emitting diode within the second cavity, the light emitting diode being electrically connected to the first and second electrode layers. | 03-03-2011 |
20110049554 | PACKAGE BASE STRUCTURE AND MANUFACTURING METHOD THEREOF - A package base structure for packaging a light-emitting element and a related manufacturing process are provided. The package base structure includes a semiconductor substrate having a top surface, a receiving space in the top surface and defined by slant surfaces, and a micro diffractive optical element on one of the slant surfaces. To produce the package base structure, a first etching mask with a first etching window is formed on the top surface. The etching window has a sidewall oriented at a bias angle with respect to a specific equivalent crystallographic orientation of the semiconductor substrate. Then, a selective anisotropic etching procedure is performed through the first etching window to form the slant surfaces on the semiconductor substrate. Afterwards, the micro diffractive optical element is formed on the slant surface for collimating or focusing a light beam emitted from the light-emitting element. | 03-03-2011 |
20110049555 | Optoelectronic Semiconductor Chip and Method for Producing Same - An optoelectronic semiconductor chip has a semiconductor layer sequence having an active layer that generates radiation between a layer of a first conductivity type and a layer of a second conductivity type. The layer of the first conductivity type is adjacent to a front side of the semiconductor layer sequence. The semiconductor layer sequence contains at least one cutout extending from a rear side, lying opposite the front side, of the semiconductor layer sequence through the active layer to the layer of the first conductivity type. The layer of the first conductivity type is electrically connected through the cutout by means of a first electrical connection layer which covers the rear side of the semiconductor layer sequence at least in places. | 03-03-2011 |
20110057215 | Light-Emitting Diode Display Module - An LED display module is provided, including a cover element, with the cover element having lenses on one side and the other side having a housing space to house a lighting module, a frame element and the water-proof pad located at the junction of the cover element and the frame element to prevent water from leaking through into the LED display module. | 03-10-2011 |
20110057216 | Low profile optoelectronic device package - A low profile optoelectronic device package has a matalized transparent substrate, a chip and a dam ring. The matalized transparent substrate has a transparent board, a window area, and a metal pattern formed on a face of the transparent board and around the window area and having at least one outer contact pad and at least two contact pads. An active face of the chip is mounted to the at least two inner contact pads and aligned to the window area. A bottom face of the chip, that is opposite to the active face is further added a soldering layer. The dam ring is sealed a joint between the chip and the matalized transparent substrate so as to define an air cavity among the chip, the matalized transparent substrate and the dam ring. The matalized transparent substrate is used as a substrate and an optical cover of a conventional device package, so the optoelectronic device package provides low profile, small area outline, low fabricating cost and high lighting efficiency. | 03-10-2011 |
20110057217 | Led Package structure for increasing heat-dissipating and light-emitting efficiency and method for manufacturing the same - An LED package structure for increasing heat-dissipating and light-emitting efficiency includes a substrate unit, an alloy unit, a light-emitting unit, a conductive unit and a package unit. The substrate unit has a substrate body, a first conductive pad, a second conductive pad and a chip-placing pad. The alloy unit has a Ni/Pd alloy formed on the chip-placing pad. The light-emitting unit has an LED chip positioned on the Ni/Pd alloy of the alloy unit by solidified solder ball or glue. The conductive unit has two conductive wires, and the LED chip is electrically connected to the first conductive pad and the second conductive pad by the two conductive wires, respectively. The package unit has a light-transmitting package gel body formed on the top surface of the substrate body in order to cover the light-emitting unit and the conductive unit. | 03-10-2011 |
20110057218 | RADITION-EMITTIN SEMICONDUCTOR COMPONENT,RECEPTACLE FOR A RADIATION-EMITTING SEMICONDUCTOR COMPONENT,AND METHOD FOR PRODUCING A RADIATION-EMITTING SEMICONDUCTOR COMPONENT - A semiconductor based component with radiation-emitting properties. A glass substrate ( | 03-10-2011 |
20110057219 | NITRIDE-BASED SEMICONDUCTOR LIGHT EMITTING DEVICE - An exemplary nitride-based semiconductor light emitting device includes a substrate, a nitride-based multi-layered structure epitaxially formed on the substrate, a first-type electrode and a second-type electrode formed on the nitride-based multi-layered structure and connected with the first-type layer and the second-type layer, respectively. The multi-layered structure includes a first-type layer, an active layer and a second-type layer arranged along a direction away from the substrate in the order written. The second-type layer defines a number of grooves at the top surface. Each groove has a side surface and a bottom surface adjoining the side surface. The side surface and the bottom surface cooperatively form an included angle which is in a range from 140 degree to 160 degree. | 03-10-2011 |
20110057220 | NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE - A nitride semiconductor light-emitting device includes a laminate structure formed of a plurality of nitride semiconductor layers including a light-emitting layer, and having cavity facets facing each other, a first protection film made of AlN, formed over a light-emitting facet of the cavity facets, and a second protection film made of Al | 03-10-2011 |
20110057221 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD FOR PRODUCING THE SAME - To provide an organic electroluminescence device including: an organic electroluminescence portion which includes at least an anode, a light-emitting layer and a cathode; a sealing layer which covers a surface of the cathode of the organic electroluminescence portion; a lens which is provided over the sealing layer and controls an optical path of light emitted from the light-emitting layer; and a low-refractive-index layer provided between the sealing layer and the lens, wherein the low-refractive-index layer has a refractive index lower than a refractive index of the sealing layer. | 03-10-2011 |
20110057222 | ORGANIC ELECTROLUMINESCENT ELEMENT, AND METHOD FOR PRODUCING THE SAME - The present invention provides a method for producing an organic electroluminescent element, the method including: arranging, on a surface of a substrate having an electrostatic charge, particles provided with a surface electrostatic charge opposite to the electrostatic charge on the surface of the substrate, so that the particles are fixed on the surface of the substrate with an electrostatic force, and forming a thin film on the surface of the substrate on which the particles have been fixed. | 03-10-2011 |
20110057223 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - Embodiments relate to a light emitting device, a light emitting device package, and a lighting system including the same. The light emitting device includes a light emitting structure, a second electrode under the light emitting structure and an insulating layer disposed on the at least one of the protrusions. The second electrode includes a bottom member and at least one of protrusions on the bottom member that penetrates the second conductive type semiconductor layer and the active layer. The at least one of the protrusions includes an upper portion and a lower portion having different size. | 03-10-2011 |
20110057224 | LIGHT EMITTING DEVICE, SYSTEM AND PACKAGE - A light emitting device includes a light emitting structure formed from an active layer located between two semiconductor layers. An insulator extends through the active layer and at least partially through the semiconductor layers, and the light emitting structure is located between a first electrode and a second electrode layer. The first electrode and insulator overlap one another and may have the same or different widths. | 03-10-2011 |
20110057225 | Light Emitting Device - A light emitting device includes a leadframe, a light emitting unit, a transparent encapsulant, and a fluorescent colloid layer. The light emitting unit is disposed on the leadframe. The transparent encapsulant covers the light emitting unit, wherein the transparent encapsulant has a concave on which at least one reflective surface is disposed. The fluorescent colloid layer is disposed outside the transparent encapsulant, wherein a chamber is formed between the fluorescent colloid layer and the transparent encapsulant. The light generated by the light emitting unit is reflected by the reflective surface and guided to a side wall of the fluorescent colloid layer. | 03-10-2011 |
20110057226 | LED Module Comprising a Dome-shaped Color Conversion Layer - An LED module comprises at least one LED chip ( | 03-10-2011 |
20110057227 | LED Comprising a Multiband Phosphor System - The invention relates to an LED module comprising at least one blue LED and a color conversion layer that is applied thereto and emits a mixed light of the blue light of the LED and the convened spectrum of the color conversion layer. The color conversion layer has at least three different phosphors which at least partially convert the light of the blue LED into red, green, and yellow or yellowish-green light. The phosphor for conversion into red light is a doped nitride compound, preferably a nitridosilicate. | 03-10-2011 |
20110062468 | PHOSPHOR-CONVERTED LIGHT EMITTING DIODE DEVICE - A light emitting diode is provided which is capable of emitting a first light having a first peak wavelength. The light emitting diode is provided with a phosphor layer overlying the light emitting diode and capable of absorbing the first light and emitting a second light having a second peak wavelength. The phosphor layer includes a pattern of holes positioned to allow the first peak wavelength to exit through the holes without being absorbed by the phosphor layer, and wherein the holes are placed to facilitate more of the first peak wavelength to exit the phosphor in the area of the holes than the second peak wavelength. | 03-17-2011 |
20110062469 | MOLDED LENS INCORPORATING A WINDOW ELEMENT - A light emitter includes a light-emitting device (LED) die and an optical element over the LED die. The optical element includes a lens, a window element, and a bond at an interface disposed between the lens and the window element. The window element may be a wavelength converting element or an optically flat plate. The window element may be directly bonded or fused to the lens, or the window element may be bonded by one or more intermediate bonding layers to the lens. The bond between the window element and the lens may have a refractive index similar to that of the window element, the lens, or both. | 03-17-2011 |
20110062470 | REDUCED ANGULAR EMISSION CONE ILLUMINATION LEDS - A light emitting diode (LED) package includes a support, an LED die mounted on the support, a reflector around the LED die, and a lens over the LED die. The reflector has an angled reflective surface that limits the light emission angle from the LED package. The reflector is a part of the lens or the support. | 03-17-2011 |
20110062471 | LED MODULE WITH HIGH INDEX LENS - An array of housings with housing bodies and lenses is molded, or an array of housing bodies is molded and bonded with lenses to form an array of housings with housing bodies and lenses. Light-emitting diodes (LEDs) are attached to the housings in the array. An array of metal pads may be bonded to the back of the array or insert molded with the housing array to form bond pads on the back of the housings. The array is singulated to form individual LED modules. | 03-17-2011 |
20110062472 | WAVELENGTH-CONVERTED SEMICONDUCTOR LIGHT EMITTING DEVICE - A light emitting diode includes a semiconductor structure comprising a light emitting layer disposed between an n-type region and a p-type region, and n- and p-contacts disposed on the n- and p-type regions. The light emitting layer is configured to emit light of a first peak wavelength. A wavelength converting material is positioned in a path of light emitted by the light emitting layer. The wavelength converting material is configured to absorb light of the first peak wavelength and emit light of a second peak wavelength. The light emitting diode is configured such that a light emission pattern from the light emitting diode complements a light emission pattern from the wavelength converting material. | 03-17-2011 |
20110062473 | LIGHT EMITTING DEVICE - A light emitting device includes a light emitting element, a wire connected to the light emitting element, and a substrate supporting the light emitting element. The substrate is formed with a first recess and a second recess that are open in a common surface of the substrate. The first recess includes a first bottom surface and a first side surface connected to the first bottom surface, and the light emitting element is disposed on the first bottom surface. The second recess includes a second bottom surface and a second side surface connected to the second bottom surface, and the wire is bonded to the second bottom surface. Both of the first side surface and the second side surface reach the common surface. The first side surface is connected to both of the second bottom surface and the second side surface. The opening area of the first recess is larger than the opening area of the second recess. | 03-17-2011 |
20110062474 | LIGHT-EMITTING DIODE DEVICE AND FABRICATION METHOD THEREOF - A light-emitting diode device includes a frame, a light-emitting diode die, a fluorescent layer, a reflector, and a lens. The light-emitting diode die is disposed on the frame. The fluorescent layer is directly molded to cover the light-emitting diode die. The reflector is directly molded on the frame, surrounding the light-emitting diode die, and configured to direct light from the light-emitting die in a predetermined direction. The lens is directly molded within the reflector, covering the fluorescent layer. | 03-17-2011 |
20110062475 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a substrate and a plurality of pixels on the substrate. The pixels include a plurality of first electrodes, a second electrode, a white light emitting layer, and a first thin film layer between the first electrodes and the second electrode. White light emitted from the white light emitting layer causes resonance to occur between the first electrodes and the second electrode. | 03-17-2011 |
20110062476 | LIGHT-EXTRACTION MEMBER, ORGANIC EL ELEMENT, AND METHOD FOR PRODUCING THE ORGANIC EL ELEMENT - A light-extraction member for use in a light-emitting display device, the light-extraction member including a light-extracting substrate which is disposed on the light-extraction side of the light-emitting display device, a color filter layer formed over the light-extracting substrate, and a lens member formed over the color filter layer, wherein the color filter layer is bonded via an adhesive portion to a convex top portion of the lens member. | 03-17-2011 |
20110062477 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed are a semiconductor light emitting device. The semiconductor light emitting device comprises a light emitting structure comprising a plurality of compound semiconductor layers, a passivation layer at the outside of the light emitting structure, a first electrode layer on the light emitting structure, and a second electrode layer under the light emitting structure. | 03-17-2011 |
20110062478 | SEMICONDUCTOR LIGHT EMITTING DEVICES INCLUDING FLEXIBLE UNITARY FILM HAVING AN OPTICAL ELEMENT THEREIN - A semiconductor light emitting device includes a substrate having a face, a flexible unitary film that includes an optical element therein on the face, and a semiconductor light emitting element between the substrate and the flexible film that is configured to emit light through the optical element. The flexible unitary film extends conformally on the face of the substrate outside the semiconductor light emitting element and also extends on the semiconductor light emitting element. | 03-17-2011 |
20110062479 | METHOD OF MANUFACTURING GROUP-III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE, AND GROUP-III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE, AND LAMP - Provided are a method of manufacturing a group-III nitride semiconductor light-emitting device in which a light-emitting device excellent in the internal quantum efficiency and the light extraction efficiency can be obtained, a group-III nitride semiconductor light-emitting device and a lamp. Included are an epitaxial step of forming a semiconductor layer ( | 03-17-2011 |
20110062480 | SEMI-CONDUCTOR LIGHT-EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device includes a first conductive semiconductor layer, an active layer under the first conductive semiconductor layer, a second conductive semiconductor layer under the active layer, a second electrode layer under the second conductive semiconductor layer; and an insulating layer on an outer peripheral surface of at least two layers of the first conductive semiconductor layer, the active layer, and the second conductive semiconductor layer. | 03-17-2011 |
20110062481 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device cutting off ambient light while keeping emission intensity includes a pair of first and second electrodes opposed to each other; and a plurality of organic semiconductor layers layered and disposed between the first and second electrodes, wherein the organic semiconductor layers include an organic light-emitting layer, the organic semiconductor device further comprising a light-scattering layer layered and disposed between the organic light-emitting layer and at least one of the first and second electrodes. The light-scattering layer includes: organic materials having carrier injection and transport characteristics of transporting electrons and/or holes; and plural particles dispersed among the organic materials so that light emitted from the organic light-emitting layer is passed therethrough. | 03-17-2011 |
20110068356 | Method of manufacturing light emitting diode packaging lens and light emmiting diode package - A method of manufacturing light emitting diode packaging lens and packages made by using the method are disclosed in the present invention. By using electrophoretic deposition, one or more layers of phosphors are coated onto one surface of a cup which has a curved portion. The cup is used for the packaging lens. Thickness of phosphor layer can be controlled and distribution of phosphor particles is uniform. Therefore, light emitting diode packages with the lens can be a uniform light source. | 03-24-2011 |
20110068357 | ORGANIC ELECTROLUMINESCENT ELEMENT - The organic electroluminescent element of the present invention includes at least a pair of electrodes, at least one organic light-emitting layer between the pair of electrodes, and at least one lens A on a light-extracting surface of the pair of electrodes, wherein one of the pair of electrodes is a reflective electrode, and the other is a semi-transmissive/semi-reflective electrode, and wherein a real part n | 03-24-2011 |
20110068358 | PHOTOELECTRIC DEVICE, METHOD OF FABRICATING THE SAME AND PACKAGING APPARATUS FOR THE SAME - A method for fabricating a photoelectric device initially provides a ceramic substrate comprising a thermal dissipation layer on a bottom layer of the ceramic substrate, an electrode layer on the top surface of the ceramic substrate, and a reflective structure in cavities of the ceramic substrate. Next, a plurality of photoelectric dies is disposed on the top surface of the ceramic substrate. Then, a first packaging layer is formed on the top surfaces of the photoelectric dies. Next, the ceramic substrate is placed between an upper mold and a lower mold. Finally, a plurality of lenses is formed on the top surface of the first packaging layer by using an injection molding technique or a transfer molding technique. | 03-24-2011 |
20110068359 | Light-emitting element - A light-emitting element includes a semiconductor laminated structure including a first semiconductor layer, a light-emitting layer and a second semiconductor layer, an insulation layer provided on the semiconductor laminated structure, a first wiring including a first vertical conducting portion and a first planar conducting portion and being electrically connected to the first semiconductor layer, the first vertical conducting portion extending inside the insulation layer, the light-emitting layer and the second semiconductor layer in a vertical direction and the first planar conducting portion extending inside the insulation layer in a planar direction, and a second wiring including a second vertical conducting portion and a second planar conducting portion and being electrically connected to the second semiconductor layer, the second vertical conducting portion extending inside the insulation layer in a vertical direction and the second planar conducting portion extending inside the insulation layer in a planar direction. | 03-24-2011 |
20110068360 | SEMICONDUCTOR LIGHT EMITTING ELEMENT, METHOD FOR MANUFACTURING THE SAME, AND LIGHT EMITTING DEVICE - The present invention provides a semiconductor light emitting element with excellent color rendering properties, a method for manufacturing the semiconductor light emitting element, and a light emitting device. The semiconductor light emitting element includes: a semiconductor substrate that has a convex portion having a tilted surface as an upper face, and a concave portion formed on either side of the convex portion, the concave portion having a smaller width than the convex portion, a bottom face of the concave portion being located in a deeper position than the upper face of the convex portion; and a light emitting layer that is made of a nitride-based semiconductor and is formed on the semiconductor substrate so as to cover at least the convex portion. | 03-24-2011 |
20110068361 | HIGH PERFORMANCE LIGHT-EMITTING DEVICES - A light emitting device in the form of a layered structure has a passive bottom multilayer stack including a cathode layer, a cavity layer including a light emitting region, a passive top multilayer stack including a hole transport layer, and a transparent anode layer. The passive bottom and top multilayer stacks are devoid of a light emitting layer. A transparent substrate, through which light is emitted from the device, is located over the top multilayer stack. At least one functional additional layer group in the passive top multilayer stack controls the reflectance of the passive top multilayer stack and phase changes occurring upon reflection from the passive top multilayer stack in the cavity layer. | 03-24-2011 |
20110073889 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light-emitting device of the invention includes: a semiconductor layer including a light-emitting layer and having a first major surface and a second major surface opposite to the first major surface; a phosphor layer facing to the first major surface; an interconnect layer provided on the second major surface side and including a conductor and an insulator; and a light-blocking member provided on a side surface of the semiconductor layer and being opaque to light emitted from the light-emitting layer. | 03-31-2011 |
20110073890 | METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT-EMITTING DEVICE AND SEMICONDUCTOR LIGHT EMITTING DEVICE - A method for manufacturing a semiconductor light-emitting device of the invention includes: forming a semiconductor layer including a light-emitting layer and a first interconnect layer on a major surface of a temporary substrate; dividing the semiconductor layer and the first interconnect layer into a plurality of chips by a trench; collectively bonding each divided portion of the first interconnect layer of a plurality of chips to be bonded not adjacent to each other out of the plurality of chips on the temporary substrate to a second interconnect layer while opposing the major surface of the temporary substrate and the major surface of a supporting substrate forming the second interconnect layer, and collectively transferring a plurality of the bonded chips from the temporary substrate to the supporting substrate after irradiating interfaces between the bonded chips and the temporary substrate and separating the chips and the temporary substrate from each other. | 03-31-2011 |
20110073891 | AC DRIVEN LIGHT EMITTING DIODE LIGHT APPARATUS, AND ITS AC DRIVEN LIGHT EMITTING DIODE PACKAGE ELEMENT THEREIN - An AC driven light emitting diode light apparatus, and its AC driven light emitting diode package element therein are provided. The AC driven light emitting diode package element includes a heat sinking substrate, a chip set, a pair of electrodes, and a package body. The heat sinking substrate has a fixing flange extended from a rim of the heat sinking substrate for fixing the heat sinking substrate on a support. The chip set is on the heat sinking substrate. The conductive electrodes are respectively set at two opposite sides of the heat sinking substrate. The package body envelops the heat sinking substrate, the chip set and a part of the conductive electrodes to be one. | 03-31-2011 |
20110073892 | LIGHT EMITTING DEVICE - A light emitting device having a relatively simple configuration is provided that emits stable light having a plurality of wavelengths. The light emitting device | 03-31-2011 |
20110073893 | LED STRUCTURE TO INCREASE BRIGHTNESS - A light emitting semiconductor device comprising an LED having an emission aperture located on a surface of the LED and the emission aperture has a size that is smaller than a surface area of the LED where the emission aperture is formed. The device further includes a reflector surrounding both side walls, a bottom surface, and portions of a surface of the LED where the emission aperture is formed or surrounding the bottom surface and portions of the surface of the LED where the emission aperture is formed so that an area on the surface uncovered by the reflector is the emission aperture and is smaller than the area of the LED. Alternatively, in the light emitting semiconductor, the surface of the LED substantially aligned with the emission aperture may be roughened and the surface of the LED beyond the emission aperture may be smooth. The surface of the LED beyond the emission aperture may also be covered by a low loss reflector. | 03-31-2011 |
20110073894 | LIGHT-EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - In one aspect of the invention, an LED includes a substrate, an n-type semiconductor layer, a light emitting layer, a p-type semiconductor layer and a transparent conductive layer sequentially stacked on the substrate, and p-type and n-type electrodes. The p-type semiconductor layer has a rough surface region and at least one flat surface region. The transparent conductive layer has a rough surface region and a flat surface region corresponding to the rough surface region and the at least one flat surface region of the p-type semiconductor layer, respectively. The p-type electrode is disposed on the flat surface region of the transparent conductive layer. The n-type electrode is electrically couple to the n-type semiconductor layer. | 03-31-2011 |
20110073895 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a semiconductor light emitting element, a lead electrically connected to the semiconductor light emitting element, and a resin package covering the semiconductor light emitting element and part of the lead. The resin package includes a lens facing the semiconductor light emitting element. The lead includes an exposed portion that is not covered by the resin package. The exposed portion includes a first portion and a second portion, where the first portion has a first mount surface oriented backward along the optical axis of the lens, and the second portion has a second mount surface oriented perpendicularly to the optical axis of the lens. | 03-31-2011 |
20110073896 | System for Wafer-Level Phosphor Deposition - System for wafer-level phosphor deposition. A method for phosphor deposition on a semiconductor wafer that has a plurality of LED dies includes the operations of covering the semiconductor wafer with a selected thickness of photo resist material, removing portions of the photo resist material to expose portions of the semiconductor wafer so that electrical contacts associated with the plurality of LED dies remain unexposed, and depositing phosphor on the exposed portions of the semiconductor wafer. | 03-31-2011 |
20110073897 | ORGANIC LED AND MANUFACTURING METHOD THEREOF - The present invention provides an organic light emitting diode comprising a substrate, a first electrode provided on the substrate, one or more organic material layers provided on the first electrode, a second electrode provided on the organic material layer, and a light extraction layer provided on the top portion of the second electrode, and a method for manufacturing the same. The organic light emitting diode according to the present invention minimizes total internal reflection of the light emitted from a device to improve the light emitting efficiency. | 03-31-2011 |
20110073898 | LED MODULE - The present invention relates to a LED module which converts pump light from a LED chip ( | 03-31-2011 |
20110073899 | WHITE LIGHT SOURCE, BACKLIGHT, LIQUID CRYSTAL DISPLAY APPARATUS, AND ILLUMINATING APPARATUS - A white light source includes: an insulating substrate; a light-emitting diode chip provided on the insulating substrate and that emits ultraviolet light with a wavelength of 330 nm to 410 nm; and a phosphor layer formed to cover the light-emitting diode chip, including a red emitting phosphor, a green emitting phosphor, and a blue emitting phosphor as a phosphor, and the phosphors are dispersed in a cured transparent resin, wherein when it is assumed that the shortest distance between a surface of the phosphor layer and a peripheral portion of the light-emitting diode chip is t(mm) and the mean free path defined by the following expression (1) is L(mm), the t and L satisfy 3.2≦t/L. | 03-31-2011 |
20110079801 | OPTOELECTRONIC DEVICES WITH LAMINATE LEADLESS CARRIER PACKAGING IN SIDE-LOOKER OR TOP-LOOKER DEVICE ORIENTATION - A laminate leadless carrier package comprising an optoelectronic chip, a substrate supporting the chip, the substrate comprising a plurality of conductive and dielectric layers; a wire bond coupled to the optoelectronic chip and a wire bond pad positioned on the top surface of the substrate; an encapsulation covering the optoelectronic chip, the wire bond, and at least a portion of the top surface of the substrate, wherein the encapsulation is a molding compound; and wherein the package is arranged to be mounted as a side-looker. A process for manufacturing laminate leadless carrier packages, comprising preparing a substrate; applying epoxy adhesive to a die attach pad; mounting an optoelectronic chip on the die attach pad; wire-bonding the optoelectronic chip; molding a molding compound to form an encapsulation covering the optoelectronic chip, a wire bond, and the top surface of the substrate; and dicing the substrate into individual packages. | 04-07-2011 |
20110079802 | LIGHT EMITTER - Embodiments of light sources are disclosed herein. An embodiment of the light source comprises a lead frame having a first side and a second side. A hole extends through the lead frame between the first side and the second side. An adhesive is located in the hole and extends beyond the hole, wherein the adhesive extends beyond the diameter of the hole on the first side and the second side of the first lead frame. A light emitter adhered to the adhesive proximate the first side of the first lead frame. | 04-07-2011 |
20110079803 | Carrying Structure of Semiconductor - A carrying structure of semiconductor includes a carrier made of a plastic material with a heat conduction region, each surface of the carrier has an interface layer formed on, and an electrically insulation circuit and a metal layer are defined on the interface layer. The insulation circuit is located on the surface of the heat conduction region and on an encircling annular region extended from two surfaces of the heat conduction region, and at the same time exposing parts of the carrier surface thereby splitting the metal layer on the interface layer into at least two electrodes. A thermal conductor formed in the heat conduction region has a LED chip adhered on it which has at least a contact point connected with the corresponding metal layer with a metal wiring so as to dissipate the heat generated by the chip rapidly with the thermal conductor. | 04-07-2011 |
20110079804 | POLARIZED LIGHT EMITTING DIODE DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to a polarized light emitting diode (LED) device and the method for manufacturing the same, in which the LED device comprises: a base, a light emitting diode (LED) chip, a polarizing waveguide and a packaging material. In an exemplary embodiment, the LED chip is disposed on the base and is configured with a first light-emitting surface for outputting light therefrom; and the waveguide, being comprised of a polarization layer, a reflection layer, a conversion layer and a light transmitting layer, is disposed at the optical path of the light emitted from the LED chip; and the packaging material is used for packaging the waveguide, the LED chip and the base into a package. | 04-07-2011 |
20110079805 | LIGHT-EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting diode and a method for manufacturing the same are described. The light-emitting diode includes a bonding substrate, a first conductivity type electrode, a bonding layer, an epitaxial structure, a second conductivity type electrode, a growth substrate and an encapsulant layer. The first conductivity type electrode and the bonding layer are respectively disposed on two surfaces of the bonding substrate. The epitaxial structure includes a first conductivity type semiconductor layer, an active layer and a second conductivity type semiconductor layer. A trench is set around the epitaxial structure and extends from the second conductivity type semiconductor layer to the first conductivity type semiconductor layer. The second conductivity type electrode is electrically connected to the second conductivity type semiconductor layer. The growth substrate is disposed on the epitaxial structure and includes a cavity exposing the epitaxial structure and the trench. The encapsulant layer is filled in the cavity. | 04-07-2011 |
20110079806 | LIGHT-EMITTING DIODE STRUCTURE - A light-emitting diode structure is provided. The light-emitting diode structure includes a light-emitting diode chip, a lead frame for electrically connecting and supporting the light-emitting diode chip, and a lens covering the light-emitting diode chip and to partially cover the lead frame. A recess disposed on the upper portion of the lens has a ladder-like inner wall formed of an upper inclined wall portion, a lower inclined wall portion, and a connecting wall portion connected to the upper and lower inclined wall portions. The slope of the upper inclined wall portion is greater than that of the lower inclined wall portion, and the slope of the connecting wall portion is greater than the upper and lower inclined wall portions. | 04-07-2011 |
20110079807 | LIGHT-EMITTING DIODE STRUCTURE - A light-emitting diode structure includes a base with a recessed portion, a light-emitting chip and a light-transmissive block. The light-emitting chip disposed in the recessed portion of the base and emits a light beam. The light-transmissive block disposed on the base covers the recessed portion and the light-emitting chip, so that the light beam emitted from the light-emitting chip is radiated outwardly via the light-transmissive block. The light-transmissive block is a flat-top multilateral cone including a bottom surface, a top surface, and several side surfaces connected to and located between the bottom surface and the top surface. A slot with a bottom portion is formed on the top surface of the light-transmissive block. | 04-07-2011 |
20110079808 | LIGHT EMITTING DIODE - A light emitting diode is provided, including an LED chip, a reflector, a lens, a circuit plate, a circuit substrate and an electrical conductivity device. The LED chip is disposed in the reflector and the lens is disposed on the reflector, covering the reflector and the LED chip. The LED chip is electrically connected to the circuit plate. The circuit plate further includes a first through hole therein and the circuit substrate further includes a second through hole therein. The electrical conductivity device passes through the first through hole and the second through hole so that the circuit plate is electrically connect to the circuit substrate. The reflector is installed between the circuit plate and the circuit substrate. The first through hole and the second through hole are not connected to the reflector. | 04-07-2011 |
20110079809 | OPTICAL MODULE INSTALLING OPTICAL DEVICE WITH IDENTIFYING MARK VISUALLY INSPECTED AFTER ASSEMBLY THEREOF - An optical module is described, where the optical module installs an optical device whose identification mark is able to be distinguished even after the optical device is installed in the optical module. The identifying mark of the optical device is formed in a position able to be inspected from the direction of the normal line of the light-emitting facet of the optical device. Accordingly, the identifying mark becomes able to be identified through the lens after the optical device is installed in the package of the optical module. | 04-07-2011 |
20110079810 | Optoelectronic Semiconductor Chip Comprising a Reflective Layer - An optoelectronic semiconductor chip is specified, comprising a first contact location ( | 04-07-2011 |
20110079811 | SEMICONDUCTOR CHIP ASSEMBLY WITH BUMP/BASE HEAT SPREADER AND DUAL-ANGLE CAVITY IN BUMP - A semiconductor chip assembly includes a semiconductor device, a heat spreader, a conductive trace and an adhesive. The heat spreader includes a bump that includes first, second and third bent corners that shape a cavity. The conductive trace includes a pad and a terminal. The semiconductor device is located within the cavity, is electrically connected to the conductive trace and is thermally connected to the bump. The bump extends into an opening in the adhesive and provides a recessed die paddle and a reflector for the semiconductor device. The conductive trace provides signal routing between the pad and the terminal. | 04-07-2011 |
20110079812 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - Disclosed are a light emitting device and a method for manufacturing the same. The light emitting device includes a substrate having a lead frame, a light emitting diode mounted on the substrate, a mold member formed on the substrate and the light emitting diode, and a reflecting member having an opening portion at one side thereof and being inclined at an outer portion of the mold member. | 04-07-2011 |
20110079813 | VERTICAL GALLIUM NITRIDE-BASED LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a vertical GaN-based LED comprises forming a light emission structure in which an n-type GaN-based semiconductor layer, an active layer, and a p-type GaN-based semiconductor layer are sequentially laminated on a substrate; etching the light emission structure such that the light emission structure is divided into units of LED; forming a p-electrode on each of the divided light emission structures; filling a non-conductive material between the divided light emission structures; forming a metal seed layer on the resulting structure; forming a first plated layer on the metal seed layer excluding a region between the light emission structures; forming a second plated layer on the metal seed layer between the first plated layers; separating the substrate from the light emission structures; removing the non-conductive material between the light emission structures exposed by separating the substrate; forming an n-electrode on the n-type GaN-based semiconductor layer; and removing portions of the metal seed layer and the second plated layer between the light emission structures. | 04-07-2011 |
20110084295 | Light Emitting Device - A light emitting device includes a light emitting element, a base, and a transparent layer. The base has an upper side portion including a first portion and a second portion. The first portion includes a mounting region of the light emitting element, and has a first porosity. The second portion surrounds the first portion, includes a plurality of transparent particles, and has a second porosity larger than the first porosity. The light transmitting layer encapsulates the light emitting element, and is attached to the first portion in a state where the transparent layer is apart from the second portion. | 04-14-2011 |
20110084296 | Light Emitting Diode and Manufacturing Method Thereof - A light emitting diode manufacturing method introduces a transparent enclosure to improve the uniformity of coating phosphor, so as to achieve the purposes of enhancing the uniform color temperature and the light emitting efficiency. The manufacturing method is used extensively for packaging various types of light emitting diode chips and mass production. | 04-14-2011 |
20110084297 | MOLDED RESIN PRODUCT, SEMICONDUCTOR LIGHT-EMITTING SOURCE, LIGHTING DEVICE, AND METHOD FOR MANUFACTURING MOLDED RESIN PRODUCT - A molded resin product or the like that is provided with a phosphor layer made of gel-like or rubber-like resin that can maintain its shape for a long period and that can be implemented easily. The molded resin product (phosphor layer | 04-14-2011 |
20110084298 | LIGHT EMITTING DIODE AND METHOD FOR MAKING SAME - A light emitting diode comprises a heat conductive layer, a semiconductor layer disposed above the heat conductive substrate and consisting of a p-type semiconductor layer, an active layer and an n-type semiconductor layer, a transparent electrode layer, a current blocking layer and an electrode contact pad. The p-type semiconductor layer has first concaves located on its surface distant from the active layer. The n-type semiconductor layer has second concaves located on its surface distant from the active layer. The transparent electrode layer is located on the surface of the n-type semiconductor layer except the second concaves. The current blocking layer is located in the first concaves of the p-type semiconductor layer. The electrode contact pad is located on the surface of the transparent electrode layer. The density of the second concaves decrease with distance from the electrode contact pad. | 04-14-2011 |
20110084299 | LED LIGHT SOURCE AND MANUFACTURING METHOD FOR THE SAME - An LED light source can include protection members to protect bonding wires. The LED can include a substrate including electrode patterns, a sub mount substrate located on the substrate, at least one flip LED chip mounted on the sub mount substrate and a phosphor rein covering the LED chip. The bonding wires can connect each of the electrode patterns to conductor patterns connecting to electrodes of the LED chip. The protection members can be located so as to surround both sides of the bonding wires. In addition, because each height of the protection members is higher than each maximum height of the bonding wires and is lower than a height of the phosphor resin, the protection members can protect the bonding wires from external pressure while the light flux is not reduced. Thus, the disclosed subject matter can provide a reliable LED light source having a favorable light distribution. | 04-14-2011 |
20110084300 | LIGHT EMITTING DIODE DEVICE, LIGHT EMITTING APPARATUS AND METHOD OF MANUFACTURING LIGHT EMITTING DIODE DEVICE - Provided is a light emitting diode device. The light emitting diode device includes a light emitting diode chip having a first surface on which first and second electrodes are disposed, and a second surface opposing the first surface, a wavelength conversion portion including fluorescent substances and covering the first surface and side surfaces of the light emitting diode chip, wherein the side surfaces denote surfaces placed between the first and second surfaces, and first and second electricity connection portions each including a plating layer, respectively connected to the first and second electrodes, and exposed to the outside of the wavelength conversion portion. Accordingly, the light emitting diode device, capable of enhancing luminous efficiency and realizing uniform product characteristics in terms of the emission of white light, is provided. Further, a process for easily and efficiently manufacturing the above light emitting diode device is provided. | 04-14-2011 |
20110084301 | PACKAGE-INTEGRATED THIN FILM LED - LED epitaxial layers (n-type, p-type, and active layers) are grown on a substrate. For each die, the n and p layers are electrically bonded to a package substrate that extends beyond the boundaries of the LED die such that the LED layers are between the package substrate and the growth substrate. The package substrate provides electrical contacts and conductors leading to solderable package connections. The growth substrate is then removed. Because the delicate LED layers were bonded to the package substrate while attached to the growth substrate, no intermediate support substrate for the LED layers is needed. The relatively thick LED epitaxial layer that was adjacent the removed growth substrate is then thinned and its top surface processed to incorporate light extraction features. There is very little absorption of light by the thinned epitaxial layer, there is high thermal conductivity to the package because the LED layers are directly bonded to the package substrate without any support substrate therebetween, and there is little electrical resistance between the package and the LED layers so efficiency (light output vs. power input) is high. The light extraction features of the LED layer further improves efficiency. | 04-14-2011 |
20110084302 | WAVELENGTH CONVERTED LIGHT EMITTING DIODE WITH REDUCED EMISSION OF UNCONVERTED LIGHT - A method for the manufacture of a wavelength converted light emitting device is provided. A light curable coating material is arranged on the outer surface of a wavelength converted light emitting diode. The light curable coating material is cured, in positions where a high intensity of unconverted LED-light encounters the curable coating material. The method can be used to selectively stop unconverted light from exiting the device, leading to a wavelength converted LED essentially only emitting converted light. | 04-14-2011 |
20110089446 | LIGHT-EMITTING DIODE HAVING OPTICAL FILM STRUCTURE THEREON - The invention discloses a light-emitting diode having an optical film structure thereon. The light-emitting diode includes a substrate, a light-emitting laminated structure, and an optical film structure. The light-emitting laminated structure is formed on the substrate, and the optical film structure is formed on the light-emitting laminated structure. The optical film structure is made of a dielectric material and has a light output plane, wherein the light output plane has plural roughened structures thereon. | 04-21-2011 |
20110089447 | LIGHT-EMITING DEVICE CHIP WITH MICRO-LENSES AND METHOD FOR FABRICATING THE SAME - A light-emitting device (LED) chip is disclosed. The LED chip includes a body having a light extraction surface. The body includes semiconductor layers including an n-type region and a p-type region. A plurality of micro-lenses is directly on the light extraction surface of the body. A pair of bond pads is electrically connected to the n-type and p-type regions, respectively. A method for fabricating the LED chip and an LED package with the LED chip are also disclosed. | 04-21-2011 |
20110089448 | Single Encapsulant For A Plurality Of Light Sources - In an embodiment, the invention provides a light source comprising a plurality of light-emitting semiconductor chips, a plurality of electrical leads and an encapsulant. The plurality of electrical leads is connected to the plurality of light-emitting semiconductor chips. The encapsulant completely encases the plurality of semiconductor chips. The encapsulant partially encases the plurality of electrical leads. | 04-21-2011 |
20110089449 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - An LED package structure includes a house, an LED chip, a transparent cover, and a surrounding wall. The house has an upper surface, a cavity exposed by the upper surface, and a surrounding plane. The LED chip is disposed on the bottom surface of the cavity. The transparent cover is disposed on the surrounding plane and the opening of the cavity is sealed by the transparent cover. The surrounding wall is disposed on the upper surface of the house and surrounds the transparent cover. | 04-21-2011 |
20110089450 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor light-emitting device is provided. The semiconductor light-emitting device may include a light-emitting structure, an electrode, an ohmic layer, an electrode layer, an adhesion layer, and a channel layer. The light-emitting structure may include a compound semiconductor layer. The electrode may be disposed on the light-emitting structure. The ohmic layer may be disposed under the light-emitting structure. The electrode layer may include a reflective metal under the ohmic layer. The adhesion layer may be disposed under the electrode layer. The channel layer may be disposed along a bottom edge of the light-emitting structure. | 04-21-2011 |
20110089451 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor light-emitting device is provided that may include an electrode layer, a light-emitting structure including a compound semiconductor layer on the electrode layer, and an electrode on the light-emitting structure, wherein the electrode includes an ohmic contact layer that contacts the compound semiconductor layer, a first barrier layer on the ohmic contact layer, a conductive layer including copper on the first barrier layer, a second barrier layer on the conductive layer, and a bonding layer on the second barrier layer. | 04-21-2011 |
20110089452 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor light-emitting device is provided. The semiconductor light-emitting device may include a light-emitting structure, an electrode, a reflective layer, a conductive support member, and a channel layer. The light-emitting structure may include a plurality of compound semiconductor layers. The electrode may be disposed on the compound semiconductor layer. The reflective layer may be disposed under the compound semiconductor layer. The conductive support member may be disposed under the reflective layer. The channel layer may be disposed along a bottom edge of the compound semiconductor layer. | 04-21-2011 |
20110089453 | LIGHT EMITTING APPARATUS - Disclosed is a light emitting apparatus. The light emitting apparatus includes a package body; first and second electrodes; a light emitting device electrically connected to the first and second electrodes and including a first conductive semiconductor layer, a second conductive semiconductor layer, and an active layer between the first and second conductive semiconductor layers; and a lens supported on the package body and at least a part of the lens including a reflective structure. The package body includes a first cavity, one ends of the first and second electrodes are exposed in the first cavity and other ends of the first and second electrodes are exposed at lateral sides of the package body, and a second cavity is formed at a predetermined portion of the first electrode exposed in the first cavity. | 04-21-2011 |
20110089454 | SURFACE-TEXTURED ENCAPSULATIONS FOR USE WITH LIGHT EMITTING DIODES - Surface-textured encapsulations for use with light emitting diodes. In an aspect, a light emitting diode apparatus is provided that includes a light emitting diode, and an encapsulation formed upon the light emitting diode and having a surface texture configured to extract light. In an aspect, a method includes encapsulating a light emitting diode with an encapsulation having a surface texture configured to extract light. In an aspect, a light emitting diode lamp is provided that includes a package, at least one light emitting diode disposed within the package, and an encapsulation formed upon the at least one light emitting diode having a surface texture configured to extract light. In another aspect, a method includes determining one or more regions of an encapsulation, the encapsulation configured to cover a light emitting diode, and surface-texturing each region of the encapsulation with one or more geometric features that are configured to extract light. | 04-21-2011 |
20110089455 | OPTICAL DESIGNS FOR HIGH-EFFICACY WHITE-LIGHT EMITTING DIODES - A method for increasing the luminous efficacy of a white light emitting diode (WLED), comprising introducing optically functional interfaces between an LED die and a phosphor, and between the phosphor and an outer medium, wherein at least one of the interfaces between the phosphor and the LED die provides a reflectance for light emitted by the phosphor away from the outer medium and a transmittance for light emitted by the LED die. Thus, a WLED may comprise a first material which surrounds an LED die, a phosphor layer, and at least one additional layer or material which is transparent for direct LED emission and reflective for the phosphor emission, placed between the phosphor layer and the first material which surrounds the LED die. | 04-21-2011 |
20110089456 | SEMICONDUCTOR LIGHT EMITTING DEVICES WITH APPLIED WAVELENGTH CONVERSION MATERIALS AND METHODS FOR FORMING THE SAME - A semiconductor structure includes an active region configured to emit light upon the application of a voltage thereto, a window layer configured to receive the light emitted by the active region, and a plurality of discrete phosphor-containing regions on the window layer and configured to receive light emitted by the active region and to convert at least a portion of the received light to a different wavelength than a wavelength of light emitted by the active region. Methods of forming a semiconductor structure including an active region configured to emit light and a window layer include forming a plurality of discrete phosphor-containing regions on the window layer. | 04-21-2011 |
20110089457 | SURFACE-TEXTURED ENCAPSULATIONS FOR USE WITH LIGHT EMITTING DIODES - Surface-textured encapsulations for use with light emitting diodes. In an aspect, a light emitting diode apparatus is provided that includes a light emitting diode, and an encapsulation formed upon the light emitting diode and having a surface texture configured to extract light. In an aspect, a method includes encapsulating a light emitting diode with an encapsulation having a surface texture configured to extract light. In an aspect, a light emitting diode lamp is provided that includes a package, at least one light emitting diode disposed within the package, and an encapsulation formed upon the at least one light emitting diode having a surface texture configured to extract light. In another aspect, a method includes determining one or more regions of an encapsulation, the encapsulation configured to cover a light emitting diode, and surface-texturing each region of the encapsulation with one or more geometric features that are configured to extract light. | 04-21-2011 |
20110089458 | Light Emitting Device and Method for Manufacturing a Light Emitting Device - A light emitting device and a method for manufacturing a light emitting device, wherein the light emitting device comprises a light emitting diode (LED) emitting light in a first emission spectrum, and a composition comprising at least two components and being adapted to absorb at least a part of the light in the first emission spectrum and upon absorption to emit an up-converted light in a second emission spectrum, wherein the light in said second emission spectrum has a wavelength range lower than the wavelength range of the light in the first emission spectrum, whereby the light emitted by the light emitting device comprises a mixture at least of light in the first emission spectrum and of light in the second emission spectrum. | 04-21-2011 |
20110089459 | OPTOELECTRONIC APPARATUS - An optoelectronic apparatus includes an optical device with an optical structure including a plurality of optical elements, and a radiation-emitting or radiation-receiving semiconductor chip with a contact structure which includes a plurality of contact elements that make electrical contact with the semiconductor chip and are spaced apart vertically from the optical structure, wherein the contact elements are arranged in interspaces between the optical elements upon a projection of the contact structure into the plane of the optical structure. | 04-21-2011 |
20110095314 | Light emitting device and method for enhancing light extraction thereof - A method for enhancing light extraction of a light emitting device is disclosed. The method includes the steps of: providing a site layer on the light emitting device; placing a protection layer on the site layer; forming an array of pores through the protection layer and the site layer; and growing on the site layer an oxide layer, having a plurality of rods, each of which is formed in one of the pores. The shapes of the rods can be well controlled by adjusting reactive temperature, time and N | 04-28-2011 |
20110095315 | PACKAGE SUBSTRATE FOR OPTICAL ELEMENT AND METHOD OF MANUFACTURING THE SAME - Disclosed herein is a package substrate for optical elements, including: a conductive substrate including an insulation layer formed thereon; a circuit layer which is formed on the conductive substrate | 04-28-2011 |
20110095316 | LED PACKAGE STRUCTURE - An LED package structure includes an LED die, a lead frame and a housing connecting to the lead frame. The LED die is located on a surface of the lead frame. The housing includes an inner face surrounding the LED die. The inner face has a bottom edge connected to the surface of the lead frame, a top edge and a waist line between the bottom edge and top edge. The bottom edge surrounds an area less than an area surrounded by the waist line. The area surrounded by the waist line is less than an area surrounded by the top edge. The inner face has a curved surface between the waist line and the bottom edge. | 04-28-2011 |
20110095317 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM INCLUDING THE SAME - Provided are a light emitting device, a light emitting device package, and a lighting system including the same. The light emitting device includes a second electrode layerelectrode, a light emitting structure, a texture, and a current spreading layer. The light emitting structure is on second electrode layerelectrode, and includes a second conductive type semiconductor layer, an active layer on the second conductive type semiconductor layer, and a first conductive type semiconductor layer on the active layer. The texture is on at least one portion of the light emitting structure. The current spreading layer is on the light emitting structure provided with the texture. | 04-28-2011 |
20110095318 | LIGHT EMITTING APPARATUS - A light emitting apparatus includes a substrate, at least one LED (light-emitting diode) die, a sealant, a cover sheet and a protecting material. The LED die is disposed on the substrate. The sealant covers the LED die and has a top surface and a side surface. The cover sheet is disposed on the top surface of the sealant. The protecting material is disposed at the side surface, and connected with the cover sheet and the substrate. Accordingly, the light emitting apparatus can prevent from moisture or gas that may affect the luminous efficiency thereof, thereby extending the lifetime of the light emitting apparatus. | 04-28-2011 |
20110095319 | LIGHT EMITTING DEVICE PACKAGE, LIGHTING MODULE AND LIGHTING SYSTEM - Disclosed are a light emitting device package and a lighting system. The light emitting device package includes a semiconductor light emitting device, a first encapsulant over the semiconductor light emitting device, and a second encapsulant having a refractive index greater than a refractive index of the first encapsulant over the first encapsulant. | 04-28-2011 |
20110095320 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Disclosed are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a light emitting structure including a second conductive semiconductor layer, an active layer over the second conductive semiconductor layer, and a first conductive semiconductor layer over the active layer dielectric layer in a cavity defined by removing a portion of the light emitting structure, and a second electrode layer over the dielectric layer. | 04-28-2011 |
20110095321 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - A light emitting device (LED) package includes a submount and a light emitting chip. The submount has a chip region and a supporting region over which the chip is mounted, and an encapsulating material and fluorescent material are formed over the chip. The coverage area of encapsulating and fluorescent materials is substantially coextensive with the chip or chip region, and a first area between an edge of the chip region and an edge of the supporting region is greater than a second area between the edge of the chip region and the chip. | 04-28-2011 |
20110095322 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Provided are a light emitting device and a light emitting device package. The light emitting device comprises a light emitting structure comprising a first conductive type semiconductor layer, an active layer on the first conductive type semiconductor layer, and a second conductive type semiconductor layer on the active layer; a first electrode on the first conductive type semiconductor layer, the first electrode being electrically connected to the first conductive type semiconductor layer; a plurality of reflective islands on the second conductive type semiconductor layer; a second electrode on the second conductive type semiconductor layer and the plurality of reflective islands, the second electrode being electrically connected to the second conductive type semiconductor layer; and a conductive support member on the second electrode. | 04-28-2011 |
20110095323 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device comprises a first semiconductor layer comprising a plurality of vacant space parts, an active layer on the first semiconductor layer, and a second conductive type semiconductor layer on the active layer. Each of the plurality of air-lenses has a thickness less than that of the first semiconductor layer. | 04-28-2011 |
20110095324 | METHOD FOR FABRICATING MICRO AND NANO STRUCTURES - A method of forming an array of selectively shaped optical elements on a substrate, the method including the steps of providing the substrate, the substrate having an optical layer placed thereon; placing a layer of particles on the optical layer; performing an etching cycle. The cycle includes the steps of: etching the layer of particles, using a first etching process so as to reduce the size of the particles within the layer, then; simultaneously etching the optical layer and the layer of particles, using a second etching process, the further reducing particles forming a mask over areas of the optical layer to create discrete optical elements from the optical layer. | 04-28-2011 |
20110095325 | OPTOELECTRONIC SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An embodiment of the invention discloses an optoelectronic semiconductor device comprising a semiconductor system capable of performing a conversion between light energy and electrical energy; an interfacial layer formed on at least two surfaces of the semiconductor system; an electrical conductor; and an electrical connector electrically connecting the semiconductor system to the electric conductor. | 04-28-2011 |
20110095326 | SEMICONDUCTOR LIGHT EMITTING DEVICE - This invention discloses a light emitting semiconductor device including a light-emitting structure and an external optical element. The optical element couples to the light-emitting structure circumferentially. In addition, the refractive index of the external optical element is greater than or about the same as that of a transparent substrate of the light-emitting structure, or in-between that of the transparent substrate and the encapsulant material. | 04-28-2011 |
20110095327 | GROUP III NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE AND PRODUCTION METHOD THEREOF, AND LAMP - A group III nitride semiconductor light emitting device including an LED structure formed on top of a single crystal, base layer ( | 04-28-2011 |
20110095328 | CLOSE PROXIMITY COLLIMATOR FOR LED - A method for the manufacture of a light emitting device is provided. The method comprises the steps of: providing a substrate ( | 04-28-2011 |
20110101392 | PACKAGE SUBSTRATE FOR OPTICAL ELEMENT AND METHOD OF MANUFACTURING THE SAME - Disclosed is a package substrate for an optical element, which includes a metal core having a hole formed therein, an insulating layer formed on the surface of the metal core, a first metal layer formed to a predetermined thickness on the surface of the insulating layer so as to include therein the metal core insulated by the insulating layer, an optical element mounted on the first metal layer, and a fluorescent resin material applied on the optical element in order to protect the optical element, thereby simplifying a package substrate process and improving light uniformity, light reflectivity and heat dissipating properties compared to a conventional configuration. A method of manufacturing the package substrate is also provided. | 05-05-2011 |
20110101393 | LIGHT-EMITTING DIODE PACKAGE STRUCTURE AND MANUFACTURING METHOD THEREOF - A light-emitting diode (LED) package structure includes a LED chip, an interconnecting substrate, a first conductive lead and a second conductive lead. The LED chip is provided with first and second electrical contacts formed on the same side thereof. The upper surface of the interconnecting substrate is provided with two conductive traces and first, second, third and fourth conductive pads. The first and second conductive pads are electrically connected to the third and fourth conductive pads by the two conductive traces, respectively. The first and second conductive leads are directly soldered to the third and fourth conductive pads, respectively. The LED chip is mounted onto the upper surface of the interconnecting substrate in a flip-chip configuration so that the first and second conductive pads thereof are mechanically and electrically connected to the first and second electrical contacts, respectively. | 05-05-2011 |
20110101394 | ULTRA HIGH THERMAL PERFORMANCE PACKAGING FOR OPTOELECTRONICS DEVICES - A light emitting module comprises a light emitting device (LED) mounted on a high thermal dissipation sub-mount, which performs the traditionally function of heat spread and the first part of the heat sinking. The sub-mount is a grown metal that is formed by an electroplating, electroforming, electrodeposition or electroless plating process, thereby minimising thermal resistance at this stage. An electrically insulating and thermally conducting layer is at least partially disposed across the interface between the grown semiconductor layers of the light emitting device and the formed metal layers of the sub-mount to further improve the electrical isolation of the light emitting device from the grown sub-mount. The top surface of the LED is protected from electroplating or electroforming by a wax or polymer or other removable material on a temporary substrate, mould or mandrel, which can be removed after plating, thereby releasing the LED module for subsequent processing. | 05-05-2011 |
20110101395 | LIGHT EMITTING DIODE WITH THIN MULTILAYER PHOSPHOR FILM - A multiple layer film and a method of manufacturing the same, the film having a phosphor bearing layer including phosphor and a carrier, and a rigid protective layer. In some embodiments a mixture including phosphor and an uncurable fluid are dispensed onto a surface, and the mixture is at least partially dried. A curable fluid is dispensed onto the at least partially dried mixture, and the curable fluid is cured. | 05-05-2011 |
20110101396 | ORGANIC LIGHT-EMITTING DIODE LIGHTING APPARATUS - Disclosed herein is an organic light-emitting diode lighting apparatus. The organic light-emitting diode lighting apparatus may include a transparent substrate main body with a plurality of groove lines formed therein, auxiliary electrodes formed in at least of the plurality of groove lines, a first electrode formed on the substrate main body, positive temperature coefficients configured to connect the auxiliary electrodes and the first electrode, an organic emission layer formed on the first electrode, and/or a second electrode formed on the organic emission layer. | 05-05-2011 |
20110101397 | LIGHT EMITTING DIODE PACKAGE HAVING LENS - Provided is a light emitting diode package. The light emitting diode package includes a package body, a light emitting diode chip, and a package lens. The light emitting diode chip is installed in the package body. The package lens is installed in the package body to cover the light emitting diode chip, and is formed to have a shape corresponding to a radiation angle pattern of the light emitting diode chip. | 05-05-2011 |
20110101398 | ORGANIC EL DEVICE MANUFACTURING METHOD, ORGANIC EL DEVICE, AND ELECTRONIC APPARATUS - In a method for manufacturing an organic EL device, a first luminescent layer emitting first color light is formed over a first anode disposed on a substrate by coating. A second luminescent layer emitting second color light is formed over a second anode disposed on the substrate. An intermediate layer having electron injection performance is formed on the first luminescent layer and the second luminescent layer. A third luminescent layer emitting third color light is formed over the intermediate layer and a third anode disposed on the substrate by vapor deposition. A cathode is formed on the third luminescent layer. | 05-05-2011 |
20110101399 | Solid element device and method for manufacturing the same - A solid element device includes a solid element, an electric power receiving and supplying part for receiving electric power from and supplying the electric power to the solid element, and an inorganic sealing material for sealing the solid element. The inorganic sealing material includes a low melting glass selected from SiO | 05-05-2011 |
20110101400 | LIGHT EMITTING DIODES (LEDS) WITH IMPROVED LIGHT EXTRACTION BY ROUGHENING - Systems and methods are disclosed for fabricating a semiconductor light-emitting diode (LED) device by forming an n-doped gallium nitride (n-GaN) layer on the LED device and roughening the surface of the n-GaN layer to extract light from an interior of the LED device. | 05-05-2011 |
20110101401 | ORGANIC LIGHT-EMITTING ELEMENT, METHOD FOR MANUFACTURING THE ORGANIC LIGHT-EMITTING ELEMENT, APPARATUS FOR MANUFACTURING THE ORGANIC LIGHT-EMITTING ELEMENT, AND ORGANIC LIGHT-EMITTING DEVICE USING THE ORGANIC LIGHT-EMITTING ELEMENT - Disclosed is an organic light-emitting display device that comprises a light-emitting layer formable simply by a wet process and has a prolonged service life. Also disclosed is an organic light-emitting device that comprises an upper transparent electrode having a lowered wiring resistance that can lower wiring resistance-derived power consumption. Further disclosed are a method and an apparatus for manufacturing an organic light-emitting element having a prolonged service life. In a first embodiment, an organic light-emitting display device is provided that comprises a moisture capturing layer provided between an upper electrode and a lower electrode. In a second embodiment, an organic light-emitting apparatus is provided that comprises a metal substrate, an organic light-emitting element provided on the metal substrate, and an upper transparent electrode connected electrically to the metal substrate through a contact hole. In a third embodiment, a method for manufacturing an organic light-emitting element comprising a first organic compound including a light-emitting layer and a second organic compound is provided that comprises the steps of forming the first organic compound, heating the first organic compound in vacuo, and forming the second organic compound. | 05-05-2011 |
20110101402 | SEMICONDUCTOR LIGHT CONVERTING CONSTRUCTION - Semiconductor light converting constructions are disclosed. The semiconductor light converting construction includes a semiconductor potential well for converting at least a portion of light at a first wavelength to light at a longer second wavelength; an outer layer that is disposed on the semiconductor potential well and has a first index of refraction; and a structured layer that is disposed on the outer layer and has a second index of refraction that is smaller than the first index of refraction. The structured layer includes a plurality of structures that are disposed directly on the outer layer and a plurality of openings that expose the outer layer. The semiconductor light converting construction further includes a structured overcoat that is disposed directly on at least a portion of the structured layer and a portion of the outer layer in the plurality of openings. The overcoat has a third index of refraction that is greater than the second index of refraction. | 05-05-2011 |
20110101403 | SEMICONDUCTOR LIGHT CONVERTING CONSTRUCTION - Semiconductor light converting constructions are disclosed. The semiconductor light converting construction includes a first semiconductor layer for absorbing at least a portion of light at a first wavelength; a semiconductor potential well for converting at least a portion of the light absorbed at the first wavelength to light at a longer second wavelength; and a second semiconductor layer that is capable of absorbing at least a portion of light at the first wavelength. The first semiconductor layer has a maximum first index of refraction at the second wavelength. The second semiconductor layer has a second index of refraction at the second wavelength that is greater than the maximum first index of refraction. | 05-05-2011 |
20110101404 | LIGHT-EMITTING DEVICE - This disclosure discloses a light-emitting device. The light-emitting device comprises: a substrate; and a first light-emitting unit comprising a plurality of light-emitting diodes electrically connected to each other on the substrate. A first light-emitting diode in the first light-emitting unit comprises a first semiconductor layer with a first conductivity-type, a second semiconductor layer with a second conductivity-type, and a light-emitting stack formed between the first and second semiconductor layers. The first light-emitting diode in the first light-emitting unit further comprises a first connecting layer on the first semiconductor layer for electrically connecting to a second light-emitting diode in the first light-emitting unit; a second connecting layer, separated from the first connecting layer, formed on the first semiconductor layer; and a third connecting layer on the second semiconductor layer for electrically connecting to a third light-emitting diode in the first light-emitting unit. | 05-05-2011 |
20110108865 | SILICONE BASED REFLECTIVE UNDERFILL AND THERMAL COUPLER - In one embodiment, a flip chip LED is formed with a high density of gold posts extending from a bottom surface of its n-layer and p-layer. The gold posts are bonded to submount electrodes. An underfill material is then molded to fill the voids between the bottom of the LED and the submount. The underfill comprises a silicone molding compound base and about 70-80%, by weight, alumina (or other suitable material). Alumina has a thermal conductance that is about 25 times better than that of the typical silicone underfill, which is mostly silica. The alumina is a white powder. The underfill may also contain about 5-10%, by weight, TiO | 05-12-2011 |
20110108866 | LED PACKAGE AND METHOD FOR FABRICATING THE SAME - An LED package is disclosed herein. The disclosed LED package comprises a base having an LED chip mounted thereon, an encapsulation member formed by a light-transmittable resin to encapsulate the LED chip, and a housing formed to expose a top portion of the encapsulation member and to encompass a side surface of the encapsulation member, wherein the encapsulation member is formed by a transfer molding process using a mold to have a predetermined shape. Further, the housing may be light-transmittable. | 05-12-2011 |
20110108867 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - The embodiment is to provide a light emitting device and a method for manufacturing the same, in which the light emitting device includes a first conductive semiconductor layer; an active layer formed on the first conductive semiconductor layer; a second conductive semiconductor layer formed on the active layer; and a phosphor layer formed on the second conductive semiconductor layer; in which the phosphor layer includes a phosphor receiving member including a plurality of cavities and phosphor particles fixed in the cavities. | 05-12-2011 |
20110108868 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - A light emitting device according to an embodiment includes a first conductive semiconductor layer; a second conductive semiconductor layer; and an active layer including first and second active layers between the first and second conductive semiconductor layers. The first active layer emits light having a first wavelength band of 440 nm to 500 nm, and the second active layer emits light having a second wavelength band, which is shorter than the first wavelength band. | 05-12-2011 |
20110108869 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - Embodiments relate to a light emitting device, a light emitting device package, and a lighting system. The light emitting device comprises: a light emitting structure including a first conductive type semiconductor layer, an active layer over the first conductive type semiconductor layer, and a second conductive type semiconductor layer over the active layer; a dielectric layer formed in each of a plurality of cavities defined by removing a portion of the light emitting structure; and a second electrode layer over the dielectric layer. | 05-12-2011 |
20110108870 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT AND PRINTED CIRCUIT BOARD - An optoelectronic semiconductor component includes a connection carrier with at least two connection points and configured with a silicone matrix with a fiber reinforcement, and at least one optoelectronic semiconductor chip mounted on the connection carrier and in direct contact therewith. | 05-12-2011 |
20110108871 | EDGE LED PACKAGE - An edge LED package includes a base, an LED die and a reflective cup. The LED die is located on a surface of the base. The reflective cup includes an inner sidewall surrounding the LED die. The inner sidewall inclines outward from the base to form an included angle from 140 to 150°. The depth of the reflective cup, measured vertically from top of the reflective cup to the bottom, is about 0.25 mm to 0.3 mm. The area ratio between the opening area of the reflective cup and the base area surrounded by the reflective cup is about 1.5 to 2. | 05-12-2011 |
20110108872 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device according to the embodiment includes a substrate; a buffer layer over the substrate; an electrode including a perforation pattern through top and bottom surfaces of the electrode over the buffer layer; a first semiconductor layer over the electrode; an active layer over the first semiconductor layer; and a second semiconductor layer over the active layer. The first semiconductor layer extends onto a top surface of the perforation pattern by passing through the perforation pattern while making contact with the buffer layer. | 05-12-2011 |
20110108873 | LIGHT EMITTING DIODE STRUCTURE UTILIZING ZINC OXIDE NANOROD ARRAYS ON ONE OR MORE SURFACES, AND A LOW COST METHOD OF PRODUCING SUCH ZINC OXIDE NANOROD ARRAYS - A method of fabricating a Light Emitting Diode with improved light extraction efficiency, comprising depositing a plurality of Zinc Oxide (ZnO) nanorods on one or more surfaces of a III-Nitride based LED, by growing the ZnO nanorods from an aqueous solution, wherein the surfaces are different from c-plane surfaces of III-Nitride and transmit light generated by the LED. | 05-12-2011 |
20110108874 | Method to Provide Microstructure for Encapsulated Hgh-Brightness LED Chips - Encapsulated LEDs can be made by taking a mold tool defining a cavity that defines a lens shape and providing a patterned release film defining the inverse of a microstructure in a surface of the film. The patterned release film is conformed to the cavity of the mold tool. An LED chip is placed in a spaced relationship from the patterned release film in the cavity. A resin is then introduced into the space between the LED chip and the patterned release film in the cavity. The resin is cured in the space between the LED chip and the patterned release film in the cavity while contact is maintained between the patterned release film and the curing resin. The encapsulated LED is then freed from the mold tool and the patterned release film. | 05-12-2011 |
20110108875 | LIGHT-EMITTING DEVICE AND METHOD FOR PRODUCING SAME - There is provided a light emitting device highly resistant to the environment, and having good heat resistance, light resistance and gas barrier property, and a method for producing same. With the light emitting device, a substrate | 05-12-2011 |
20110114978 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light-emitting device includes: a first semiconductor layer having a first major surface, a second major surface which is an opposite side from the first major surface, and a side surface; a second semiconductor layer provided on the second major surface of the first semiconductor layer and including a light-emitting layer; electrodes provided on the second major surface of the first semiconductor layer and on a surface of the second semiconductor layer on an opposite side from the first semiconductor layer; an insulating layer having a first surface formed on the second major surface side of the first semiconductor layer and a second surface which is an opposite side from the first surface; an external terminal which is a conductor provided on the second surface side of the insulating layer; and a phosphor layer provided on the first major surface of the first semiconductor layer and on a portion of the first surface of the insulating layer, the portion being adjacent to the side surface of the first semiconductor layer. | 05-19-2011 |
20110114979 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - Disclosed are a light emitting device package and a lighting system. The light emitting device package includes a body including a cavity and formed in a transmittive material; a plurality of lead electrodes in the cavity; an isolation member disposed between the lead electrodes; a light emitting device electrically connected to the lead electrodes in the cavity; and a molding member on the light emitting device. | 05-19-2011 |
20110114980 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light-emitting device capable of improving current distribution, and a method for manufacturing the same is disclosed, wherein the semiconductor light-emitting device comprises a substrate; an N-type nitride semiconductor layer on the substrate; an active layer on the N-type nitride semiconductor layer; a P-type nitride semiconductor layer on the active layer; a groove in the P-type nitride semiconductor layer to form a predetermined pattern in the P-type nitride semiconductor layer; a light guide of transparent non-conductive material in the groove; and a transparent electrode layer on the P-type nitride semiconductor layer with the light guide. | 05-19-2011 |
20110114981 | LIGHT EMITTING DISPLAY APPARATUS - In a light emitting display apparatus, excellent color reproducibility and high luminance for an emission color having a low color purity and low emission efficiency are realized. An electroluminescent layer whose color purity and emission efficiency are to be improved is stacked as a first layer on a substrate, and is interposed between a reflective electrode layer and a semi-reflective electrode, and then light extracted from the electroluminescent layer is intensified by interference between a reflective surface in the reflective electrode layer and a reflective surface in the semi-reflective electrode. | 05-19-2011 |
20110114982 | PHOSPHOR COATING METHOD FOR FABRICATING LIGHT EMITTING SEMICONDUCTOR DEVICE AND APPLICATIONS THEREOF - A phosphor coating method for fabricating a light-emitting semiconductor is provided. The phosphor coating method comprises the steps as follows: First a light emitting semiconductor wafer having a plurality of die units formed thereon is provided, and a photoresist is then formed on the light emitting semiconductor wafer to cover the die units. A pattern process is conducted to form a plurality of openings associated with the die units, whereby each die can be exposed via one of the openings. Subsequently, a compound mixed with phosphor is filled into the openings. | 05-19-2011 |
20110114983 | PHOTOELECTRIC DEVICE HAVING GROUP III NITRIDE SEMICONDUCTOR - A photoelectric device having Group III nitride semiconductor includes a conductive layer, a metallic mirror layer located on the conductive layer, and a Group III nitride semiconductor layer located on the metallic mirror layer. The Group III nitride semiconductor layer defines a number of microstructures thereon. Each microstructure includes at least one angled face, and the angled face of each microstructure is a crystal face of the Group III nitride semiconductor layer. | 05-19-2011 |
20110114984 | SUPPORTING SUBSTRATE FOR MANUFACTURING VERTICALLY-STRUCTURED SEMICONDUCTOR LIGHT-EMITTING DEVICE AND SEMICONDUCTOR LIGHT-EMITTING DEVICE USING THE SUPPORTING SUBSTRATE - The present invention is related to a supporting substrate for manufacturing vertically-structured semiconductor light emitting device and a vertically-structured semiconductor light emitting device using the same, which minimize damage and breaking of a multi-layered light-emitting structure thin film separated from a sapphire substrate during the manufacturing process, thereby improving the whole performance of the semiconductor light emitting device. | 05-19-2011 |
20110114985 | Green Emitting Phosphor - A green emitting phosphor is provided, allowing the internal quantum efficiency to be increased. | 05-19-2011 |
20110121331 | WAVELENGTH CONVERTED SEMICONDUCTOR LIGHT EMITTING DEVICE - A device includes a semiconductor structure comprising a light emitting layer disposed between an n-type region and a p-type region. A luminescent material is positioned in a path of light emitted by the light emitting layer. A thermal coupling material is disposed in a transparent material. The thermal coupling material has a thermal conductivity greater than a thermal conductivity of the transparent material. The thermal coupling material is positioned to dissipate heat from the luminescent material. | 05-26-2011 |
20110121332 | III-V LIGHT EMITTING DEVICE WITH THIN N-TYPE REGION - A device includes a semiconductor structure comprising a III-nitride light emitting layer disposed between an n-type region and a p-type region. A transparent, conductive non-III-nitride material is disposed in direct contact with the n-type region. A total thickness of semiconductor material between the light emitting layer and the transparent, conductive non-III-nitride material is less than one micron. | 05-26-2011 |
20110121333 | Solid State Light Emitting Apparatus with Thermal Management Structures and Methods of Manufacturing - Provided are apparatus and methods corresponding to a solid state light emitting element. Such methods include mounting, to a thermally conductive component, a solid state light emitting element that includes first and second electrical connection points that are configured to be conductively engaged on a first side of a circuit structure. The solid state light emitting element is electrically insulated from the thermally conductive component to provide that electrical connections are arranged on the first side of the circuit structure and heat is conducted to a second side of the circuit structure that is opposite the first side of the circuit structure. | 05-26-2011 |
20110121334 | SEMICONDUCTOR LIGHT-EMITTING DEVICES - A semiconductor light-emitting device includes a substrate having an upper surface and a plurality of bumps positioned on the upper surface, a first conductive type semiconductor layer positioned on the substrate, a light-emitting structure positioned on the first conductive type semiconductor layer, and a second conductive type semiconductor layer positioned on the light-emitting structure. In one embodiment of the present disclosure, each of the bumps has a top plane substantially parallel to the upper surface, the first conductive type semiconductor layer has a plurality of protrusions each facing a portion of the substrate between the bumps, and the protrusions are spaced apart from the bumps. | 05-26-2011 |
20110121335 | LIGHT EMITTING MODULE AND MANUFACTURING METHOD THEREOF - Provided are a light emitting module and a manufacturing method thereof, the light emitting module having improved heat radiation properties and improved adhesion between a sealing resin for sealing a light emitting element and other members. A light emitting module | 05-26-2011 |
20110121336 | Arrangement Comprising at Least one Optoelectronics Semiconductor Component - The invention relates to an arrangement comprising at least one optoelectronic semiconductor component ( | 05-26-2011 |
20110121337 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - The present invention provides a nitride semiconductor light-emitting device capable of preventing shortening of the device lifetime due to increase in the driving voltage of the device and internal heat generation, and also providing uniform laser characteristics, even if the device has a ridge stripe structure. On a GaN substrate | 05-26-2011 |
20110121338 | FLUORO GROUP-CONTAINING COMPOUND, FLUORO GROUP-CONTAINING POLYMER, ORGANIC LIGHT EMITTING DEVICE INCLUDING THE POLYMER, AND METHOD OF MANUFACTURING THE DEVICE - A fluoro group-containing compound, a fluoro group-containing polymer, an organic light emitting device including the polymer, and a method of manufacturing the organic light emitting device are provided. | 05-26-2011 |
20110121339 | LIGHT-EMITTING DIODE MODULE AND MANUFACTURING METHOD THEREOF - A light-emitting diode (LED) module includes a substrate, an LED, a first encapsulation element and a second light-pervious encapsulation element. The substrate has a first surface, a second surface, a circuit layer and an opening, wherein the opening penetrates through the first surface and the second surface, and the circuit layer includes at least one first conductive contact disposed on the first surface. The LED is disposed in the opening and is electrically connected to the first conductive contact. The first encapsulation element and the second light-pervious encapsulation element are respectively disposed on the first surface and the second surface, for encapsulating the LED and the first conductive contact. The aforementioned LED module may output light from the back of the LED, thereby improving the light output efficiency of the LED module. A manufacturing method of the aforementioned LED module is also herein disclosed. | 05-26-2011 |
20110121340 | LIGHT EMITTING DEVICE PACKAGE - Disclosed is a light emitting device package. The light emitting device package includes a package body, a light emitting device installed in a cavity of the package body, an encapsulation layer to seal the light emitting device, and an electrode connected to the light emitting device. The package body includes a material having thermal conductivity lower than thermal conductivity of a material constituting the encapsulation layer. | 05-26-2011 |
20110121341 | LIGHT EMITTING APPARATUS - Provided is a light emitting apparatus. The light emitting apparatus includes a substrate; a light emitting device on the substrate; a fluorescent layer formed on the substrate and the light emitting device to surround the light emitting device; an encapsulant resin layer formed on the substrate and the fluorescent layer to surround the fluorescent layer; and a lens disposed on the light emitting device and supported by the substrate, wherein the lens includes a lens body having a first recess formed at a center of a top surface of the lens body and a second recess formed at a center of a bottom surface of the lens body, and a lens supporter provided at the bottom surface of the lens body to support the lens body such that the lens body is spaced apart from the substrate. | 05-26-2011 |
20110121342 | ORGANIC LIGHT EMITTING DIODE LIGHTING APPARATUS - An organic light emitting diode lighting apparatus includes: a substrate; a semi-transmissive resonance layer formed on the substrate and including multilayer films having different refractive indexes; a first electrode formed on the semi-transmissive resonance layer; a first emission layer formed on the first electrode; a second emission layer formed on the first emission layer and emitting light of a different color from that emitted by the first emission layer; and a second electrode formed on the second emission layer. | 05-26-2011 |
20110121343 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device comprises a first conductive semiconductor layer, an active layer under the first conductive semiconductor layer, a second conductive semiconductor layer under the active layer, a second electrode layer under the second conductive semiconductor layer, and a transmissive conductive layer at least one part between the second conductive semiconductor layer and the second electrode layer. | 05-26-2011 |
20110121344 | COLOR CORRECTION FOR WAFER LEVEL WHITE LEDs - A method for fabricating a plurality of LED chips comprises providing a plurality of LEDs and forming a plurality of spacers each of which is on at least one of the LEDs. Coating the LEDs with a conversion material, each of the spacers reducing the amount of conversion material over its one of the LEDs. This reduction causes the plurality of LED chips to emit a wavelength of light in response to an electrical signal that is within a standard deviation of a target wavelength. LEDs, LED chips and LED chip wafers are fabricated using the method according to the present invention. One embodiment of an LED chip wafer according to the present invention comprises a plurality of LEDs on a wafer and a plurality of a spacers, each of which is on a respective one of the LEDs. A conversion material at least partially covers the LEDs and spacers, with at least some light from the LEDs passing through the conversion material and is converted. The spacers cause the LED chips to emit light having a wavelength within a standard deviation compared to the similar LED chips without the spacers where at least some of the LED chips emit light a wavelength of light outside the standard deviation. | 05-26-2011 |
20110121345 | POWER SURFACE MOUNT LIGHT EMITTING DIE PACKAGE - A light emitting die package is provided which includes a metal substrate having a first surface and a first conductive lead on the first surface. The first conductive lead is insulated from the substrate by an insulating film. The first conductive lead forms a mounting pad for mounting a light emitting device. The package includes a metal lead electrically connected to the first conductive lead and extending away from the first surface. | 05-26-2011 |
20110121346 | LIGHT-EMITTING ELEMENT AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - Provided is a light-emitting element in the structure and configuration of causing no possibility of a short circuit between first and second electrodes even if there is any foreign substance or a protrusion on the first electrode. Such a light-emitting element is configured to include, in order, a first electrode | 05-26-2011 |
20110127551 | Method for enhancing electrical injection efficiency and light extraction efficiency of light-emitting devices - A method for enhancing electrical injection efficiency and light extraction efficiency of a light-emitting device is disclosed. The method includes the steps of: providing a site layer on the light-emitting device; placing a protection layer on the site layer; forming a cavity through the protection layer and the site layer; and growing a window layer in the cavity. The shape of the window layer can be well controlled by adjusting reactive temperature, reactive time, and N | 06-02-2011 |
20110127552 | LIGHT OUTPUT DEVICE - The present invention relates to a light output device ( | 06-02-2011 |
20110127553 | LED UNIT - An LED (light emitting diode) unit includes an LED and a lens mounted on the LED. The lens defines a passageway at a central portion thereof. The passageway runs through the lens. The lens includes a concave light emitting surface at a top thereof. Light output from the LED with a small light-emission angle travels directly through the passageway, without a loss of light intensity. | 06-02-2011 |
20110127554 | Light emitting device and method of manufacturing the same - A light emitting device may include a substrate, an n-type clad layer, an active layer, and a p-type clad layer. A concave-convex pattern having a plurality of grooves and a mesa between each of the plurality of grooves may be formed on the substrate, and a reflective layer may be formed on the surfaces of the plurality of grooves or the mesa between each of the plurality of grooves. Therefore, light generated in the active layer may be reflected by the reflective layer, and extracted to an external location. | 06-02-2011 |
20110127555 | SOLID STATE LIGHT EMITTER WITH PHOSPHORS DISPERSED IN A LIQUID OR GAS FOR PRODUCING HIGH CRI WHITE LIGHT - A solid state white light emitting device includes a semiconductor chip for producing electromagnetic energy and may additionally include a reflector forming an optical integrating cavity. Phosphors, such as semiconductor nanophosphors dispersed in a light transmissive liquid or gas material, within the chip packaging of the solid state device itself, are excitable by the energy from the chip. The device produces output light that is at least substantially white and has a color rendering index (CRI) of 75 or higher. The white light output of the device may exhibit color temperature in one of the following specific ranges along the black body curve: 2,725±145° Kelvin; 3,045±175° Kelvin; 3,465±245° Kelvin; 3,985±275° Kelvin; 4,503±243° Kelvin; 5,028±283° Kelvin; 5,665±355° Kelvin; and 6,530±510° Kelvin. | 06-02-2011 |
20110127556 | ORGANIC LIGHT EMITTING DIODE LIGHTING APPARATUS - An organic light emitting diode lighting apparatus includes: a substrate main body including a sealing area and a sealing line surrounding the sealing area; a plurality of first line electrodes of which both ends are located within the sealing area; a plurality of second line electrodes, at least one end of which is located outside the sealing area; an encapsulating member disposed to face the substrate main body; a sealant disposed on the sealing line to bond the substrate main body and the encapsulating member and seal the sealing area; a first connection member coupled to the ends of the plurality of first line electrodes within the sealing area; and a second connection member coupled to the ends of the plurality of second line electrodes outside the sealing area. | 06-02-2011 |
20110127557 | LIGHT FIXTURE USING NEAR UV SOLID STATE DEVICE AND REMOTE SEMICONDUCTOR NANOPHOSPHORS TO PRODUCE WHITE LIGHT - For general lighting applications, a semiconductor chip produces near ultraviolet (UV) electromagnetic energy in a range of 380-420 nm, e.g. 405 nm. Semiconductor nanophosphors, typically doped semiconductor nanophosphors, are remotely positioned in an optic of a light fixture. Each phosphor is of a type or configuration that when excited by energy in the 380-420 nm range, emits light of a different spectral characteristic. The nanophosphors together produce light in the fixture output that is at least substantially white and has a color rendering index (CRI) of 75 or higher. In some examples, the fixture optic includes an optical integrating cavity. In the examples using doped semiconductor nanophosphors, the visible white light output exhibits a color temperature in one of the following ranges along the black body curve: 2,725±145° Kelvin; 3,045±175° Kelvin; 3,465±245° Kelvin; and 3,985±275° Kelvin. | 06-02-2011 |
20110127558 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURING THE SAME - There is provided a light emitting diode package and a method of manufacturing the same. A light emitting diode package according to an aspect of the invention may include: an LED chip; a body part having the LED chip mounted thereon; a pair of reflective parts extending from the body part to face each other while interposing the LED chip therebetween, and reflecting light emitted from the LED chip; and a molding part provided between the pair of reflective parts to encapsulate the LED chip and having a top surface whose central region is curved inwards. | 06-02-2011 |
20110127559 | LIGHT EMISSION DEVICE PACKAGE AND METHOD OF FABRICATING THE SAME - A light emission device package including a substrate, an opening portion on the substrate, a heat radiation frame on the opening portion, the heat radiation frame protruding from the substrate, a light emission device chip on the heat radiation frame, and a sealant member on the light emission device chip. | 06-02-2011 |
20110133231 | EXTENSIVE AREA LED HAVING ROUGNESS SURFACE - The structure for fixing packing of a lid ( | 06-09-2011 |
20110133232 | Lead frame, its manufacturing method, and semiconductor light emitting device using the same - A lead frame comprises on a same plane, a pad part including an LED chip mounting upper surface A on which at least an LED chip is to be mounted, and a lead part including an electric connection area C in which an electric connection with the LED chip is made. A relationship between an area S | 06-09-2011 |
20110133233 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - A light emitting device may include a light emitting structure including a first conductive semiconductor layer, an active layer on the first conductive semiconductor layer, and a second conductive semiconductor layer on the active layer. A first electrode including a plurality of openings may be provided on the light emitting structure. A filling factor, which is an area ratio of the first electrode relative to an area of a top surface of the light emitting structure, may be 20% or less. | 06-09-2011 |
20110133234 | LIGHT EMITTING DEVICE - A light emitting device according to the embodiment includes a first electrode; a light emitting structure including a first semiconductor layer over the first electrode, an active layer over the first semiconductor layer, and a second semiconductor layer over the second semiconductor layer; a second electrode over the second semiconductor layer; and a connection member having one end making contact with the first semiconductor layer and the other end making contact with the second semiconductor layer to form a schottky contact with respect to one of the first and second semiconductor layers. | 06-09-2011 |
20110133235 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device including a sapphire layer and a light emitting layer formed on the sapphire layer. The sapphire layer has a polygonal sectional shape whose internal angle is an obtuse angle, such as a regular hexagonal shape. Light emitted from the light emitting layer is totally reflected on one side surface of the sapphire layer and next transmitted through another side surface of the sapphire layer. | 06-09-2011 |
20110133236 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A light emitting device that can radiate heat generated by a semiconductor light emitting element and/or a resin layer at not only a position directly under the light emitting element, but also a position remote from such a position with respect to the main plane direction is provided. In the light emitting device, a light emitting element is carried on a substrate, and a resin covers the light emitting element. An anisotropic heat conduction material showing a heat conductivity for the substrate main plane direction larger than that for the substrate thickness direction is carried on the substrate. A side of the anisotropic heat conduction material contacts with the resin. Thereby, the anisotropic heat conduction material can receive heat of the resin, conduct it along the main plane direction, and radiate it to the substrate at a position remote from the light emitting element and/or the resin. As the anisotropic heat conduction material, for example, one or more laminated layers of graphite in the form of sheet are used. | 06-09-2011 |
20110133237 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device | 06-09-2011 |
20110133238 | LIGHT-EMITTING DIODE AND METHOD FOR FABRICATION THEREOF - A transparent-substrate light-emitting diode ( | 06-09-2011 |
20110140142 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package according to embodiments comprises: a package body; a lead frame on the package body; a light emitting device supported by the package body and electrically connected with the lead frame; a filling material surrounding the light emitting device; and a phosphor layer comprising phosphors on the filling material. | 06-16-2011 |
20110140143 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURING THE SAME - Disclosed is a light emitting diode package, including a metal body including a cavity for receiving a light emitting diode therein, a lens mount for mounting thereon a lens through which light is transmitted, a heat sink for dissipating heat, a lead insertion recess formed on a bottom surface of the metal body so that a lead is inserted therein, and a bonding hole formed to communicate with the lead insertion recess and passing through the cavity of the metal body; and a lead seated into the lead insertion recess of the metal body and insulation bonded to the bottom surface of the metal body by means of an insulating binder, so that an insulation type bonding relationship between the metal body and the lead is maintained stable. A method of manufacturing the light emitting diode package is also provided. | 06-16-2011 |
20110140144 | PACKAGE SUBSTRATE FOR OPTICAL ELEMENT AND METHOD OF MANUFACTURING THE SAME - Disclosed is a package substrate for an optical element, which includes a base substrate, a first circuit layer formed on the base substrate and including a mounting portion, an optical element mounted on the mounting portion, one or more trenches formed into a predetermined pattern around the mounting portion by removing portions of the first circuit layer so that the first circuit layer and the optical element are electrically connected to each other, and a fluorescent resin material applied on an area defined by the trenches so as to cover the optical element, and in which such trenches are formed on the first circuit layer so that the optical element and the first circuit layer are electrically connected to each other, thus maintaining the shape of the fluorescent resin material and obviating the need to form a via under the optical element. A method of manufacturing the package substrate for an optical element is also provided. | 06-16-2011 |
20110140145 | LED UNIT - An LED unit includes an LED and a lens mounted on the LED. The lens includes a light-incident face adjacent to the LED, a light-emergent face remote from the LED, and a light-reflecting face between the light-incident face and the light-emergent face. The light-incident face includes a first light-incident face which faces the LED, and the light-emergent face includes a first light-emergent face located opposite to the first light-incident face. The first light-emergent face is a continuously curved face which has a curvature firstly increasing gradually and then decreasing gradually along a bottom-to-top direction of the lens. | 06-16-2011 |
20110140146 | LED UNIT - An LED unit includes an LED and a lens mounted on the LED. The lens includes a light-incident face adjacent to the LED, a light-emergent face remote from the LED, and a light-reflecting face between the light-incident face and the light-emergent face. The light-incident face includes a first light-incident face faces the LED, and the light-emergent face having includes a first light-emergent face located opposite to the first light-incident face. The first light-emergent face is a continuously curved face which has a curvature, along a top-to-bottom direction of the lens, firstly increasing gradually, then decreasing gradually and then increasing gradually again. | 06-16-2011 |
20110140147 | LED UNIT - An LED unit includes an LED and a lens mounted on the LED. The lens includes a light-incident face adjacent to the LED, a light-emergent face remote from the LED, and a light-reflecting face between the light-incident face and the light-emergent face. The light-incident face includes a first light-incident face facing the LED, and the light-emergent face includes a first light-emergent face located opposite to the first light-incident face. The first light-incident face is a continuously curved face which has a curvature, along a bottom-to-top direction of the lens, firstly decreasing gradually to a first value; then increasing gradually to a second value; then decreasing gradually again to a third value; and then increasing gradually again. The light-emergent face has a first light-emergent face located above the first light-incident face and having a varied curvature. | 06-16-2011 |
20110140148 | OPTICAL DEVICE FOR SEMICONDUCTOR BASED LAMP - This invention discloses an optical device for a semiconductor based lamp, the optical device comprising a base for mounting a semiconductor based light-emitting device thereon, a transparent body encapsulating the semiconductor based light-emitting device, and a reflective surface covering a predetermined region on a top of the transparent body, the reflective surface having an opening exposing the transparent body, wherein light emitted from the semiconductor based light-emitting device transmits through the opening of the reflective surface. | 06-16-2011 |
20110140149 | OPTICAL DEVICE FOR SEMICONDUCTOR BASED LAMP - This invention discloses an optical device for a semiconductor based lamp, the optical device comprising a base for mounting a semiconductor based light-emitting device, and a light-redirecting member having an opening and a reflective surface next to the opening, wherein the opening is aligned directly above the semiconductor based light-emitting device, and the reflective surface redirects light emitted from the semiconductor-based light-emitting device to lateral directions. | 06-16-2011 |
20110140150 | System and Method for LED Packaging - System and method for LED packaging. The present invention is directed to optical devices. More specifically, embodiments of the presentation provide LED packaging having one or more reflector surfaces. In certain embodiments, the present invention provides LED packages that include thermal pad structures for dissipating heat generated by LED devices. In particular, thermal pad structures with large surface areas are used to allow heat to transfer. In certain embodiments, thick thermally conductive material is used to improve overall thermal conductivity of an LED package, thereby allowing heat generated by LED devices to dissipate quickly. Depending on the application, thermal pad structure, thick thermal conductive layer, and reflective surface may be individually adapted in LED packages or used in combinations. There are other embodiments as well. | 06-16-2011 |
20110140151 | Organic-light emitting device, light equipment including the same, and organic light-emitting display apparatus including the same - An organic light-emitting device includes a substrate, a first electrode layer on the substrate, a patterned refractive layer on the first electrode layer, a taper angle between a patterned end of the refractive layer and a surface of the first electrode being about 20 to about 60 degrees, the refractive layer including a material having a different refractive index than at least one of the first electrode layer and an organic light-emitting layer, the organic light-emitting layer that covers the refractive layer and is on the first electrode, the organic light-emitting layer contacting the patterned end of the refractive layer, and a second electrode layer on the organic light-emitting layer. | 06-16-2011 |
20110140152 | LIGHT EMITTING DEVICE AND A FABRICATION METHOD THEREOF - A light emitting device according to the embodiment includes a first conductive semiconductor layer; an active layer on the first conductive semiconductor layer; a second conductive semiconductor layer on the active layer; a first passivation layer surrounding the first conductive semiconductor layer, the active layer, and the second conductive semiconductor layer; a second connection layer electrically connected to the second conductive semiconductor layer through the first passivation layer; a first light extracting structure layer on the first passivation layer and the second connection layer; a first electrode layer electrically connected to the first conductive semiconductor layer; and a second electrode layer on the first light extracting structure layer. | 06-16-2011 |
20110140153 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Disclosed are a light emitting device and a light emitting device package. The light emitting device includes a substrate including a plurality of patterns, each pattern including three protrusion parts, a plurality of spaces formed between the patterns, and a light emitting device structure over the patterns and the spaces. Each space includes a medium having a refractive index different from a refractive index of the light emitting device structure. | 06-16-2011 |
20110140154 | LIGHT-EMITTING DEVICE - Provided is a light-emitting device provided with a light reflection layer which has a high light reflectivity and which is less susceptible to deterioration of the reflectivity due to corrosion, and having an improved light extraction efficiency. | 06-16-2011 |
20110140155 | ELECTROOPTICAL DEVICE AND ELECTRONIC APPARATUS - An electrooptical device includes a substrate, a pixel electrode which is provided on the substrate, a semiconductor element which is provided so as to correspond to the pixel electrode, and a light reflection portion formed with a groove formed on at least a part of the substrate. In the electrooptical device, the semiconductor element is arranged so as to overlap with the light reflection portion in plan view and is arranged on a flattened film provided so as to cover at least an opening of the groove. | 06-16-2011 |
20110140156 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display is disclosed. The display includes a first electrode, an organic emissive layer placed on the first electrode, and a second electrode having a first layer placed on the organic emissive layer and a second layer disposed between the first layer and the organic emissive layer. The second layer is higher in transmittance than the first layer. | 06-16-2011 |
20110140157 | Light-Emitting Diode Backlight Module - A light-emitting diode backlight module includes a base and a light source disposed on the base. The light source comprises a substrate, a heat sink and an LED chip. The base has a heat conductor. The heat sink of the light source is coupled between the substrate of the light source and the heat conductor of the base. The heat sink has a first part which is adjacent a first side of the substrate and a second part which is adjacent a second side of the substrate. The heat sink is in contact with the heat conductor. The LED chip is disposed on the first part of the heat sink and emits light laterally. | 06-16-2011 |
20110140158 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. An embodiment of the semiconductor light emitting device includes a first conductive semiconductor layer, an active layer under the first conductive semiconductor layer, a second conductive semiconductor layer under the active layer, a second electrode layer under the second conductive semiconductor layer, and a transmissive conductive layer at least one part between the second conductive semiconductor layer and the second electrode layer. | 06-16-2011 |
20110147773 | UTILIZING GRADIENT REFRACTIVE INDEX FILMS FOR LIGHT EXTRACTION AND DISTRIBUTION CONTROL IN OLED - The present invention relates to an electro-optical device, wherein the device is a light source, for example an OLED. The OLED includes at least a substrate, a cathode, an anode, one or more organic light-emissive materials disposed between the cathode and anode, and a gradient refractive index film disposed on an external, light emitting surface of the device, for example on the substrate layer. Alternatively, the device may be constructed with the gradient refractive index film as the substrate, and/or the gradient refractive index film may be applied to more than one light-emitting surface of a device | 06-23-2011 |
20110147774 | WAFER LEVEL LED PACKAGE STRUCTURE FOR INCREASING LIGHT-EMITTING EFFICIENCY AND HEAT-DISSIPATING EFFECT AND METHOD FOR MANUFACTURING THE SAME - A wafer level LED package structure includes a light-emitting unit, a reflecting unit, a first conductive unit and a second conductive unit. The light-emitting unit has a substrate body, a light-emitting body disposed on the substrate body, a positive and a negative conductive layers formed on the light-emitting body, and a light-emitting area formed in the light-emitting body. The reflecting unit has a reflecting layer formed between the positive and the negative conductive layers and on the substrate body for covering external sides of the light-emitting body. The first conductive unit has a first positive conductive layer formed on the positive conductive layer and a first negative conductive layer formed on the negative conductive layer. The second conductive unit has a second positive conductive structure formed on the first positive conductive layer and a second negative conductive structure formed on the first negative conductive layer. | 06-23-2011 |
20110147775 | LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a semiconductor light emitting element to emit a first light, a mounting member, first and second wavelength conversion layers and a transparent layer. The first wavelength conversion layer is provided between the element and the mounting member in contact with the mounting member. The first wavelength conversion layer absorbs the first light and emits a second light having a wavelength longer than a wavelength of the first light. The semiconductor light emitting element is disposed between the second wavelength conversion layer and the first wavelength conversion layer. The second wavelength conversion layer absorbs the first light and emits a third light having a wavelength longer than the wavelength of the first light. The transparent layer is provided between the element and the second wavelength conversion layer. The transparent layer is transparent to the first, second, and third lights. | 06-23-2011 |
20110147776 | LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a semiconductor light emitting element, a mounting member, a first wavelength conversion layer, and a first transparent layer. The semiconductor light emitting element emits a first light. The semiconductor light emitting element is placed on the mounting member. The first wavelength conversion layer is provided between the semiconductor light emitting element and the mounting member in contact with the mounting member. The first wavelength conversion layer absorbs the first light and emits a second light having a wavelength longer than a wavelength of the first light. The first transparent layer is provided between the semiconductor light emitting element and the first wavelength conversion layer in contact with the semiconductor light emitting element and the first wavelength conversion layer. The first transparent layer is transparent to the first light and the second light. | 06-23-2011 |
20110147777 | ORGANIC LIGHT-EMITTING DIODE AND LIGHT SOURCE DEVICE INCLUDING SAME - An organic light-emitting diode in accordance with the present invention includes: a reflective electrode; an organic layer formed on the reflective electrode; a transparent electrode formed on the organic layer; a transparent resin layer formed on the transparent electrode; and an encapsulation glass formed on the transparent resin layer. The organic layer includes a light-emitting point. Cone- or pyramid-shaped transparent resin structures are provided in the transparent resin layer in such a manner that each of the cone- or pyramid-shaped transparent resin structures splays from the transparent resin layer toward the encapsulation glass in a normal direction of the encapsulation glass. A refractive index of the transparent resin layer is 1.3 times or more to 1.6 times or less as high as that of the cone- or pyramid-shaped transparent resin structures. | 06-23-2011 |
20110147778 | LIGHT EMITTING DEVICE - To provide a light emitting device capable of improving both color unevenness and an emission output power. | 06-23-2011 |
20110147779 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF FABRICATING THE SAME - A light emitting diode (LED) package and a method of manufacturing a LED package is provided. The LED package includes a case having first and second lead frames disposed through the case; an LED chip disposed on the case, the LED chip having first and second electrodes directly connected to the first and second lead frames through a eutectic bond, respectively; and a lens disposed over the case covering the LED chip. | 06-23-2011 |
20110147780 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURE - A light emitting device includes a transparent conductive layer formed adjacent one of two semiconductor layers having an active layer therebetween. The transparent conductive layer includes first and second transparent conductive regions with different electrical conductivities. The difference in electrical conductivities controls an amount or flow rate of current into the semiconductor layer adjacent the transparent conductive layer, and an electrode is at least partial aligned with the second transparent conductive region. | 06-23-2011 |
20110147781 | PACKAGE FOR LIGHT EMITTING DEVICE - The present invention discloses a light emitting device package, comprising: a metal base; an electrical circuit layer provided at an upper side of the metal base for providing a conductive path; a light emitting device mounted in a second region having a smaller thickness than a first region on the metal base; an insulating layer sandwiched between the meta base and the electrical circuit layer; an electrode layer provided at an upper side of the electrical circuit layer; and a wire for electrically connecting the electrode layer and the light emitting device. Further, there is provided a light emitting device package which is improved in light emission efficiency since the light emitting device is placed on a small thickness portion of the metal base. | 06-23-2011 |
20110147782 | OPTICAL DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is an optical device which has an increased rate of an area occupied by an effective optical region to an light-transmissive substrate and less noise due to reflection from a peripheral end face of the light-transmissive substrate. The optical device includes a semiconductor substrate in which a light-receiving element is formed and a light-transmissive substrate provided above the semiconductor substrate so as to cover the light-receiving element and fixed to the semiconductor substrate with an adhesive layer. The light-transmissive substrate has, in a peripheral end face, a curved surface which slopes so as to flare from an upper surface toward a lower surface. | 06-23-2011 |
20110147783 | RED PHOSPHOR AND FORMING METHOD THEREOF FOR USE IN SOLID STATE LIGHTING - There are described a red phosphor for use in solid state lighting and a method for preparing the same, which can be excited efficiently with near UV light, blue light and green light. The red phosphor for use in solid state lighting includes a Zn and Ti oxide as a main element and a rare earth element as an additive element. The rare earth element includes a single element or one or more combination thereof selected from a group consisting of Eu, Er, Dy, Sm, Tb, Ce, Gd, Nd, Dy, Ho and the mixture thereof. The Zn and Ti oxide may be one selected from a group consisting of TiZn | 06-23-2011 |
20110156070 | LIGHT EMITTING DIODE - The present invention provides a light emitting diode including a lower semiconductor layer formed on a substrate; an upper semiconductor layer disposed above the lower semiconductor layer, exposing an edge region of the lower semiconductor layer; a first electrode formed on the upper semiconductor layer; an insulation layer interposed between the first electrode and the upper semiconductor layer, to supply electric current to the lower semiconductor layer; a second electrode formed on another region of the upper semiconductor layer, to supply electric current to the upper semiconductor layer. The first electrode includes an electrode pad disposed on the upper semiconductor layer and an extension extending from the electrode pad to the exposed lower semiconductor layer. The insulation layer may have a distributed Bragg reflector structure. | 06-30-2011 |
20110156071 | MULTI-STACK PACKAGE LED - A multi-stack package light emitting diode (LED) includes an LED chip, a first fluorescent powder layer, a first optical bandpass filter layer and a second fluorescent powder layer. The LED chip generates an LED light. The first fluorescent powder layer and the second fluorescent powder layer respectively have a first fluorescent powder and a second fluorescent powder. The first fluorescent powder and the second fluorescent powder are excited by the LED light to respectively generate a first excitation light and a second excitation light. The first optical bandpass filter layer allows the LED light and the first excitation light to pass and reflects the second excitation light. A wavelength of the LED light is shorter than a wavelength of the second excitation light. The wavelength of the second excitation light is shorter than a wavelength of the first excitation light. Therefore, the multi-stack package LED improves a light emission efficiency. | 06-30-2011 |
20110156072 | METHODS FOR PACKAGING LIGHT EMITTING DEVICES AND RELATED MICROELECTRONIC DEVICES - A method for forming a light emitting device includes providing a light emitting diode (LED) configured to emit light of a first color and providing a plurality of semi-spherical lenses made of a silicone material that contains no phosphor material. Each of the lenses has a layer of phosphor material attached thereto. The method also includes testing the plurality of lenses to select a subset of lenses that converts light of the first color to light of a second color. The method further includes forming the light emitting device using the LED, one of the selected subset of lenses, and a heat conductive substrate. In an embodiment, after the testing of the plurality of lenses, one of the selected subset of lenses is disposed overlying the LED. In another embodiment, the testing of the plurality of lenses is conducted with a light source other than the LED. | 06-30-2011 |
20110156073 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a light emitting structure, a buffer layer on the light emitting structure, and a filter layer on the buffer layer. | 06-30-2011 |
20110156074 | CHIP PACKAGE AND METHOD FOR FABRICATING THE SAME - The present invention provides a chip package, including: a chip having a semiconductor device thereon; a cap layer over the semiconductor device; a spacer layer between the chip and the cap layer, wherein the spacer layer surrounds the semiconductor device and forms a cavity between the chip and the cap layer; and an anti-reflective layer between the cap layer and the chip, wherein the anti-reflective layer has a overlapping region with the spacer layer and extends into the cavity. Furthermore, a method for fabricating a chip package is also provided. | 06-30-2011 |
20110156075 | SEMICONDUCTOR ELEMENT - A semiconductor element according to an embodiment of present application includes a first voltage drop portion providing a first voltage drop, a second voltage drop portion providing a second voltage drop, and a connecting material between the first voltage drop portion and the second voltage drop portion and having a physical dimension smaller than that of at least one of the first voltage drop portion and the second voltage drop portion. The semiconductor element can operate under a total bias voltage. The total bias voltage is greater than the second voltage drop, while the second voltage drop is greater than or equal to the first voltage drop. | 06-30-2011 |
20110156076 | OPTOELECTRONIC COMPONENT AND A METHOD FOR PRODUCING IT - An optoelectronic component, includes a carrier, a metallic mirror layer arranged on the carrier, a first passivation layer arranged on a region of the metallic mirror layer, a semiconductor layer that generates an active region during electrical operation arranged on the first passivation layer, a second passivation layer including two regions, wherein the first region is arranged on a top face of the semiconductor layer, and the second region which is free of the semiconductor layer is arranged on the metallic mirror layer, and wherein the first and second regions are separated from one another by a region which surrounds the first passivation layer and which is free of the second passivation layer. | 06-30-2011 |
20110156077 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device is provided. The light emitting device comprises: a conductive support substrate; a bonding layer on the conductive support substrate; a reflective layer on the bonding layer; and a light emitting structure layer on the reflective layer. The bonding layer comprises a solder bonding layer on the conductive support substrate and at least one of a diffusion barrier layer and an adhesion layer on the solder bonding layer, the solder bonding layer, the diffusion barrier layer, and the adhesion layer being formed of a metal or an alloy of which the Young's Modulus is 9 GPa to 200 GPa. | 06-30-2011 |
20110156078 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR FORMING THE SAME - A semiconductor light-emitting device includes a light-impervious substrate, a bonding structure, a semiconductor light-emitting stack, and a fluorescent material structure overlaying the semiconductor light-emitting stack. The semiconductor light-emitting stack is separated from a growth substrate and bonded to the light-impervious substrate via the bonding structure. A method for producing the semiconductor light-emitting device includes separating a semiconductor light-emitting stack from a growth substrate, bonding the semiconductor light-emitting stack to a light-impervious substrate, and forming a fluorescent material structure over the semiconductor light-emitting stack. | 06-30-2011 |
20110156079 | ORGANIC EL DEVICE AND METHOD FOR MANUFACTURING SAME - A manufacturing method of an organic EL device, comprising: providing a substrate on which a pixel electrode is arranged, forming a liquid repellent organic film on the substrate and the pixel electrode, radiating a light selectively to an area of the pixel electrode to be coated with an organic functional layer, to oxidize a surface of the pixel electrode, and to change the liquid repellent organic film on the area to a lyophilic organic film or to remove the liquid repellent organic film on the area, applying an ink containing an organic functional material on the area defined by the liquid repellent organic film to form the organic functional layer on the pixel electrode. | 06-30-2011 |
20110156080 | LIGHT EMITTING DEVICE - A light emitting device is provided with: a pair of an anode and a cathode that are opposed to each other; and a phosphor layer, composed of a plurality of phosphor particles, that is sandwiched between the paired anode and cathode, from direction that is perpendicular to main surfaces of the anode and the cathode, and in this structure, each phosphor particle is a nitride semiconductor phosphor particle having a wurtzite crystal structure that contains an n-type nitride semiconductor portion and a p-type nitride semiconductor portion, with the n-type nitride semiconductor portion being made in contact with the cathode and the p-type nitride semiconductor portion being made in contact with the anode, and the n-type nitride semiconductor portion and the p-type nitride semiconductor portion have the common c-axe in the respective crystal structures thereof made in parallel with each other, with the n-type nitride semiconductor portion and the p-type nitride semiconductor portion being made in contact with each other on a plane in parallel with the c-axe. | 06-30-2011 |
20110156081 | POLYMERIC WAVELENGTH CONVERTING ELEMENTS - A wavelength converting element ( | 06-30-2011 |
20110163336 | LIGHT EMITTING DIODE DEVICE - A light emitting diode device is described which includes at least one planar non-periodic high-index-contrast grating. The light emitting diode device includes a cavity formed between a reflective optical element and a transmissive optical element. One or both of the optical elements can be a planar non-periodic high-index-contrast grating. The transmissive optical element can be a collimating lens used to collimate incident beams of light while the reflective optical element can be a parabolic reflector used to reflect incident beams of light along a direction opposite to an incidence direction. A light emitter can be disposed within the cavity and can emit beams of light. | 07-07-2011 |
20110163337 | ARCHITECTURE FOR ORGANIC ELECTRONIC DEVICES - Provided are organic device packages configured to limit current flow through shorted sub-elements in the organic device. In some embodiments, the organic device package may include multiple elements, each having multiple sub-elements connected in parallel. Each element may have a first electrode patterned into thin electrode strips connected in parallel, and each of the electrode strips may be an electrode of one of the multiple sub-elements. The electrode strips may have a resistance which may be higher than the overall resistance of other sub-elements in the element, such that a current flowing to the element may be substantially limited from flowing through a shorted sub-element in the element. Each element may also be connected in series to another element in the organic device package, and one or more series-connected elements may also be connected in parallel within the package. | 07-07-2011 |
20110163338 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a light emitting device and a method of manufacturing the same. The light emitting device includes a body, an insulating layer over a surface of the body, at least one electrode over the insulating layer, a light emitting diode connected to the electrode, and a reflective layer over the insulating layer. | 07-07-2011 |
20110163339 | LIGHT-EMITTING ELEMENT AND METHOD FOR PRODUCTION THEREOF - A light-emitting element includes a first electrode, an organic layer with a light-emitting layer made of organic light-emitting material, a half-transmitting/reflecting film, a resistance layer, and a second electrode, which are sequentially laminated on top of the other. The first electrode reflects light from the light-emitting layer, the second electrode transmits light from the light-emitting layer. The half-transmitting/reflecting film includes a first half-transmitting/reflecting film and a second half-transmitting/reflecting film which are laminated in this order from a side of the organic layer. Also, the half-transmitting/reflecting film on the organic layer has an average thickness of 1 nm to 6 nm. | 07-07-2011 |
20110163340 | Organic Electroluminescent Device - An organic electroluminescent device comprising: a substrate; a first electrode disposed over the substrate for injecting charge of a first polarity; a second electrode disposed over the first electrode for injecting charge of a second polarity opposite to said first polarity; an organic light emitting layer disposed between the first and the second electrode, the second electrode being transparent to light emitted by the light emitting layer; a glass or transparent plastic encapsulant disposed over, and spaced apart from, the second electrode, defining a cavity therebetween; and a cavity filling material disposed within the cavity, the cavity filling material extending from a bottom side of the cavity to a top side of the cavity, the cavity filling material having an optical structure disposed therein. | 07-07-2011 |
20110163341 | LIGHT OUTPUT DEVICE AND ASSEMBLY METHOD - The present invention relates to a light output device ( | 07-07-2011 |
20110163342 | LIGHT EMITTING DEVICE - A light emitting device including a second metal layer, a second conduction type semiconductor layer on the second metal layer, an active layer on the second conduction type semiconductor layer, a first conduction type semiconductor layer on the active layer, a first metal layer on the first conduction type semiconductor layer, an insulating layer being disposed on a peripheral portion of an upper surface of the second metal layer and being disposed under a lower surface of the second conduction type semiconductor layer, and a passivation layer on lateral surfaces of the insulating layer, the second conduction type semiconductor layer, the active layer and the first conduction type semiconductor layer, the passivation layer being on an upper surface of the second metal layer, wherein a lateral surface of the insulating layer is adjacent to a lateral surface of the second metal layer. | 07-07-2011 |
20110163343 | TWO DIMENSIONAL LIGHT SOURCE USING LIGHT EMITTING DIODE AND LIQUID CRYSTAL DISPLAY DEVICE USING THE TWO DIMENSIONAL LIGHT SOURCE - A two-dimensional light source includes a base substrate having holes, wires disposed on a lower surface of the base substrate, a light emitting diode (LED) chip disposed on an upper surface of the base substrate, plugs that connect two electrodes of the LED chip to the wires through the holes, a buffer layer covering the LED chip, and an optical layer that is disposed on the buffer layer and has an optical pattern formed at a portion of the optical layer corresponding to the LED chip. | 07-07-2011 |
20110163344 | Production of Nitride-Based Phosphors - This invention relates to a new method for the production of nitride-based phosphors, in particular, of phosphors containing rare earth elements. The phosphors can be used, for example, in light sources, especially in Light Emitting Devices (LEDs). | 07-07-2011 |
20110163345 | LEAD, WIRING MEMBER, PACKAGE COMPONENT, METAL COMPONENT WITH RESIN, RESIN-ENCAPSULATED SEMICONDUCTOR DEVICE, AND METHODS FOR PRODUCING THE SAME - The present invention aims to make possible facile removal of resin burrs without the risk of damaging resin body covering a wiring lead in a semiconductor device. In detail, the semiconductor device | 07-07-2011 |
20110169026 | Light Guide for LED Source - A device to emit light includes a light emitting diode (LED) die and a light guide coupled to the LED die. The light guide includes a first material having a first index of refraction with a plurality of apertures arranged in a grid. A second material having a second index of refraction that is larger than the first index of refraction fills the plurality of apertures. Each aperture extends from a first end adjacent the LED die to a larger second end. The first end may be a circle of approximately 1 to 2 μm in diameter. The distance between the first and second ends may be from approximately 10 to 20 μm. Each aperture may be in the form of a frustrated cone having an included angle between the sides from approximately 3 to 7 degrees. The light guide may be formed on a transparent substrate. | 07-14-2011 |
20110169027 | Patterning Method of Metal Oxide Thin Film Using Nanoimprinting, and Manufacturing Method of Light Emitting Diode - Disclosed are a patterning method of a metal oxide thin film using nanoimprinting, and a manufacturing method of a light emitting diode (LED). The method for forming a metal oxide thin film pattern using nanoimprinting includes: coating a photosensitive metal-organic material precursor solution on a substrate; preparing a mold patterned to have a protrusion and depression structure; pressurizing the photosensitive metal-organic material precursor coating layer with the patterned mold; forming a cured metal oxide thin film pattern by heating the pressurized photosensitive metal-organic material precursor coating layer or by irradiating ultraviolet rays to the pressurized photosensitive metal-organic material precursor coating layer while being heated; and removing the patterned mold from the metal oxide thin film pattern, and selectively further includes annealing the metal oxide thin film pattern. Within this, there is provided a method for forming a metal dioxe thin film pattern using nano imprinting, which makes it possible to simplify the process for forming the pattern since the process of separately applying the ultraviolet resin to be used as the resist can be omitted, and forms a micro/nano composite pattern through a single imprint process. | 07-14-2011 |
20110169028 | LIGHT EMITTING DEVICE CHIP, LIGHT EMITTING DEVICE PACKAGE - Disclosed are a light emitting device chip, a light emitting device package, and a lighting system. The light emitting device chip includes a light emitting structure including a first conductive semiconductor layer, a second conductive semiconductor layer and an active layer interposed between the first and second conductive semiconductor layers; a transmittive layer on the light emitting structure; and a luminescence material layer on the transmittive layer, wherein the luminescence material layer includes a pattern, which does not expose the transmittive layer, partially exposes the transmittive layer or partially exposes the transmittive layer and the light emitting structure. | 07-14-2011 |
20110169029 | OPTOELECTRONIC COMPONENT AND PACKAGE FOR AN OPTOELECTRONIC COMPONENT - Optoelectronic components with a semiconductor chip, which is suitable for emitting primary electromagnetic radiation, a basic package body, which has a recess for receiving the semiconductor chip and electrical leads for the external electrical connection of the semiconductor chip, and a chip encapsulating element, which encloses the semiconductor chip in the recess. The basic package body is at least partly optically transmissive at least for part of the primary radiation and an optical axis of the semiconductor chip runs through the basic package body. The basic package body comprises a luminescence conversion material, which is suitable for converting at least part of the primary radiation into secondary radiation with wavelengths that are at least partly changed in comparison with the primary radiation. | 07-14-2011 |
20110169030 | LIGHT EMITTING DIODE WITH HIGH ASPECT RATIO SUBMICRON ROUGHNESS FOR LIGHT EXTRACTION AND METHODS OF FORMING - The surface morphology of an LED light emitting surface is changed by applying a reactive ion etch (RIE) process to the light emitting surface. High aspect ratio, submicron roughness is formed on the light emitting surface by transferring a thin film metal hard-mask having submicron patterns to the surface prior to applying a reactive ion etch process. The submicron patterns in the metal hard-mask can be formed using a low cost, commercially available nano-patterned template which is transferred to the surface with the mask. After subsequently binding the mask to the surface, the template is removed and the RIE process is applied for time duration sufficient to change the morphology of the surface. The modified surface contains non-symmetric, submicron structures having high aspect ratio which increase the efficiency of the device. | 07-14-2011 |
20110169031 | SOLID STATE LIGHTING DEVICE WITH IMPROVED HEATSINK - A solid state lighting device includes a device-scale stamped heatsink with a base portion and multiple segments or sidewalls projecting outward from the base portion, and dissipates all steady state thermal load of a solid state emitter to an ambient air environment. The heatsink is in thermal communication with one or more solid state emitters, and may define a cup-like cavity containing a reflector. At least a portion of each one sidewall portion or segment extends in a direction non-parallel to the base portion. A dielectric layer and at least one electrical trace may be deposited over a metallic sheet to form a composite sheet, and the composite sheet may be processed by stamping and/or progressive die shaping to form a heatsink with integral circuitry. At least some segments of a heatsink may be arranged to structurally support a lens and/or reflector associated with a solid state lighting device. | 07-14-2011 |
20110169032 | PACKAGE OF LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - Provided is a package of a light emitting diode. The package according to an embodiment includes a package of a light emitting diode, the package comprising: a base layer including an entire top surface that is substantially flat; a light emitting diode chip on the base layer; a lead frame electrically connected to the light emitting diode chip; and a reflective coating layer comprising titanium oxide, wherein a top surface of the reflective coating layer is substantially parallel to a top surface of the base layer, and wherein ends of the reflective coating layer and base layer are aligned with each other. | 07-14-2011 |
20110169033 | LEAD, WIRING MEMBER, PACKAGE PART, METAL PART PROVIDED WITH RESIN AND RESIN-SEALED SEMICONDUCTOR DEVICE, AND METHODS FOR PRODUCING SAME - A semiconductor device and manufacturing method therefor, provided with the aims of constraining resin burr formation while having good electric connectivity and joining strength, and LED device, provided with the aim of improving adhesion between silicon resin and leads while having good luminescent characteristics. | 07-14-2011 |
20110169034 | PACKAGE STRUCTURE OF PHOTOELECTRONIC DEVICE AND FABRICATING METHOD THEREOF - A package structure includes a silicon substrate, a first insulating layer, a reflective layer, a second insulating layer, a first conductive layer, a second conductive layer and a die. The silicon substrate has a first surface and an opposite second surface. The first surface has a reflective opening, and the second surface has two electrode via holes connected to the reflective opening and a recess disposed outside the electrode via holes. The first insulating layer overlays the first surface, the second surface and the recess. The reflective layer is disposed on the reflective opening. The second insulating layer is disposed on the reflective layer. The first conductive layer is disposed on the second insulating layer. The second conductive layer is disposed on the second surface and inside the electrode via holes. The die is fixed inside the reflective opening and electrically connected to the first conductive layer. | 07-14-2011 |
20110169035 | SMALL SIZE LIGHT EMITTING DEVICE AND MANUFACTURING METHOD OF THE SAME - There is provided a light emitting device including: a package body having first and second circumferential surfaces and a plurality of side surfaces formed therebetween, the package body defined into first and second level areas including the first and second circumferential surfaces, respectively; first and second external terminal blocks each having an electrical contact part; an LED chip disposed between the first and second external terminal blocks in the first level area and having an electrode surface where first and second electrodes are formed; and wires electrically connected to first and second electrodes of the LED chip to the electrical contact parts of the first and second external terminal blocks, respectively. | 07-14-2011 |
20110169036 | COMPOSITE HIGH REFLECTIVITY LAYER - A high efficiency light emitting diode with a composite high reflectivity layer integral to said LED to improve emission efficiency. One embodiment of a light emitting diode (LED) chip comprises an LED and a composite high reflectivity layer integral to the LED to reflect light emitted from the active region. The composite layer comprises a first layer, and alternating plurality of second and third layers on the first layer, and a reflective layer on the topmost of said plurality of second and third layers. The second and third layers have a different index of refraction, and the first layer is at least three times thicker than the thickest of the second and third layers. For composite layers internal to the LED chip, conductive vias can be included through the composite layer to allow an electrical signal to pass through the composite layer to the LED. | 07-14-2011 |
20110169037 | Wiring Board for Light-Emitting Element - A wiring board for light-emitting element, comprising a ceramic insulating substrate, and a conductor layer formed on the surface or in the inside of the insulating substrate, and having a mounting region mounting a light-emitting element on one surface of the insulating substrate; wherein
| 07-14-2011 |
20110169038 | MOLDED CHIP FABRICATION METHOD AND APPARATUS - A method and apparatus for coating a plurality of semiconductor devices that is particularly adapted to coating LEDs with a coating material containing conversion particles. One method according to the invention comprises providing a mold with a formation cavity. A plurality of semiconductor devices are mounted within the mold formation cavity and a curable coating material is injected or otherwise introduced into the mold to fill the mold formation cavity and at least partially cover the semiconductor devices. The coating material is cured so that the semiconductor devices are at least partially embedded in the cured coating material. The cured coating material with the embedded semiconductor devices is removed from the formation cavity. The semiconductor devices are separated so that each is at least partially covered by a layer of the cured coating material. One embodiment of an apparatus according to the invention for coating a plurality of semiconductor devices comprises a mold housing having a formation cavity arranged to hold semiconductor devices. The formation cavity is also arranged so that a curable coating material can be injected into and fills the formation cavity to at least partially covering the semiconductor devices. | 07-14-2011 |
20110169039 | GaN COMPOUND SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD OF MANUFACTURING THE SAME - The present invention relates to a gallium nitride (GaN) compound semiconductor light emitting element (LED) and a method of manufacturing the same. The present invention provides a vertical GaN LED capable of improving the characteristics of a horizontal LED by means of a metallic protective film layer and a metallic support layer. According to the present invention, a thick metallic protective film layer with a thickness of at least 10 microns is formed on the lateral and/or bottom sides of the vertical GaN LED to protect the element against external impact and to easily separate the chip. Further, a metallic substrate is used instead of a sapphire substrate to efficiently release the generated heat to the outside when the element is operated, so that the LED can be suitable for a high-power application and an element having improved optical output characteristics can also be manufactured. A metallic support layer is formed to protect the element from being distorted or damaged due to impact. Furthermore, a P-type electrode is partially formed on a P-GaN layer in a mesh form to thereby maximize the emission of photons generated in the active layer toward the N-GaN layer. | 07-14-2011 |
20110169040 | LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - Disclosed are a light emitting device and a method of fabricating the same. The light emitting device comprises a substrate. A plurality of light emitting cells are disposed on top of the substrate to be spaced apart from one another. Each of the light emitting cells comprises a first upper semiconductor layer, an active layer, and a second lower semiconductor layer. Reflective metal layers are positioned between the substrate and the light emitting cells. The reflective metal layers are prevented from being exposed to the outside. | 07-14-2011 |
20110175121 | Optical-Electronic Component and Method for Production Thereof - An optoelectronic component ( | 07-21-2011 |
20110175122 | LIGHT EMITTING DEVICE PACKAGE AND LIGHT UNIT HAVING THE SAME - A light emitting structure includes a package body including a conductive material, a nonconductive layer formed on a surface of the package body, a plurality of electrodes on the nonconductive layer, a plurality of protrusions from the electrodes, a light emitting device mounted to a plane of the package body and connected to the electrodes, and a transmissive resin member to encapsulate the light emitting device wherein at least the plane of the package body other than where the light emitting device is seated is substantially flat. | 07-21-2011 |
20110175123 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An OLED display including: a substrate main body; a first transflective electrode formed on the substrate main body; an organic emission layer formed on the first transflective electrode; a second transflective electrode formed on the organic emission layer; and a dual brightness enhancement film (DBEF) disposed on a dual brightness enhancement film (DBEF) on at least one of a side of the first transflective electrode facing away from the organic emission layer, or a side of the second transflective electrode facing away from the organic emission layer. | 07-21-2011 |
20110175124 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device according to the embodiment includes a conductive support member having a step portion at an outer peripheral region thereof, a protective member for filling the step portion formed at the outer peripheral region of the conductive support member, a reflective layer over the conductive support member, and a light emitting structure over the reflective layer and the protective member. | 07-21-2011 |
20110175125 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Provided is a light emitting device. The light emitting device comprises: In one embodiment, a light emitting device includes: a light emitting structure comprising a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer; and a conductive support member under the light emitting structure. The conductive support member comprises a first conductive support member and a second conductive support member. The second conductive support member has a thermal conductivity higher than that of the first conductive support member. | 07-21-2011 |
20110175126 | LIGHT-EMITTING DIODE STRUCTURE - A light emitting diode device is provided, which comprises a substrate comprising a first growth surface and a bottom surface opposite to the first growth surface; a dielectric layer with a plurality of openings therein formed on the first growth surface; a plurality of semiconductor nano-scaled structures formed on the substrate protruding through the openings; a layer formed on the plurality of semiconductor nano-scaled structures with a second growth surface substantially parallel with the bottom surface; a light emitting diode structure formed on the second growth surface; wherein the diameters of the openings are smaller than 250 nm, and wherein the diameters of the plurality semiconductor nano-scaled structures are larger than the diameters of the corresponding openings. | 07-21-2011 |
20110175127 | LIGHT EMITTING DEVICE - A light emitting device has a package having an opening provided with a side surface and a bottom surface, and a lead frame exposed to the bottom surface. The lead frame includes a reflection portion bent on the side surface, and a portion of an inner wall surface of the reflection portion is positioned in an inner portion of the package. A light emitting device has a package having a recessed portion on a front surface, a lead frame exposed to a bottom surface of the recessed portion, a light emitting element disposed on the lead frame, and a sealing resin filled into the recessed portion. The lead frame includes a bent portion bent towards the front surface of the package in the recessed portion, and a projecting portion bent to project from the package towards an outer portion, and disposed on a face opposed to the front surface. | 07-21-2011 |
20110175128 | LED PACKAGE HAVING AN ARRAY OF LIGHT EMITTING CELLS COUPLED IN SERIES - Disclosed is a light emitting diode (LED) package having an array of light emitting cells coupled in series. The LED package comprises a package body and an LED chip mounted on the package body. The LED chip has an array of light emitting cells coupled in series. Since the LED chip having the array of light emitting cells coupled in series is mounted on the LED package, it can be driven directly using an AC power source. | 07-21-2011 |
20110175129 | LIGHT EMITTING DEVICE HAVING A PLURALILTY OF LIGHT EMITTING CELLS AND PACKAGE MOUNTING THE SAME - Disclosed is a light emitting device having a plurality of light emitting cells and a package having the same mounted thereon. The light emitting device includes a plurality of light emitting cells which are formed on a substrate and each of which has an N-type semiconductor layer and a P-type semiconductor layer located on a portion of the N-type semiconductor layer. The plurality of light emitting cells are bonded to a submount substrate. Accordingly, heat generated from the light emitting cells can be easily dissipated, so that a thermal load on the light emitting device can be reduced. Meanwhile, since the plurality of light emitting cells are electrically connected using connection electrodes or electrode layers formed on the submount substrate, it is possible to provide light emitting cell arrays connected to each other in series. Further, it is possible to provide a light emitting device capable of being directly driven by an AC power source by connecting the serially connected light emitting cell arrays in reverse parallel to each other. | 07-21-2011 |
20110175130 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are a vertical-type light emitting device and a method of manufacturing the same. The light emitting device includes a p-type semiconductor layer, an active layer, and an n-type semi-conductor layer that are stacked, a cover layer disposed on a p-type electrode layer to surround the p-type electrode layer, a conductive support layer disposed on the cover layer, and an n-type electrode layer disposed on the n-type semiconductor layer. | 07-21-2011 |
20110175131 | LIGHT EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - There is provided a light emitting device, which comprises compound semiconductor layers including a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer; a metal reflection layer formed on a region of the second conductive semiconductor layer; an insulating structure formed at least in a boundary region of the second conductive semiconductor layer; a metal material structure formed to cover the second conductive semiconductor layer having the metal reflection layer and the insulating structure formed; and a substrate bonded to the metal material structure, wherein the boundary region of the second conductive semiconductor layer includes an outer region of the second conductive semiconductor layer along an outer circumference of the second conductive semiconductor layer. | 07-21-2011 |
20110175132 | LED PACKAGE AND FABRICATION METHOD THEREOF - An LED package and a fabrication method thereof are provided. The LED package includes an upper metal plate having an LED-receiving hole therein; a lower metal plate disposed under the upper metal plate; and an insulator which the upper metal plate and the lower metal plate from each other. A portion of the lower metal plate is exposed via the LED-receiving hole and an LED is mounted on the exposed portion of the lower metal plate and is electrically connected to both of the upper and lower metal plates. A protective cover encloses and protects exposed surfaces of the upper and lower metal plates. | 07-21-2011 |
20110175133 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting device and a method of fabricating the same are disclosed. The organic light emitting device includes an opaque substrate having one or more holes, and an organic emissive unit interposed between first and second electrodes positioned on the opaque substrate. | 07-21-2011 |
20110180829 | LIGHT EMITTING DIODE (LED) AND METHOD OF MANUFACTURE - The present invention provides an LED and method of manufacture in which white light is produced. Specifically, under the present invention, a wavelength of a light output by an LED (e.g., blue or ultra-violet (UV)) is measured (e.g., at the wafer level). Based on the wavelength measurement, a conformal coating is applied to the LED. The conformal coating has a phosphor ratio that is based on the wavelength. Moreover, the phosphor ratio is comprised of at least one of the following colors: yellow, green, or red. The light output of the LED is then converted to white light using the conformal coating. In a typical embodiment, these steps are performed at the wafer level so that uniformity and consistency in results can be better obtained. However, it should be understood that the same teachings could be applied at the chip level. Moreover, several different approaches can be implemented for isolating the coating area. Examples include the use of a paraffin wax, a silk screen, or a photo resist. | 07-28-2011 |
20110180830 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device (LED) comprises an LED chip, a barrier over the LED chip, and an encapsulating material containing a phosphor, wherein the encapsulating material is disposed inside the barrier over the LED chip. | 07-28-2011 |
20110180831 | LUMINOUS ELEMENT - A light emitting device according to the embodiment includes a first conductive semiconductor layer; an active layer over the first conductive semiconductor layer; a second conductive semiconductor layer over the active layer; a bonding layer over the second conductive semiconductor layer; a schottky diode layer over the bonding layer; an insulting layer for partially exposing the bonding layer, the schottky diode layer, and the first conductive semiconductor layer; a first electrode layer electrically connected to both of the first conductive semiconductor layer and the schottky diode layer; and a second electrode layer electrically connected to the bonding layer. | 07-28-2011 |
20110180832 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package according to the embodiment includes a package body; a light emitting device over the package body; a first molding part having a first refractive index over the light emitting device; and a second molding part having a second refractive index lower than the first refractive index over the first molding part. A light emitting device package according to the embodiment includes a package body; a light emitting device over the package body; a resin layer including luminescence materials; a first molding part having a first refractive index over the resin layer; and a second molding part having a second refractive index lower than the first refractive index over the first molding part. | 07-28-2011 |
20110180833 | Light Emitting Device Having Vertical Structure, Package Thereof And Method For Manufacturing The Same - A light emitting device having a vertical structure, a package thereof and a method for manufacturing the same, which are capable of damping impact generated in a substrate separation process, and achieving an improvement in mass productivity, are disclosed. The method includes growing a semiconductor layer having a multilayer structure over a substrate, forming a first electrode on the semiconductor layer, separating the substrate including the grown semiconductor layer into unit devices, bonding each of the separated unit devices on a sub-mount, separating the substrate from the semiconductor layer, and forming a second electrode on a surface of the semiconductor layer exposed in accordance with the separation of the substrate. | 07-28-2011 |
20110180834 | Packaged Light Emitting Devices - Packaged semiconductor light emitting device are provided including a reflector having a lower sidewall portion defining a reflective cavity. A light emitting device is positioned in the reflective cavity. A first quantity of cured encapsulant material having a first index of refraction is provided in the reflective cavity including the light emitting device. A second quantity of cured encapsulant material having a second index of refraction, different from the first index of refraction, is provided on the first quantity of cured encapsulant material. The first and second index of refraction are selected to provide a buried lens in the reflective cavity. | 07-28-2011 |
20110180835 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD FOR MANUFACTURING THE ORGANIC ELECTROLUMINESCENCE DEVICE - According to one embodiment, in an organic electroluminescence device, a first substrate has a first refractive index n | 07-28-2011 |
20110180836 | ORGANIC LIGHT-EMITTING DIODES (OLEDS) WITH HIGH EFFICIENCY AND ITS MANUFACTURING METHOD - The present invention relates to an organic light emitting device that has a structure which is capable of maximally extracting light generated in the organic light emitting device to the outside. In detail, the organic light emitting device according to the present invention is characterized in that the organic light emitting device includes a high refractive index layer or an electrode that includes a light reuse pattern. | 07-28-2011 |
20110186884 | LED Reflective Structure and Method of Fabricating the Same - A reflective structure is fabricated for a light emitting diode (LED). An ohmic contact layer of the LED is made into a netlike structure. Thus, a current is evenly distributed and a low contact resistance is remained. Furthermore, the reflective layer directly reflects light through holes of the netlike structure on emitting light. Thus, a reflectivity of the LED is enhanced. | 08-04-2011 |
20110186885 | LED ASSEMBLY WITH A PROTECTIVE FRAME - The invention relates to an LED assembly comprising a carrier (T, T′), at least one LED chip ( | 08-04-2011 |
20110186886 | LED PACKAGE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, an LED package includes first and second lead frames, an LED chip and a resin body. The first and second lead frames are made of a metal material, and disposed to be apart from each other. The LED chip is provided above the first and second lead frames, the LED chip having one terminal connected to the first lead frame and another terminal connected to the second lead frame. The resin body is made of a resin material having a shore D hardness of 25 or higher. In addition, the resin body covers the first and second lead frames and the LED chip. And, an appearance of the resin body is an appearance of the LED package. | 08-04-2011 |
20110186887 | Reflection Mode Wavelength Conversion Material for Optical Devices Using Non-Polar or Semipolar Gallium Containing Materials - An optical device includes an LED overlying a portion of a surface region of a substrate member and a wavelength conversion material within a vicinity of the LED. The device also includes a wavelength selective surface configured to block direct emission of the LED and configured to transmit selected wavelengths of reflected emission caused by an interaction with the wavelength conversion material. | 08-04-2011 |
20110186888 | SEMICONDUCTOR LIGHTING MODULE PACKAGE - A semiconductor lighting module package comprises a substrate, a lead frame, at least one semiconductor lighting element, and a plurality of nanoscale reflectors formed on the substrate and the lead frame for increasing reflection efficiency of the lighting module package. A pitch between every two of the plurality of nanoscale reflectors has a distance P which is shorter than a half wavelength of the visible light. Moreover, a gap between every two of the plurality of the nanoscale reflectors has a depth H, and a ratio of the depth H over the distance P is not less than 2. The distance P is between 90 nm and 130 nm. Furthermore, the light generated by the semiconductor lighting element has at least a part which is reflected by the nanoscale reflectors. | 08-04-2011 |
20110186889 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - A light emitting device includes an active layer formed between first and second semiconductor layers. The first semiconductor layer includes a first surface facing the active layer, a second surface opposing the first surface, and a side surface that includes a stepped portion. The stepped portion causes the side surface to extend beyond one of the first surface or second surface of the first semiconductor layer. A light emitting device may also be formed with a buffer layer that includes a stepped portion, and a light emitting device package and system may be formed from the light emitting devices. | 08-04-2011 |
20110186890 | Electro-optical Organic Component - The invention relates to an electro-optic organic component, in particular an organic light-emitting diode, with a layered assembly ( | 08-04-2011 |
20110186891 | SEMICONDUCTOR LIGHT EMITTING DEVICE PACKAGE - A plurality of reflective nanometer-structures formed on the reflective surface of a semiconductor light emitting device package increases light emitting efficiency. Every pitch between each reflective nanometer-structure has an interval P shorter than the half wavelength of the visible light. Moreover, each of the plurality of reflective nanometer-structures has a depth H, wherein the ratio of the depth H over the interval P is not less than 2. | 08-04-2011 |
20110186892 | LIGHT EMITTING DEVICE - Disclosed are a light emitting device, a method of manufacturing the same, a light emitting device package, and a lighting system. The light emitting device includes the light emitting structure layer including a first conductive semiconductor layer, a second conductive semiconductor layer, and an active layer between the first and second conductive semiconductor layers, a conductive support substrate electrically connected to the second conductive semiconductor layer, a contact electrically connected to the first conductive semiconductor layer, a dielectric material making contact with the contact and interposed between the contact and the conductive support substrate, and an insulating layer electrically insulating the contact from the active layer, the second conductive semiconductor layer, and the conductive support substrate. | 08-04-2011 |
20110186893 | OPTICAL-SEMICONDUCTOR DEVICE - The present invention relates to an optical-semiconductor device, which is prepared by: arranging a sheet for optical-semiconductor element encapsulation including an encapsulating resin layer capable of embedding an optical-semiconductor element and a wavelength conversion layer containing light wavelength-converting particles and being laminated directly or indirectly on the encapsulating resin layer, on an optical-semiconductor element-mounting substrate so that the encapsulating resin layer faces the optical-semiconductor element-mounting substrate; followed by compression-molding, in which the wavelength conversion layer is present on an upper part of a molded body in which the optical-semiconductor element is embedded therein, but is not present on a side surface of the molded body. | 08-04-2011 |
20110186894 | LIGHT EMITTING DEVICE PACKAGE - Disclosed are a light emitting device package and a lighting system. The light emitting device package includes a sub-mount including a cavity, a light emitting device chip provided in the cavity, an electrode electrically connected to the light emitting chip, a reflective layer formed on a surface of the cavity, a dielectric pattern on the reflective layer, and an encapsulant filled in the cavity. | 08-04-2011 |
20110186895 | POWER SURFACE MOUNT LIGHT EMITTING DIE PACKAGE - A light emitting die package includes a substrate, a reflector plate, and a lens. The substrate has traces for connecting an external electrical power source to a light emitting diode (LED) at a mounting pad. The reflector plate is coupled to the substrate and substantially surrounds the mounting pad, and includes a reflective surface to direct light from the LED in a desired direction. The lens is free to move relative to the reflector plate and is capable of being raised or lowered by the encapsulant that wets and adheres to it and is placed at an optimal distance from the LED chip(s). Heat generated by the LED during operation is drawn away from the LED by both the substrate (acting as a bottom heat sink) and the reflector plate (acting as a top heat sink). | 08-04-2011 |
20110186896 | POWER SURFACE MOUNT LIGHT EMITTING DIE PACKAGE - A light emitting die package includes a substrate, a reflector plate, and a lens. The substrate has traces for connecting an external electrical power source to a light emitting diode (LED) at a mounting pad. The reflector plate is coupled to the substrate and substantially surrounds the mounting pad, and includes a reflective surface to direct light from the LED in a desired direction. The lens is free to move relative to the reflector plate and is capable of being raised or lowered by the encapsulant that wets and adheres to it and is placed at an optimal distance from the LED chip(s). Heat generated by the LED during operation is drawn away from the LED by both the substrate (acting as a bottom heat sink) and the reflector plate (acting as a top heat sink). | 08-04-2011 |
20110186897 | POWER SURFACE MOUNT LIGHT EMITTING DIE PACKAGE - A light emitting die package includes a substrate, a reflector plate, and a lens. The substrate has traces for connecting an external electrical power source to a light emitting diode (LED) at a mounting pad. The reflector plate is coupled to the substrate and substantially surrounds the mounting pad, and includes a reflective surface to direct light from the LED in a desired direction. The lens is free to move relative to the reflector plate and is capable of being raised or lowered by the encapsulant that wets and adheres to it and is placed at an optimal distance from the LED chip(s). Heat generated by the LED during operation is drawn away from the LED by both the substrate (acting as a bottom heat sink) and the reflector plate (acting as a top heat sink). | 08-04-2011 |
20110193116 | LIGHT EMITTING DEVICE - To improve light extraction efficiency of a light emitting device, the light emitting device includes: a first electrode; a second electrode provided on a light extraction side; an emission layer formed between the first electrode and the second electrode; a reflection surface located on the first electrode with respect to the emission layer; and a periodic structure at a node of interference generated by light emitted from the emission layer and light emitted from the emission layer to the reflection surface side and reflected on the reflection surface. The periodic structure is for diffracting light generated in the emission layer and guided in an in-plane direction of the light emitting device in a direction to the second electrode, and for extracting the light to the outside of the light emitting device. | 08-11-2011 |
20110193117 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - Disclosed are a light emitting device and a light emitting device package. The light emitting device includes a light emitting structure including a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer, an electrode on the first conductive type semiconductor layer, a reflective layer under the second conductive type semiconductor layer, a protective layer at outer peripheral portions of a lower surface of the second conductive type semiconductor layer, and a light extraction structure including a compound semiconductor on the protective layer. | 08-11-2011 |
20110193118 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device includes a first resin layer which is made of transparent resin and provided outside a solid-state light-emitting element mounted on a mounting substrate; and a second resin layer which is provided outside the first resin layer and made of transparent resin that contains a phosphor which is excited with a luminescence wavelength of the solid-state light-emitting element, wherein when the refractive index of the solid-state light-emitting element is set to be N | 08-11-2011 |
20110193119 | OPTOELECTRONIC DEVICE AND THE MANUFACTURING METHOD THEREOF - One aspect of the present disclosure provides an optoelectronic device comprising a substrate; a first window layer on the substrate, having a first sheet resistance, a first thickness, and a first impurity concentration; a second window layer having a second sheet resistance, a second thickness, and a second impurity concentration; and a semiconductor system between the first window layer and the second window layer; wherein the second window layer comprises a semiconductor material different from the semiconductor system, and the second sheet resistance is greater than the first sheet resistance. | 08-11-2011 |
20110193120 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - The device includes a support substrate, a reflective electrode on the support substrate; an AlGaInP-based semiconductor film including a light-emission layer and is provided on the reflective electrode, and a surface electrode provided on the semiconductor film. The surface electrode includes an ohmic electrode constituted by electrode pieces disposed on the semiconductor film in a distributed manner; the reflective electrode is constituted by a line electrode and dot electrodes provided on both sides of each of the electrode pieces, along the electrode pieces; the surface electrode and the reflective electrode are disposed so as to satisfy the following equations: | 08-11-2011 |
20110193121 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - Disclosed are a light emitting device and a light emitting device package having the same. The light emitting device includes a light emitting structure including a first conductive semiconductor layer, a second conductive semiconductor layer, and an active layer interposed between the first conductive semiconductor layer and the second conductive semiconductor layer, an electrode electrically connected to the first conductive semiconductor layer, a reflective layer under the second conductive semiconductor layer, a protective layer disposed around a lower surface of the second conductive semiconductor layer, and a buffer layer disposed on at least one of top and lower surfaces of the protective layer. | 08-11-2011 |
20110193122 | SEMICONDUCTOR SUBSTRATE AND LIGHT EMITTING DEVICE USING THE SAME - There are provided a semiconductor substrate configured to improve the light extraction efficiency of a light emitting device, and a light emitting device using the substrate. The light emitting device includes the substrate, a buffer layer, and a light emitting structure, and the buffer layer and the light emitting structure being sequentially stacked on the substrate. The substrate includes a plurality of lenses disposed on a top surface thereof, and the lenses have a horn shape and are configured such that the buffer layer grows both on the top surface of the substrate and lateral surfaces of the lenses. | 08-11-2011 |
20110193123 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - Disclosed are a light emitting device and a light emitting device package having the same. The light emitting device includes a light emitting structure layer including a first conductive type semiconductor layer, an active layer under the first conductive type semiconductor layer, and a second conductive type semiconductor layer under the active layer; a conductive layer under the second conductive type semiconductor layer; an adhesive layer under the conductive layer; a support member under the adhesive layer; a contact electrode connected to the first conductive type semiconductor layer; a first lead electrode under the support member; a first electrode connecting the contact electrode to the first lead electrode on a first region of the support member; a second electrode connected to at least one of the conductive layer and the adhesive layer on a second region of the support member; a second lead electrode connected to the second electrode under the support member; and a first insulating layer between the contact electrode and the light emitting structure layer. | 08-11-2011 |
20110193124 | Deposition Substrate and Method for Manufacturing Light-Emitting Device - The deposition substrate of the present invention includes a light-transmitting substrate having a first region and a second region. In the first region, a first heat-insulating layer transmitting light is provided over the light-transmitting substrate, a light absorption layer is provided over the first heat-insulating layer, and a first organic compound-containing layer is provided over the light absorption layer. In the second region, a reflective layer is provided over the light-transmitting substrate, a second heat-insulating layer is provided over the reflective layer, and a second organic compound-containing layer is provided over the second heat-insulating layer. The edge of the second heat-insulating layer is placed inside the edge of the reflective layer, and there is a space between the first heat-insulating layer and the second heat-insulating layer. | 08-11-2011 |
20110193125 | ADHESION METHOD, ADHESION STRUCTURE, METHOD OF MANUFACTURING OPTICAL MODULE, AND OPTICAL MODULE - A first light-blocking member and a second light-blocking member are adhered to each other by forming a light-transmitting layer having a light-transmitting composition serving as a base material and a light-transmitting filler different in index of refraction from this light-transmitting composition on a front surface of the first light-blocking member. A liquid light curing adhesive is applied to a front surface of the light-transmitting layer. The second light-blocking member is arranged on the front surface of the light-transmitting layer to which the liquid light curing adhesive has been applied. The liquid light curing adhesive is cured by irradiating the light-transmitting layer with light having a prescribed wavelength laterally from a side of the light-transmitting layer so as to adhere the light-transmitting layer and the second light-blocking member to each other. By adhering light-blocking members to each other, an adhesion operation may easily and quickly be performed with sufficient adhesion strength. | 08-11-2011 |
20110198635 | Light Emitting Diode With Metal Piles and Multi-Passivation Layers and Its Manufacturing Method - The present invention relates to a light emitting diode with metal piles and one or more passivation layers and a method for making the diode including a first steps of performing mesa etching respectively on a first semiconductor layer and a second semiconductor layer belonging to stacked layers formed on a substrate in sequence! a second step of forming a reflector layer on the mesa-etched upper and side face! a third step of contacting one or more first electrodes with the first semiconductor layer and one or more second electrodes through the reflector layer with the second semiconductor layer; a fourth step of forming a first passivation layer on the reflector layer and the contacted electrodes; and a fifth step of connecting the first electrodes to a first bonding pad through one or more first electrode lines, bring one ends of vertical extensions having the shape of a metal pile into contact with one or more second electrodes, and connecting the other ends of the vertical extensions to a second bonding pad through one or more second electrode lines. As effects of the present invention, the loss of light emitting area decreases and current diffusion efficiency increases. | 08-18-2011 |
20110198636 | ORGANIC LIGHT EMITTING DIODE DEVICE - Disclosed herein is an organic light emitting diode device, including: an organic EL element layer; an electrode layer supplying power to the organic EL element layer; and a metal nanocluster layer which is formed by covering a plurality of metal clusters with media and which is located between the organic EL element layer and the electrode layer to induce a luminescence enhancement effect. The organic light emitting diode device is advantageous in that carriers can be easily injected, so that light output efficacy is improved, thereby enhancing fluorescent emission output. | 08-18-2011 |
20110198637 | Light-Emitting Devices on Textured Substrates - A device includes a textured substrate, which further includes a plurality of trenches. Each of the plurality of trenches includes a first sidewall and a second sidewall opposite the first sidewall. A plurality of reflectors configured to reflect light is formed, with each of the plurality of reflectors being on one of the first sidewalls of the plurality of trenches. The second sidewalls of the plurality of trenches are substantially free from any reflector. | 08-18-2011 |
20110198638 | LIGHT-EMITTING DIODE (LED) PACKAGE SYSTEMS - A package system includes a substrate having at least one first thermally conductive structure through the substrate. At least one second thermally conductive structure is disposed over the at least one first thermally conductive structure. At least one light-emitting diode (LED) is disposed over the at least one second thermally conductive structure. | 08-18-2011 |
20110198639 | Radiation Emitting Body and Method for Producing a Radiation-Emitting Body - A radiation-emitting body comprising a layer sequence, having an active layer ( | 08-18-2011 |
20110198640 | Semiconductor Light-Emitting Diode and Method for Producing a Semiconductor Light-Emitting Diode - A semiconductor light-emitting diode ( | 08-18-2011 |
20110198641 | Semiconductor light-emitting element - A semiconductor light-emitting element includes a semiconductor laminated structure including a light-emitting layer sandwiched between first and second conductivity type layers for extracting an emitted light from the light-emitting layer on a side of the second conductivity type layer, a transparent electrode in ohmic contact with the second conductivity type layer, an insulation layer formed on the transparent electrode, an upper electrode for wire bonding formed on the insulation layer, a lower electrode that penetrates the insulation layer, is in ohmic contact with the transparent electrode and the electrode for wire bonding, and has an area smaller than that of the upper electrode in top view, and a reflective portion for reflecting at least a portion of light transmitted through a region of the transparent electrode not in contact with the lower electrode. | 08-18-2011 |
20110198642 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - A light emitting device includes a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer; and
| 08-18-2011 |
20110198643 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - Disclosed is a light emitting device package. The light emitting device package includes a body; first and second electrode layers on the body; a light emitting device electrically connected to the first and second electrode layers on the body; a luminescent layer on the light emitting device; and an encapsulant layer including particles on the luminescent layer, wherein an effective refractive index of the encapsulant layer has a deviation of 10% or less with respect to an effective refractive index of the luminescent layer. | 08-18-2011 |
20110198644 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - A light emitting device package includes a sub mount; a light emitting device on the sub mount, and configured to generate light of a first wavelength; a dielectric layer disposed on the sub mount; and a fluorescent layer on the dielectric layer, and configured to convert the light of the first wavelength into light of a second wavelength, wherein the dielectric layer includes a plurality of layers having at least two different refractive indices, that transmits the light of the first wavelength and reflects the light of the second wavelength. | 08-18-2011 |
20110198645 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Disclosed are a light emitting device, a method of manufacturing the same and a light emitting device package. The light emitting device of the embodiment includes a light emitting structure including a first conductive semiconductor layer, a second conductive semiconductor layer and an active layer between the first and second conductive semiconductor layers; a fluorescent layer on the light emitting structure; and a light extracting structure on the fluorescent layer. The light extracting structure extracts light, which is generated in the light emitting structure and incident into an interfacial surface between the fluorescent layer and the light extracting structure, to an outside of the light emitting structure. | 08-18-2011 |
20110198646 | HIGH-REFLECTION SUBMOUNT FOR LIGHT-EMITTING DIODE PACKAGE AND FABRICATION METHOD THEREOF - A method for fabricating a silicon submount for LED packaging. A silicon substrate is provided. A reflection layer is formed on the silicon substrate. Portions of the reflection layer and the silicon substrate are removed to form openings. A wafer backside grinding process is carried out to thin the silicon substrate thereby turning the openings into through silicon vias. An insulating layer is then deposited to cover the reflection layer and the silicon substrate. A seed layer is formed on the insulating layer. A resist pattern is then formed on the seed layer. A metal layer is formed on the seed layer not covered by the resist pattern. The resist pattern is then stripped. The seed layer not covered by the metal layer is then removed. | 08-18-2011 |
20110198647 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device which can suppress the self-absorption of light propagating in a semiconductor film without hindering current spread therein. A reflecting film provided between a support substrate and the semiconductor film of the device includes reflecting electrodes that are in ohmic contact with the semiconductor film and that form current paths between the reflecting electrodes and surface electrodes in the semiconductor film. The reflecting electrodes are in contact with the semiconductor film at such positions that the surface electrodes, provided on the light-extraction-surface-side surface of the semiconductor film, are not over the reflecting electrodes along a direction of the thickness of the semiconductor film. The semiconductor film has reflecting-surface-side recesses made in regions containing regions directly under the surface electrodes and recessed toward the light-extraction-surface side, and reflecting-surface-side protrusions provided in regions containing parts of the semiconductor film in contact with the reflecting electrodes and bonded to the support substrate via the reflecting film. | 08-18-2011 |
20110198648 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Disclosed are a light emitting device and a light emitting device package. The light emitting device includes a first conductive type semiconductor layer including P-type dopants and having a plurality of holes, an electrode connected to the first conductive type semiconductor layer, an active layer under the first conductive type semiconductor layer, a second conductive type semiconductor layer under the active layer, and an electrode layer under the second conductive type semiconductor layer. | 08-18-2011 |
20110198649 | LIGHT-EMITTING DEVICE - A light-emitting device according to an embodiment includes: a blue color LED including a first principal surface, a second principal surface and a side surface, the blue color LED producing light; and a package portion in which a recess portion, which is a light shield portion accommodating the blue color LED with no gap on the side surface side, thereby preventing release of the light from the side surface, is formed. | 08-18-2011 |
20110198650 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD - The present invention discloses a semiconductor light-emitting device including a semiconductor light-emitting element, a first attaching layer and a wavelength conversion structure. The primary light emitted from the semiconductor light-emitting element enters the wavelength conversion structure to generate a converted light, whose wavelength is different form that of the primary light. In addition, the present invention also provides the method for forming the same. | 08-18-2011 |
20110198651 | Red and Green Fluorosulfide Phosphor, Preparation Method and White-Light Emitting Diodes - Novel red and green fluorosulfide phosphors have a chemical formula of (A | 08-18-2011 |
20110198652 | LOW RESISTANCE ELECTRODE AND COMPOUND SEMICONDUCTOR LIGHT EMITTING DEVICE INCLUDING THE SAME - A low resistance electrode and a compound semiconductor light emitting device including the same are provided. The low resistance electrode deposited on a p-type semiconductor layer of a compound semiconductor light emitting device including an n-type semiconductor layer, an active layer, and the p-type semiconductor layer, including: a reflective electrode which is disposed on the p-type semiconductor layer and reflects light being emitted from the active layer; and an agglomeration preventing electrode which is disposed on the reflective electrode layer in order to prevent an agglomeration of the reflective electrode layer during an annealing process. | 08-18-2011 |
20110198653 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM HAVING THE SAME - A light emitting device package of the embodiment includes a body; an insulating layer on a surface of the body; at least one electrode layer on the insulating layer; and a light emitting device on the at least one electrode layer. The electrode layer includes a thermal diffusion layer and a reflective layer on the thermal diffusion layer, and the thermal diffusion layer has a thickness thicker than a thickness of the reflective layer by at least twenty times. | 08-18-2011 |
20110198654 | LIGHT EMITTING ELEMENT - A light emitting element includes an anode, a light transmitting cathode, and a light emitting layer sandwiched therebetween, formed on a surface of a substrate. Light emitted by the light emitting layer by voltage being applied between the electrodes is output from a surface toward the side of the light transmitting electrode. A light scattering layer for scattering evanescent light generated at the surface is provided on the surface of the light transmitting electrode. The light scattering layer has a first scattering portion having an uneven structure and a lower refractive index than the light emitting layer, and second scattering portions that fill at least the bottoms of recesses of the uneven structure and has a different refractive index from the first scattering portion. The distance between the bottoms of the recesses and the surface of the light transmitting electrode is a seepage depth of the evanescent light or less. | 08-18-2011 |
20110198655 | LIGHT EMITTING DEVICE - The present invention relates to a light emitting device ( | 08-18-2011 |
20110198656 | B-SIALON PHOSPHOR, USE THEREOF AND METHOD FOR PRODUCING SAME - An Eu-activated β-sialon phosphor showing a high luminance, the use thereof and the method of producing the same. The β-sialon phosphor includes, as a matrix, a β-sialon crystal represented by a general formula: Si | 08-18-2011 |
20110204396 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes a semiconductor stack, a first electrode, a second electrode, a first interconnect, an insulating film, and a second interconnect. The semiconductor stack includes a first major surface, a second major surface provided on a side opposite to the first major surface, a side face, and a light emitting layer. The first electrode is provided on the first major surface. The second electrode is provided at least on a peripheral portion of the second major surface. The first interconnect is provided on the first electrode. The insulating film is provided on the side face of the semiconductor stack. The second interconnect is provided on the side face of the semiconductor stack via the insulating film. The second interconnect is connected to the second electrode in outside of the peripheral portion of the second major surface of the semiconductor stack. | 08-25-2011 |
20110204397 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, METHOD OF MANUFACTURING LIGHT EMITTING DEVICE, AND LIGHTING SYSTEM - A light emitting device includes a first light extraction structure including a reflective layer and a pattern; an ohmic layer on the first light extraction structure; a second conductive type semiconductor layer on the ohmic layer; an active layer on the second conductive type semiconductor layer; and a first conductive type semiconductor layer on the active layer, wherein the pattern has a refractive index that is higher than that of air and lower than that of the second conductive type semiconductor layer. | 08-25-2011 |
20110204398 | SUBSTRATE FOR MOUNTING LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING DEVICE - To provide a substrate for a light-emitting device, which is provided with a reflection layer having a high optical reflectance and being less susceptible to deterioration of the reflectance due to corrosion and which has an improved light extraction efficiency, and a light-emitting device employing such a substrate. | 08-25-2011 |
20110204399 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - A light emitting device includes a substrate, at least one electrode, a first contact layer, a second contact layer, a light emitting structure layer, and an electrode layer. The electrode is disposed through the substrate. The first contact layer is disposed on a top surface of the substrate and electrically connected to the electrode. The second contact layer is disposed on a bottom surface of the substrate and electrically connected to the electrode. The light emitting structure layer is disposed above the substrate at a distance from the substrate and electrically connected to the first contact layer. The light emitting structure layer includes a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer. The electrode layer is disposed on the light emitting structure layer. | 08-25-2011 |
20110204400 | LIGHT EMITTING DEVICE, METHOD FOR MANUFACTURING THE SAME AND APPARATUS FOR MANUFACTURING LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a package member, a light emitting element provided in the package member, a first phosphor layer and a second phosphor layer. A first phosphor layer is provided on the light emitting element and has a first phosphor. A second phosphor layer is provided on the first phosphor layer and has a second phosphor. A luminescent efficiency of the first phosphor is higher than a luminescent efficiency of the second phosphor. | 08-25-2011 |
20110204401 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Disclosed are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a conductive support member; a reflective layer on the conductive support member; a light emitting structure on the reflective layer including a first conductive semiconductor layer, a second conductive semiconductor layer, and an active layer between the first and second semiconductor layers; and an electrode on the first conductive semiconductor layer, wherein a distance between the active layer and the reflective layer satisfies 2·Φ1+Φ3=N·2π±Δ, (0≦Δ≦π/2) in which the Φ1 represents a phase change value when light vertically traveling passes through the second conductive semiconductor layer, the Φ3 represents a phase change value when the light is reflected by the reflective layer, and the N represents a natural number, and wherein the distance between the reflective layer and the active layer includes a first distance in a first region overlapping with the electrode perpendicularly to the electrode and a second distance in a second region other than the first region, the first distance being different from the second distance. | 08-25-2011 |
20110204402 | Light Emitting Device, Light Emitting Device Package, Method of Manufacturing Light Emitting Device and Illumination System - A light emitting device according to the embodiment includes a substrate; a protective layer on the substrate; a electrode layer on the protective layer; a light emitting structure disposed on the electrode layer to generate light and provided with a first semiconductor layer, an active layer under the first semiconductor layer, and a second conductive semiconductor layer under the active layer; and a first electrode having a first end disposed on a top surface of the light emitting structure and a second end disposed on the protective layer. The protective layer comes into Schottky contact with at least one of the electrode layer and the first electrode. | 08-25-2011 |
20110204403 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a substrate, a light emitting structure layer, a second electrode, a first electrode, a contact portion, and a first electrode layer. The first electrode is disposed in the substrate from a lower part of the substrate to a lower part of a first conductive type semiconductor layer in a region under an active layer. The contact portion is wider than the first electrode and makes contact with the lower part of the first conductive type semiconductor layer. The first electrode layer is disposed under the substrate and connected to the first electrode. | 08-25-2011 |
20110204404 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Provided is a light emitting device. The light emitting device includes a conductive support substrate, an ohmic contact layer, a current blocking layer, a light emitting structure layer, an electrode, and a first current guide layer. The ohmic contact layer and the current blocking layer are disposed on the conductive support substrate. The light emitting structure layer is disposed on the ohmic contact layer and the current blocking layer. The electrode is disposed on the light emitting structure layer. At least a part of the electrode is overlapped with the current blocking layer. The first current guide layer is disposed between the current blocking layer and the conductive support substrate. At least a part of the first current guide layer is overlapped with the current blocking layer. | 08-25-2011 |
20110204405 | LIGHT EMITTING MODULE, METHOD OF MANUFACTURING THE LIGHT EMITTING MODULE, AND LAMP UNIT - In a light emitting module 40, a light wavelength conversation ceramic 52 converts the wavelength of the light emitted by a semiconductor light emitting element 48 then emits the light. An optical filter 50 transmits the blue light Lb mainly emitted by the semiconductor light emitting element 48 and reflects the yellow light Ly whose wavelength has been mainly converted by the light wavelength conversion ceramic 52. The optical filter 50 is provided on the surface of the light wavelength conversion ceramic 52. The light emitting module 40 is manufactured by: the process where the optical filter 50 is provided on at least one surface of the light wavelength conversion ceramic 52; and the process where the semiconductor light emitting element 48 and the light wavelength conversion ceramic 52 are arranged such that the light emitted by the semiconductor light emitting element 48 is incident into the light wavelength conversion ceramic 52. | 08-25-2011 |
20110204406 | RESIN COMPOSITION, REFLECTIVE BOARD AND LIGHT-EMITTING APPARATUS - The present invention provides a resin composition comprising a liquid crystal polyester and a titanium oxide filler, wherein when a value obtained by converting the content of aluminum in the titanium oxide filler to the content of aluminum oxide is A (% by weight) and the volume average particle diameter of the titanium oxide filler is B (μm), A and B satisfy the formula (I): A≧0.1 and the formula (II): A/B | 08-25-2011 |
20110204407 | Power LED device with a reflector made of aromatic polyester and/or wholly aromatic polyester - A Power LED device including a reflector and a light emitting diode (LED). The reflector is made of aromatic polyester and/or wholly aromatic polyester. | 08-25-2011 |
20110210354 | LIGHT EMITTING DEVICE, RESIN PACKAGE, RESIN-MOLDED BODY, AND METHODS FOR MANUFACTURING LIGHT EMITTING DEVICE, RESIN PACKAGE AND RESIN-MOLDED BODY - Provided is a simple and low-cost method for manufacturing, in a short time, many light emitting devices wherein adhesiveness between a leadframe and a thermosetting resin composition is high. The method for manufacturing the light emitting device having a resin package ( | 09-01-2011 |
20110210355 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to improve reliability of a light-emitting device. A light-emitting device has a driver circuit portion including a transistor for a driver circuit and a pixel portion including a transistor for a pixel over one substrate. The transistor for the driver circuit and the transistor for the pixel are inverted staggered transistors each including an oxide semiconductor layer in contact with part of an oxide insulating layer. In the pixel portion, a color filter layer and a light-emitting element are provided over the oxide insulating layer. In the transistor for the driver circuit, a conductive layer overlapping with a gate electrode layer and the oxide semiconductor layer is provided over the oxide insulating layer. The gate electrode layer, a source electrode layer, and a drain electrode layer are formed using metal conductive films. | 09-01-2011 |
20110210356 | SEMICONDUCTOR PACKAGE AND FABRICATION METHOD THEREOF - A light emitting element package includes a substrate, a reflection layer, at least one light emitting element, at least two conductive layers, a plurality of metal pins and an encapsulation layer. The reflection layer is formed on the substrate. The at least one light emitting element is mounted on the reflection layer on the substrate. The at least two conductive layers are electrically coupled to the at least one light emitting element. The metal pins electrically couple to the at least two conductive layers. The encapsulation layer is mounted on the substrate for encapsulating the at least one light emitting element. | 09-01-2011 |
20110210357 | Optoelectronic Component and Method for the Production Thereof - A method of producing an optoelectronic component, comprising the method steps: A) providing a growth substrate ( | 09-01-2011 |
20110210358 | WAVELENGTH-CONVERTING LIGHT EMITTING DIODE (LED) CHIP AND LED DEVICE EQUIPPED WITH CHIP - A wavelength-converted light emitting diode (LED) chip is provided. The wavelength-converted LED chip includes an LED chip and a wavelength-converted layer. The LED chip emits light in a predetermined wavelength region. The wavelength-converted layer is formed of a resin containing phosphor bodies of at least one kind which convert a portion of the light emitted from the LED chip into light in a different wavelength region. The wavelength-converted layer is formed on an upper surface of the LED chip, and has a convex meniscus-shaped upper surface. | 09-01-2011 |
20110210359 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING UNIT - Provided are a light emitting device, a method for fabricating the light emitting device, a light emitting device package, and a lighting unit. The light emitting device includes a conductive support substrate, a protection layer on the conductive support substrate, the protection layer having an inclined top surface, a light emitting structure layer including a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer on the conductive support substrate and the protection layer, and an electrode on the light emitting structure layer. A portion of the protection layer is disposed between the conductive support substrate and the light emitting structure layer. | 09-01-2011 |
20110210360 | TRANSMISSIVE OPTICAL ELEMENTS INCLUDING PHOSPHOR PATTERNS THEREIN - A transmissive optical element includes a transparent plastic member, such as a shell or a dome-shaped shell, including a phosphor pattern dispersed therein that can produce an indicia. The transmissive optical element may be combined with a semiconductor light emitting device, a mounting substrate, an encapsulant and inner and/or outer coatings. | 09-01-2011 |
20110210361 | HIGH EFFICIENT PHOSPHOR-CONVERTED LIGHT EMITTING DIODE - A light-emitting device and manufacturing method thereof are disclosed. The light-emitting device includes a substrate, a semiconductor light-emitting structure, a filter layer, and a fluorescent conversion layer. The method comprises forming a semiconductor light-emitting structure over a substrate, forming a filter layer over the semiconductor light-emitting structure, and forming a fluorescent conversion layer over the filter layer. | 09-01-2011 |
20110210362 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE THEREOF - A light emitting device includes a light emitting structure including a second conduction type semiconductor layer, an active layer, and a first conduction type semiconductor layer, a second electrode layer arranged under the light emitting structure, a first electrode layer having at least portion extending to contact the first conduction type semiconductor layer passing the second conduction type semiconductor layer and the active layer, and an insulating layer arranged between the second electrode layer and the first electrode layer, between the second conduction type semiconductor layer and the first electrode layer, and between the active layer and the first electrode layer, wherein said at least one portion of the first electrode layer contacting the first conduction type semiconductor layer has a roughness. | 09-01-2011 |
20110210363 | METHOD FOR FORMING OHMIC ELECTRODE AND SEMICONDUCTOR LIGHT EMITTING ELEMENT - The present invention relates to a method of forming an ohmic electrode in a semiconductor light emitting element, comprising: forming a semiconductor layer having a light emitting structure on a substrate, sequentially laminating a bonding layer, a reflective layer and a protective layer on the semiconductor layer, and forming an ohmic electrode by performing a heat treatment process to form ohmic bonding between the semiconductor layer and the bonding layer and to form an oxide film on at least a portion of the protective layer; and a semiconductor light emitting element using the ohmic electrode. According to the present invention, since a reflective layer is formed of Ag, Al and an alloy thereof with excellent light reflectivity, the light availability is enhanced. Further, since contact resistance between a semiconductor layer and a bonding layer is small, it is easy to apply large current for high power. | 09-01-2011 |
20110210364 | SILICONE COATED LIGHT-EMITTING DIODE - A silicone protective coating for an electronic light source and a method for applying the coating over an exposed or outer surface of the electronic light source assembled as part of or mounted to a circuit board or other substrate. | 09-01-2011 |
20110210365 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device includes steps of forming a semiconductor device layer on an upper surface of a substrate including the upper surface, a lower surface and a dislocation concentrated region arranged so as to part a first side closer to the upper surface and a second side closer to the lower surface, exposing a portion where the dislocation concentrated region does not exist above on the lower surface by removing the substrate on the second side along with at least a part of the dislocation concentrated region, and forming an electrode on the portion. | 09-01-2011 |
20110210366 | LIGHT EMITTING DEVICE - Provided is a light emitting device. The light emitting device includes: a plurality of lead frame units spaced apart from each other, each of the lead frame units being provided with at least one fixing space perforating a body thereof in a vertical direction; a light emitting diode chip mounted on one of the lead frame units; and a molding unit that is integrally formed on top surfaces of the lead frame units and in the fixing spaces to protect the light emitting diode chip. | 09-01-2011 |
20110210367 | LIGHT EMITTING DIODE PACKAGES, LIGHT EMITTING DIODE SYSTEMS AND METHODS OF MANUFACTURING THE SAME - In a method of forming an LED semiconductor device, and in an LED semiconductor device, an LED is provided on a substrate. A first encapsulant material layer is provided on the LED, and the first encapsulant material layer is firstly annealed. A luminescence conversion material layer is provided on the firstly annealed first encapsulant material layer, and the first encapsulant material layer and the luminescence conversion material layer and secondly annealed. | 09-01-2011 |
20110210368 | MICRO-COMPOSITE PATTERN LENS, AND METHOD FOR MANUFACTURING SAME - The present invention relates to a micro-composite pattern lens and to a method for manufacturing same. The micro-composite pattern lens of the present invention has a micro-composite pattern with one or more protrusions formed on one side of the lens having a predetermined curvature, and optical polymer nanoparticles arranged in the lens. The micro-composite pattern of the lens may form a wider angle of light emission, thus enabling an LED source, which is a point light source, to be converted into a surface light source having superior luminous intensity uniformity. The lens of the present invention is advantageous in that a single lens may serve as a light guide plate, a prism plate, and a diffusion plate, this eliminating the necessity of stacking optical plates, which might otherwise be required for conventional backlight units. According to the present invention, the angle of emission of the LED source which is approximately 90 degrees can be widened to 160 degrees or higher, and the local change in the micro-pattern and the mixture of ultrafine particles may improve the luminous intensity uniformity and the angle of emission of the light source. Also, wafer levels can be manufactured using a microfluidic channel array based on three dimensional molding techniques and the mixture of ultrafine particles. In addition, the use of single lens having a wider angle of light emission reduces the number of LEDs, thus reducing manufacturing costs and heat generated by LEDs. Further, the micro-composite pattern lens of the present invention has a double curvature structure to achieve improved luminous intensity uniformity and an improved angle of light emission as compared to a single curvature structure. | 09-01-2011 |
20110210369 | LIGHT-EMITTING MODULE, MANUFACTURING METHOD FOR LIGHT-EMITTING MODULE, AND LIGHT FIXTURE UNIT - In a light emitting module | 09-01-2011 |
20110215353 | LIGHT EMITTING DEVICE PACKAGE AND METHOD FOR FABRICATING THE SAME - A light emitting device package comprises a substrate, an electrode on the substrate, a light emitting device on the substrate and electrically connected to the electrode layer, and a pattern enclosing the light emitting device. | 09-08-2011 |
20110215354 | Double Flip-Chip LED Package Components - A light-emitting device (LED) package component includes an LED chip and a carrier chip. The carrier chip includes a first and a second bond pad on a surface of the carrier chip; and a third and a fourth bond pad on the surface of the carrier chip and electrically connected to the first and the second bond pads, respectively. The first, the second, the third, and the fourth bond pads are on a same surface of the carrier chip. The LED package component further includes a first and a second metal bump bonding the first and the second bond pads, respectively, onto the LED chip through flip-chip bonding; and a window-type module substrate bonded onto the third and the fourth bond pads through flip-chip bonding. The window-type module substrate includes a window, with the LED chip configured to emit light toward the window. | 09-08-2011 |
20110215355 | PHOTONIC CRYSTAL PHOSPHOR LIGHT CONVERSION STRUCTURES FOR LIGHT EMITTING DEVICES - Solid state light emitting devices include a solid state light emitting die and a photonic crystal phosphor light conversion structure. The photonic crystal phosphor light conversion structure may include a solid phosphor layer that includes dielectric nanostructures therein and may be on a light emitting surface of the solid state light emitting die. The photonic crystal phosphor light conversion structure may be attached to the light emitting surface of the solid state light emitting die via an adhesive layer. The photonic crystal phosphor light conversion structure may also be directly on a light emitting surface of the solid state light emitting die. Related methods are also disclosed. | 09-08-2011 |
20110215356 | LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND METHOD FOR MANUFACTURING LIGHT EMITTING ELEMENT - According to embodiment, a light emitting element includes a light emitting layer having a first major surface and a second major surface, a first electrode provided on the first major surface side of the light emitting layer, and a second electrode provided on the second major surface side of the light emitting layer and having a basic outline. Furthermore, the light emitting element includes a current blocking portion provided between the first electrode and the light emitting layer or between the second electrode and the light emitting layer, and has an outline with a protrusion-depression pattern with respect to a virtual outline similar in shape to the basic outline of the second electrode. | 09-08-2011 |
20110215357 | LED PACKAGE STRUCTURE AND METHOD OF MANUFACTURING THE SAME - An LED package structure for increasing heat-dissipating efficiency includes providing a substrate element; removing one part of the substrate element in order to form at least two substrate bodies separated from each other and at least one gap between the at least two substrate bodies; forming at least one | 09-08-2011 |
20110215358 | LIGHT EMITTING DEVICE - A light emitting device of the embodiment includes a light emitting structure including a first semiconductor layer, an active layer and a second semiconductor layer; a first cavity passing through the first semiconductor layer and the active layer to expose the second semiconductor layer; a first electrode extending to the outside of the first cavity from the second semiconductor layer in the first cavity; a second electrode disposed on an outer peripheral region of a bottom surface of the first semiconductor layer and spaced apart from the first electrode while surrounding a lateral side of the first electrode; and a first insulating layer between the first electrode and the light emitting structure. | 09-08-2011 |
20110220929 | WARM WHITE LEDS HAVING HIGH COLOR RENDERING INDEX VALUES AND RELATED LUMINOPHORIC MEDIUMS - Light emitting devices include a solid state lighting source and a recipient luminophoric medium for down-converting at least some of the radiation emitted by the solid state lighting source. The recipient luminophoric medium includes a first material that down-converts the radiation emitted by the solid state lighting source to radiation having a peak wavelength in the green color range that has a full width half maximum emission bandwidth that extends into the cyan color range, and at least one additional material that down-converts the radiation emitted by the solid state lighting source to radiation having a peak wavelength in another color range. | 09-15-2011 |
20110220930 | Light-Emitting Devices with Textured Active Layer - A device includes a textured substrate having a trench extending from a top surface of the textured substrate into the textured substrate, wherein the trench comprises a sidewall and a bottom. A light-emitting device (LED) includes an active layer over the textured substrate. The active layer has a first portion parallel to the sidewall of the trench and a second portion parallel to the bottom of the trench. | 09-15-2011 |
20110220931 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes, a first semiconductor layer, a second semiconductor layer, a first electrode, a second electrode, a first interconnection, and a second interconnection. The first semiconductor layer has a first major surface, a second major surface provided on an opposite side to the first major surface, a protrusion selectively provided on the second major surface, and a trench formed from the second major surface to the first major surface. The second semiconductor layer is stacked on the protrusion of the first semiconductor layer and includes a light emitting layer. The first electrode is provided on the second major surface of the first semiconductor layer and a side surface of the trench. The second electrode is provided on a surface of the second semiconductor layer on an opposite side to the first semiconductor layer. | 09-15-2011 |
20110220932 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - According to one embodiment, a semiconductor light-emitting device includes a first semiconductor layer, a second semiconductor layer, a light-emitting layer, a third semiconductor layer and a first electrode. The first semiconductor layer of a first conductivity type has a first major surface provided with a first surface asperity. The second semiconductor layer of a second conductivity type is provided on an opposite side of the first semiconductor layer from the first major surface. The light-emitting layer is provided between the first and second semiconductor layers. The first semiconductor layer is disposed between a third semiconductor layer and the light-emitting layer. The third semiconductor layer has an impurity concentration lower than an impurity concentration of the first semiconductor layer, and includes an opening exposing the first surface asperity. The first electrode is in contact with the first surface asperity through the opening, and reflective to emission light emitted from the light-emitting layer. | 09-15-2011 |
20110220933 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device has a light emitting element, and first and second electrodes. The light emitting element has a nitride-based III-V compound semiconductor on a substrate. The first and second electrodes are disposed on both sides of the light emitting element, respectively. The light emitting element has a light emitting layer, a first conductive type semiconductor layer, and a second conductive type semiconductor layer. The first conductive type semiconductor layer is disposed between the light emitting layer and the first electrode. The second conductive type semiconductor layer is disposed between the light emitting layer and the second electrode. One surface of the first conductive type semiconductor layer contacts the first electrode and is a light extraction surface which is roughly processed so as to have two or more kinds of oblique angles. | 09-15-2011 |
20110220934 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device has a support substrate, a light emitting element, and underfill material. The light emitting element includes a nitride-based group III-V compound semiconductor layer contacted via a bump on the support substrate. The underfill material is disposed between the support substrate and the light emitting element, the underfill material comprising a rib portion disposed outside of an end face of the light emitting element to surround the end surface of the light emitting element. | 09-15-2011 |
20110220935 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device has a light emitting element, a first electrode layer, a second electrode layer, a seed electrode layer and a plated layer. The light emitting element has a nitride-based III-V compound semiconductor on a substrate. The light emitting element having a light extraction surface. The first electrode layer on the light extraction surface. The second electrode layer is provided on a surface opposite to the light extraction surface of the light emitting element. The seed electrode layer is configured to cover the entire surface of the second electrode layer. The plated layer is provided on the seed electrode layer. The light emitting element has a light emitting layer, a first conductive type semiconductor layer, and a second conductive type semiconductor layer. The light emitting element has a forward tapered shape of a width which gradually narrows in order of the second conductive type semiconductor layer, the light emitting layer and the first conductive type semiconductor layer. | 09-15-2011 |
20110220936 | SEMICONDUCTOR LIGHT-EMITTING DEVICE, LIGHTING INSTRUMENT EMPLOYING THE SAME AND PROCESS FOR PRODUCTION OF THE SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device according to the embodiment includes a substrate, a compound semiconductor layer, a metal electrode layer provided with particular openings, a light-extraction layer, and a counter electrode. The light-extraction layer has a thickness of 20 to 120 nm and covers at least partly the metal part of the metal electrode layer; or otherwise the light-extraction layer has a rugged structure and covers at least partly the metal part of the metal electrode layer. The rugged structure has projections so arranged that their summits are positioned at intervals of 100 to 600 nm, and the heights of the summits from the surface of the metal electrode layer are 200 to 700 nm. | 09-15-2011 |
20110220937 | LIGHT EMITTING DEVICE AND LIGTH EMITTING DEVICE PAKAGE - Provided are a light emitting device and a light emitting device package. The light emitting device includes a first electrode, a light emitting structure including a first semiconductor layer, an active layer, and a second semiconductor layer on the first electrode, a second electrode on the light emitting structure, and a reflective member on at least lateral surface of the second electrode. | 09-15-2011 |
20110220938 | SUBSTRATE FOR FABRICATING LIGHT EMITTING DEVICE AND METHOD FOR FABRICATING THE LIGHT EMITTING DEVICE - Provided is a substrate for fabricating a light emitting device and a method for fabricating the light emitting device. The method for fabricating the light emitting device may include forming a sacrificial layer having band gap energy less than energy of a laser irradiated on a substrate, forming a growth layer on the sacrificial layer, forming a light emitting structure including a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer on the growth layer, and irradiating the laser onto the sacrificial layer to pass through the substrate, thereby to lift-off the substrate. | 09-15-2011 |
20110220939 | LIGHT-EMITTING DEVICE - To provide a light-emitting device mounting a light-emitting element having a metal film on the rear side surface, which is excellent in light extraction efficiency since it has high heat dissipating properties and high light reflection efficiency, and which can suppress the reduction of light extraction efficiency due to the deterioration with time. | 09-15-2011 |
20110220940 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device may include a reflective metal support including at least two pairs of first and second reflective metal layers, a light emitting structure layer including a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor and the second conductive type semiconductor layer on the reflective metal support, and an electrode on the light emitting structure layer. The reflective metal support includes at least one of Al, Ag, an APC(Ag—Pd—Cu) alloy, and an Au—Ni alloy. | 09-15-2011 |
20110220941 | Light Emitting Device, Method of Manufacturing the Light Emitting Device, Light Emitting Device Package, and Lighting Unit - Provided are a light emitting device, a method for fabricating the light emitting device, a light emitting device package, and a lighting unit. The light emitting device includes a conductive support substrate, a first reflective layer on the conductive support substrate, a second reflective layer in which at least portion thereof is disposed on a side surface of the first reflective layer, a light emitting structure including a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer on the first and second reflective layers, and an electrode on the light emitting structure. The second reflective layer schottky-contacts the light emitting structure. | 09-15-2011 |
20110220942 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Disclosed are a light emitting device and a light emitting device package. The light emitting device includes a light emitting structure including a first conductive semiconductor layer, an active layer on the first conductive semiconductor layer, and a second conductive semiconductor layer on the active layer, an adhesive layer contacting a top surface of the first conductive semiconductor layer, a first electrode contacting a top surface of the first conductive semiconductor and a top surface of the adhesive layer, and a second electrode contacting the second conductive semiconductor layer, wherein the adhesive layer contacting the first electrode is spaced apart from the second electrode. | 09-15-2011 |
20110220943 | Quantum Dot LED Device And Method - An improved solid-state light source ( | 09-15-2011 |
20110220944 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Disclosed are a light emitting device and a light emitting device package. The light emitting device includes a first electrode, a light emitting structure including a first semiconductor layer, an active layer, and a second semiconductor layer on the first electrode, a nano-tube layer including a plurality of carbon nano tubes on the light emitting structure, and a second electrode on the light emitting structure. | 09-15-2011 |
20110220945 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - Disclosed are a light emitting device and a light emitting device package having the same. The light emitting device includes a first semiconductor layer doped with N type dopants, a first active layer on the first semiconductor layer, a second semiconductor layer doped with P type dopants on the first active layer, a second active layer on the second semiconductor layer, and a third semiconductor layer doped with N type dopants on the second active layer. A thickness of the second semiconductor layer is in a range of about 2000 Åto about 4000 Å, and doping concentration of the P type dopants doped in the second semiconductor layer is in a range of about 10 | 09-15-2011 |
20110220946 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Provided is a light emitting device. The light emitting device includes a first conductive type semiconductor layer, an active layer on the first conductive type semiconductor layer, a second conductive type semiconductor layer on the active layer, an undoped semiconductor layer disposed on the second conductive type semiconductor layer and comprising a plurality of first holes, and a third conductive type semiconductor layer disposed on the undoped semiconductor layer and comprising a plurality of second holes. | 09-15-2011 |
20110220947 | LIGHT EMITTING DIODE UNIT - A light emitting diode (LED) unit includes a carrier, a plurality of LED dies, a reflecting element and a molding material. A length-width ratio of the carrier is greater than or equal to 5. The LED dies are disposed on the carrier along a longitudinal direction of the carrier. The reflecting element has two reflecting portions disposed on the carrier along the longitudinal direction. The LED dies are disposed between the reflecting portions. The molding material covers the LED dies and contacts with the reflecting element. | 09-15-2011 |
20110220948 | DIODE HAVING HIGH BRIGHTNESS AND METHOD THEREOF - A light emitting diode includes a transparent substrate and a GaN buffer layer on the transparent substrate. An n-GaN layer is formed on the buffer layer. An active layer is formed on the n-GaN layer. A p-GaN layer is formed on the active layer. A p-electrode is formed on the p-GaN layer and an n-electrode is formed on the n-GaN layer. A reflective layer is formed on a second side of the transparent substrate. Also, a cladding layer of AIGaN is between the p-GaN layer and the active layer. | 09-15-2011 |
20110220949 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided. The light emitting device package comprises a package body comprising a first cavity, and a second cavity connected to the first cavity; a first lead electrode, at least a portion of which is disposed within the second cavity; a second lead electrode, at least a portion of which is disposed within the first cavity; a light emitting device disposed within the second cavity; a first wire disposed within the second cavity, the first wire electrically connecting the light emitting device to the first lead electrode; and a second wire electrically connecting the light emitting device to the second lead electrode. | 09-15-2011 |
20110220950 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided. The light emitting device package comprises a package body comprising a first cavity, and a second cavity connected to the first cavity; a first lead electrode, at least a portion of which is disposed within the second cavity; a second lead electrode, at least a portion of which is disposed within the first cavity; a light emitting device disposed within the second cavity; a first wire disposed within the second cavity, the first wire electrically connecting the light emitting device to the first lead electrode; and a second wire electrically connecting the light emitting device to the second lead electrode. | 09-15-2011 |
20110220951 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided. The light emitting device package comprises a package body comprising a first cavity, and a second cavity connected to the first cavity; a first lead electrode, at least a portion of which is disposed within the second cavity; a second lead electrode, at least a portion of which is disposed within the first cavity; a light emitting device disposed within the second cavity; a first wire disposed within the second cavity, the first wire electrically connecting the light emitting device to the first lead electrode; and a second wire electrically connecting the light emitting device to the second lead electrode. | 09-15-2011 |
20110220952 | LIGHT EMITTING DEVICE PACKAGE AND LIGHT UNIT HAVING THE SAME - Discussed is a semiconductor LED package. The semiconductor LED package includes a packet body having a cavity, a semiconductor light emitting device in the cavity of the package body; and a plurality of reflective frames, each of the reflective frames having a bottom frame in the cavity of the package body, and at least two sidewall frames extending from the bottom frame and inclined with respect to the bottom frame, wherein the plurality of reflective frames are electrically separated from each other. | 09-15-2011 |
20110220953 | LED ASSEMBLY - A light emission diode (LED) assembly, comprising a LED die ( | 09-15-2011 |
20110227108 | LIGHT EMITTING DIODES WITH ENHANCED THERMAL SINKING AND ASSOCIATED METHODS OF OPERATION - Solid state lighting devices and associated methods of thermal sinking are described below. In one embodiment, a light emitting diode (LED) device includes a heat sink, an LED die thermally coupled to the heat sink, and a phosphor spaced apart from the LED die. The LED device also includes a heat conduction path in direct contact with both the phosphor and the heat sink. The heat conduction path is configured to conduct heat from the phosphor to the heat sink. | 09-22-2011 |
20110227109 | HIGH EFFICIENCY LIGHT EMITTING DIODE - Provided is a high-efficiency light emitting diode (LED) that includes: a support substrate; a semiconductor stack positioned on the support substrate, the semiconductor stack including a p-type compound semiconductor layer, an active layer, and an n-type compound semiconductor layer; a first electrode positioned between the support substrate and the semiconductor stack and in ohmic contact with the semiconductor stack; a first bonding pad positioned on a portion of the first electrode that is exposed outside of the semiconductor stack; and a second electrode positioned on the semiconductor stack. Protrusions are formed on exposed surfaces of the semiconductor stack. In addition, the second electrode may be positioned between the first electrode and the support substrate and contacted with the n-type compound semiconductor layer through openings of the semiconductor stack. | 09-22-2011 |
20110227110 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - Disclosed are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a light emitting structure including a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer; a substrate over the light emitting structure; a first reflective layer having a plurality of dielectric layers including a first dielectric layer having a first refractive index over the substrate, and a second dielectric layer having a second refractive index different from the first refractive index over the first dielectric layer; and a second reflective layer over the first reflective layer, the second reflective layer having a refractive index lower than the refractive index of each dielectric layer of the first reflective layer. | 09-22-2011 |
20110227111 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Provided are a light emitting device and a light emitting device package. The light emitting device includes a transparent substrate, a light emitting structure, and a first reflection layer. The light emitting structure includes a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer that are disposed on a top surface of the substrate. The first reflection layer is disposed on a bottom surface of the substrate. The bottom surface of the substrate has a surface roughness of about 1 nm to about 15 nm in root mean square (RMS) value. | 09-22-2011 |
20110227112 | LIGHT EMITTING DEVICE, ELECTRODE STRUCTURE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Provided are a light emitting device, an electrode structure, a light emitting device package, and a lighting system. The light emitting device includes a conductive layer, an electrode, a light emitting structure layer disposed between the electrode and the conductive layer and comprising a first semiconductor layer, a second semiconductor layer, and an active layer between the first semiconductor layer and the second semiconductor layer, and a light guide layer between the first semiconductor layer and the electrode. | 09-22-2011 |
20110227113 | LEAD FRAME HAVING UL REFLECTIVE COATING - A lead frame ( | 09-22-2011 |
20110227114 | HIGH EFFICIENCY LIGHT EMITTING DIODE - Provided is a high-efficiency light emitting diode (LED) that includes: a support substrate; a semiconductor stack positioned on the support substrate, the semiconductor stack including a p-type compound semiconductor layer, an active layer, and an n-type compound semiconductor layer; a first electrode positioned between the support substrate and the semiconductor stack and in ohmic contact with the semiconductor stack; a first bonding pad positioned on a portion of the first electrode that is exposed outside of the semiconductor stack; and a second electrode positioned on the semiconductor stack. Protrusions are formed on exposed surfaces of the semiconductor stack. In addition, the second electrode may be positioned between the first electrode and the support substrate and contacted with the n-type compound semiconductor layer through openings of the semiconductor stack. | 09-22-2011 |
20110227115 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF, AND CAMERA MODULE INCLUDING THE SAME - A semiconductor device includes: an insulating base; a semiconductor element provided on the insulating base; a protector provided on the semiconductor element; and a frame provided on a periphery of the insulating base and surrounding the semiconductor element. A region inside the frame is filled with a sealing resin, and at least one groove is provided in an upper corner portion of the frame on the semiconductor element side of the frame. | 09-22-2011 |
20110227116 | LIGHT-EMITTING DEVICE, LIGHT-RECEIVING DEVICE AND METHOD OF MANUFACTURING THE SAME - An object of the present invention is to provide a germanium laser diode that can be easily formed on a substrate such as silicon by using a normal silicon process and can emit light efficiently. A germanium light-emitting device according to the present invention is a germanium laser diode characterized in that tensile strain is applied to single-crystal germanium serving as a light-emitting layer to be of a direct transition type, a thin semiconductor layer made of silicon, germanium or silicon-germanium is connected adjacently to both ends of the germanium light-emitting layer, the thin semiconductor layer has a certain degree of thickness capable of preventing the occurrence of quantum confinement effect, another end of the thin semiconductor layer is connected to a thick electrode doped with impurities at a high concentration, the electrode is doped to a p type and an n type, a waveguide is formed so as not to be in direct contact with the electrode, and a mirror is formed at an end of the waveguide. | 09-22-2011 |
20110227117 | PATTERNED LED DEVICE, METHOD OF GENERATING A PATTERNING, SYSTEM AND METHOD OF CALIBRATING THE SYSTEM - A patterned light emitting diode device includes a layer of light emitting material between an anode and a cathode. Further, a light-reflective layer is visible through a light-emission window of the patterned light emitting diode device. The light-reflective layer includes a pattern formed by local deformations of the light-reflective layer. The pattern may be generated via impinging condensed light beam which may enter via a rear-wall of the light-reflective layer, or via impinging the condensed light beam through the light-emission window on the light-reflective layer. The deformations may be generated without significantly altering the conductivity of the light-reflective layer. An effect of this patterned light emitting diode device is that the pattern remains clearly visible both during an on-state and during an off-state of the light emitting diode device. | 09-22-2011 |
20110227118 | Light Emitting Diode Package Structure and Manufacturing Method Thereof - A light emitting diode package structure is disclosed. The LED package structure includes a carrier, an LED chip, a first molding compound and a second molding compound. The LED chip is disposed on the carrier. The first molding compound overlays the LED chip, wherein the first molding compound is mixed up with a fluorescent material. The second molding compound overlays the first molding compound. | 09-22-2011 |
20110227119 | Organic Semiconductor Element - By introducing new concepts into a structure of a conventional organic semiconductor element and without using a conventional ultra thin film, an organic semiconductor element is provided which is more reliable and has higher yield. Further, efficiency is improved particularly in a photoelectronic device using an organic semiconductor. Between an anode and a cathode, there is provided an organic structure including alternately laminated organic thin film layer (functional organic thin film layer) realizing various functions by making an SCLC flow, and a conductive thin film layer (ohmic conductive thin film layer) imbued with a dark conductivity by doping it with an acceptor and a donor, or by the like method. | 09-22-2011 |
20110227120 | LIGHT-EMITTING DEVICE - A light-emitting device comprises a substrate, an epitaxial structure formed on the substrate including a first semiconductor layer, a second semiconductor layer, and a light-emitting layer formed between the first semiconductor layer and the second semiconductor layer. A trench is formed in the epitaxial structure to expose a part of side surface of the epitaxial structure and a part of surface of the first semiconductor layer, so that a first conductive structure is formed on the part of surface of the first semiconductor layer in the trench, and a second conductive structure is formed on the second semiconductor layer. The first conductive structure includes a first electrode and a first pad electrically contacted with each other. The second conductive structure includes a second electrode and a second pad electrically contacted with each other. Furthermore, the area of at least one of the first pad and the second pad is between 1.5×10 | 09-22-2011 |
20110233580 | CARRIER FOR A LIGHT EMITTING DEVICE - A semiconductor light emitting device is mounted on a support substrate. The support substrate is disposed in an opening in a carrier. In some embodiments, the support substrate is a ceramic tile and the carrier is a low cost material with a lateral extent large enough to support a lens molded over or attached to the carrier. | 09-29-2011 |
20110233581 | SOLID STATE LIGHTING DEVICES WITH CELLULAR ARRAYS AND ASSOCIATED METHODS OF MANUFACTURING - Solid state lighting (“SSL”) devices with cellular arrays and associated methods of manufacturing are disclosed herein. In one embodiment, a light emitting diode includes a semiconductor material having a first surface and a second surface opposite the first surface. The semiconductor material has an aperture extending into the semiconductor material from the first surface. The light emitting diode also includes an active region in direct contact with the semiconductor material, and at least a portion of the active region is in the aperture of the semiconductor material. | 09-29-2011 |
20110233582 | SEMICONDUCTOR DEVICES - A semiconductor device includes a substrate and an epitaxy layer positioned on the substrate. In one embodiment of the present disclosure, the substrate includes an upper surface and a plurality of bumps positioned on the upper surface, and each of the bumps includes a top plane substantially parallel to the upper surface and a plurality of wall surfaces between the top plane and the upper surface. In one embodiment of the present disclosure, the epitaxy layer has the same crystal orientation on the upper surface of the substrate and the wall surfaces of the bumps to reduce defect density and increase protection from electrostatic discharge. | 09-29-2011 |
20110233583 | HIGH-POWER LED PACKAGE - A high-power LED package includes a thermal conductive substrate, a circuit layer formed on the top wall of the thermal conductive substrate, a LED chip mounted on the top wall of the thermal conductive substrate, lead wires electrically connected between the LED chip and the circuit layer, and a packaging layer covering the LED chip, the lead wires and the connection areas between the lead wires and the circuit layer outside the bottom wall of the thermal conductive substrate for enabling waste heat to be directly transferred from the LED chip to the thermal conductive substrate and then rapidly dissipated into the outside open air by the thermal conductive substrate during operation of the LED chip. | 09-29-2011 |
20110233584 | LIGHT EMITTING DIODE CHIP AND MANUFACTURING METHOD THEREOF - A light emitting diode chip includes a thermal conductive substrate, an epi-layer, a thin-type ohmic contacting film, a transparent conducting layer, and an electrode pad. The epi-layer includes a p-type semiconductor layer, an n-type semiconductor layer, and an active layer. The n-type semiconductor layer includes a stepped surface at a side thereof facing away from the substrate, and the stepped surface includes a central portion and a peripheral portion surrounding the central portion. The n-type semiconductor layer has a thickness decreasing along directions from a center thereof to opposite lateral peripheries thereof. The ohmic contacting film is arranged on the stepped surface. The conducting layer is arranged on the ohmic contacting film. The electrode pad is arranged on the conducting layer and located corresponding to the central portion of the stepped surface. | 09-29-2011 |
20110233585 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a first electrode, a second electrode, an insulating film, a first interconnection, a second interconnection, a first metal pillar, a second metal pillar, a resin, and a fluorescent layer. The semiconductor layer has a first major surface, a second major surface formed on an opposite side to the first major surface, and a light emitting layer. The first electrode and the second electrode are provided on the second major surface of the semiconductor layer. The fluorescent layer faces to the first major surface of the semiconductor layer and includes a plurality of kinds of fluorescent materials having different peak wavelengths of emission light. | 09-29-2011 |
20110233586 | METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a stacked body, a p-side and n-side electrodes, an insulating film, a p-side extraction electrode, an n-side extraction electrode, a resin layer and a phosphor layer. The stacked body has a first and a second surface opposite to each other and includes a light emitting layer. A p-side and an n-side electrode are provided on the second surface. An insulating film has openings to which the p-side and n-side electrodes are exposed. A p-side extraction electrode includes a p-side seed metal and a p-side metal wiring layer. An n-side extraction electrode includes an n-side seed metal and an n-side metal wiring layer. A resin layer is filled around the p-side and n-side extraction electrodes, and a phosphor layer is provided on a side of the first surface. Emission light from the light emitting layer is emitted through the first surface. | 09-29-2011 |
20110233587 | LIGHT EMITTING DIODE - A light emitting diode is provided, comprising: a substrate; a metal wiring layer disposed on the substrate; alight emitting element provided on the metal wiring layer; wherein the light emitting element comprises: a semiconductor light emitting layer having a first semiconductor layer, an active layer, and a second semiconductor layer formed from the substrate side sequentially; a transparent insulating layer provided on the substrate side of the semiconductor light emitting layer; a first electrode part and a second electrode part provided on the substrate side of the transparent insulating layer in such a manner as being separated from each other, and joined to the metal wiring layer; a first contact part provided so as to pass through the transparent insulating layer and electrically connecting the first electrode part and the first semiconductor layer; and a second contact part provided so as to pass through the transparent insulating layer, the first semiconductor layer, and the active layer, and electrically connecting the second electrode part and the second semiconductor layer. | 09-29-2011 |
20110233588 | Semiconductor light-emitting device - A first intermediate electrode | 09-29-2011 |
20110233589 | LIGHT-EMITTING DEVICE, LIGHT-EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - Disclosed is a light-emitting device including a substrate, a light-emitting structure on the substrate, the light-emitting structure including a first semiconductor layer, an active layer and a second semiconductor layer, a light-transmitting electrode layer on the second semiconductor layer, and a first reflective layer on the light-transmitting electrode layer, wherein the first reflective layer comprises a first layer having a first index of refraction and a second layer having a second index of refraction different from the first index of refraction. Based on this configuration, it is possible to protect the light-emitting device and improve luminous efficiency thereof. | 09-29-2011 |
20110233590 | LIGHT EMITTING DEVICE, METHOD FOR FABRICATING LIGHT EMITTING DEVICE, AND LIGHT EMITTING DEVICE PACKAGE - Provided are a light emitting device, a method for fabricating the light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a first conductive type semiconductor layer having a first top surface and a second top surface under the first top surface, an active layer on the first top surface of the first conductive type semiconductor layer, a second conductive type semiconductor layer on the active layer, a first electrode on the second top surface of the first conductive type semiconductor layer, an intermediate refractive layer on the second top surface of the first conductive type semiconductor layer, and a second electrode connected to the second conductive type semiconductor layer. | 09-29-2011 |
20110233591 | PHASE MODULATION DEVICE, PHASE MODULATION DEVICE FABRICATION METHOD, CRYSTALLIZATION APPARATUS, AND CRYSTALLIZATION METHOD - A phase shifter which modulates the phase of incident light has a light-transmitting substrate such as a glass substrate, and a phase modulator such as a concavity and convexity pattern which is formed on the laser beam incident surface of the light-transmitting substrate and modules the phase of incident light. A light-shielding portion which shields light in the peripheral portion where the optical intensity distribution decreases of the phase modulator is formed on the laser beam incident surface or exit surface of the phase shifter, thereby shielding the peripheral light in the irradiation surface of the incident laser beam. | 09-29-2011 |
20110233592 | DEVICE AND METHOD FOR LIGHTING - It is presented a method for producing a lighting device ( | 09-29-2011 |
20110233593 | ILLUMINATING APPARATUS - Provided is a lighting apparatus that is suitable as a substitute for a conventional halogen lamp when positively utilizing leaked light. The lighting apparatus comprises: a heat dissipator | 09-29-2011 |
20110233594 | LIGHT-EMITTING DIODE PACKAGE - An LED package including a lead-frame, at least an LED chip and an encapsulant is provided. The lead-frame has a roughened surface, the LED chip is disposed on the lead-frame and electrically connected to the lead-frame, and the roughened surface is suitable to scatter the light emitted from the LED chip. In addition, the encapsulant encapsulates the LED chip and a part of the lead-frame, and the rest part of the lead-frame is exposed out of the encapsulant. | 09-29-2011 |
20110233595 | Semiconductor Device and Method for Manufacturing the Same - The invention relates to a semiconductor device and a method for manufacturing the semiconductor device, which includes: an insulating film over a substrate; a first pixel electrode embedded in the insulating film; an island-shaped single-crystal semiconductor layer over the insulating film; a gate insulating film and a gate electrode; an interlayer insulating film which covers the island-shaped single-crystal semiconductor layer and the gate electrode; a wiring which electrically connects a high-concentration impurity region and the first pixel electrode to each other; a partition which covers the interlayer insulating film, the island-shaped single-crystal semiconductor layer, and the gate electrode and has an opening in a region over the first pixel electrode; a light-emitting layer formed in a region which is over the pixel electrode and surrounded by the partition; and a second pixel electrode electrically connected to the light-emitting layer. A surface of the first pixel electrode, which is in contact with the light-emitting layer, is flat, and a surface where the insulating film is in contact with the island-shaped single-crystal semiconductor layer roughly coincides with a surface where the first pixel electrode is in contact with the light-emitting layer. | 09-29-2011 |
20110233596 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - The present invention provides a light emitting element capable or realizing at least one of lower resistance, higher output, higher power efficiency (1 m/W), higher mass productivity and lower cost of the element using a light transmissive electrode for an electrode arranged exterior to the light emitting structure. A semiconductor light emitting element includes a light emitting section, a first electrode and a second electrode on a semiconductor structure including first and second conductive type semiconductor layers, the first and the second electrodes respectively including at least two layers of a first layer of a light transmissive conductive film conducting to the first and the second conductive type semiconductor and a second layer arranged so as to conduct with the first layer. First and second light transmissive insulating films are respectively arranged so as to overlap at least one part of the first and the second layers. | 09-29-2011 |
20110233597 | Light-Emitting Element and Light-Emitting Device - It is an object of the present invention to provide a light-emitting element having a layer containing a light-emitting material and a transparent conductive film between a pair of electrodes, in which electric erosion of the transparent conductive film and metal can be prevented, and also to provide a light-emitting device using the light-emitting element. According to one feature of the invention, a light-emitting element includes a first layer | 09-29-2011 |
20110241043 | SUBSTRATE FOR LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING DEVICE EMPLOYING IT - Provided is a substrate for light-emitting element, which has a simple structure and nevertheless is capable of obtaining a high light extraction efficiency when a light-emitting element is mounted thereon. | 10-06-2011 |
20110241044 | LIQUID CRYSTAL DISPLAY DEVICE INCLUDING WHITE LIGHT EMITTING DIODE - A white light emitting diode and a liquid crystal display device that realizes images using the white light are provided. The white light emitting diode includes a blue light emitting diode (“LED”) light source, and a light conversion layer which converts incident light from the LED light source into white light. The light conversion layer includes green light emitting semiconductor nanocrystal and red light emitting semiconductor nanocrystal. A light emitting peak wavelength of the green light emitting semiconductor nanocrystal is about 520 nanometer (nm) or more, a light emitting peak wavelength of the red semiconductor nanocrystal is about 610 nanometer (nm) or more, and full width at half maximums (FWHMs) of light emitting peaks of the green and red light emitting semiconductor nanocrystals are about 45 nanometer (nm) or less. | 10-06-2011 |
20110241045 | HIGH EFFICIENCY LIGHT EMITTING DIODE AND METHOD FOR FABRICATING THE SAME - A high-efficiency light emitting diode including: a semiconductor stack positioned on a support substrate, including a p-type compound semiconductor layer, an active layer, and an n-type compound semiconductor layer; an insulating layer disposed in an opening that divides the p-type compound semiconductor layer and active layer; a transparent electrode layer disposed on the insulating layer and the p-type compound semiconductor layer; a reflective insulating layer covering the transparent electrode layer, to reflect light from the active layer away from the support substrate; a p-electrode covering the reflective insulating layer; and an n-electrode is formed on top of the n-type compound semiconductor layer. The p-electrode is electrically connected to the transparent electrode layer through the insulating layer. | 10-06-2011 |
20110241046 | Light Emitting Device Having Peripheral Emissive Region - Light emitting devices are provided that include one or more OLEDs disposed only on a peripheral region of the substrate. An OLED may be disposed only on a peripheral region of a substantially transparent substrate and configured to emit light into the substrate. Another surface of the substrate may be roughened or include other features to outcouple light from the substrate. The edges of the substrate may be beveled and/or reflective. The area of the OLED(s) may be relatively small compared to the substrate surface area through which light is emitted from the device. One or more OLEDs also or alternatively may be disposed on an edge of the substrate about perpendicular to the surface of the substrate through which light is emitted, such that they emit light into the substrate. A mode expanding region may be included between each such OLED and the substrate. | 10-06-2011 |
20110241047 | PHOTO-EMISSION SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME - A photo-emission semiconductor device superior in reliability is provided. The photo-emission semiconductor device includes a semiconductor layer, a light reflection layer provided on the semiconductor layer, and a protective layer formed by electroless plating to cover the light reflection layer. Therefore, even if the whole structure is reduced in size, the protective layer reliably covers the light reflection layer without gap. | 10-06-2011 |
20110241048 | RESIN COMPOSITION, REFLECTOR FOR LIGHT-EMITTING SEMICONDUCTOR DEVICE, AND LIGHT-EMITTING SEMICONDUCTOR UNIT - Disclosed herein is a resin composition including 100 parts by weight of an organic resin and 50 to 1,000 parts by weight of an inorganic filler, wherein 10 to 100% of the inorganic filler is composed of an oxide of a rare earth element. | 10-06-2011 |
20110241049 | SUBSTRATE FOR MOUNTING LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING DEVICE EMPLOYING THE SUBSTRATE - To provide a substrate for mounting a light-emitting element, which is provided with a reflection layer having a high optical reflectance and being less susceptible to deterioration of the reflectance due to corrosion and which has an improved light extraction efficiency and heat dissipation property, and a light-emitting device employing such a substance. | 10-06-2011 |
20110241050 | HIGH EFFICIENCY LIGHT EMITTING DIODE AND METHOD FOR FABRICATING THE SAME - A high-efficiency light emitting diode including: a semiconductor stack positioned on a support substrate, including a p-type compound semiconductor layer, an active layer, and an n-type compound semiconductor layer; an insulating layer disposed in an opening that divides the p-type compound semiconductor layer and active layer; a transparent electrode layer disposed on the insulating layer and the p-type compound semiconductor layer; a reflective insulating layer covering the transparent electrode layer, to reflect light from the active layer away from the support substrate; a p-electrode covering the reflective insulating layer; and an n-electrode is formed on top of the n-type compound semiconductor layer. The p-electrode is electrically connected to the transparent electrode layer through the insulating layer. | 10-06-2011 |
20110241051 | Organic Electroluminescent Device - An organic electroluminescent device comprising: a substrate; a first electrode disposed over the substrate for injecting charge of a first polarity; a second electrode disposed over the first electrode for injecting charge of a second polarity opposite to said first polarity; an organic light emitting layer disposed between the first and the second electrode, the second electrode being transparent to light emitted by the light emitting layer; and a transparent encapsulant disposed over the second electrode, wherein the transparent encapsulant comprises a microlens array formed by a top surface of the transparent encapsulant and a diffraction grating formed by a bottom surface of the transparent encapsulant. | 10-06-2011 |
20110241052 | LIGHTING SYSTEM - The present invention relates to a light emitting device ( | 10-06-2011 |
20110241053 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device. The light emitting device includes a light emitting structure comprising an active layer to generate first light, a first conductive semiconductor layer on the active layer, and a second conductive semiconductor layer on the active layer so that the active layer is disposed between the first and second conductive semiconductor layers, wherein a portion of the light emitting structure is implanted with at least one element which generates second light from the first light. | 10-06-2011 |
20110241054 | LED PACKAGE HAVING AN ARRAY OF LIGHT EMITTING CELLS COUPLED IN SERIES - Disclosed is a light emitting diode (LED) package having an array of light emitting cells coupled in series. The LED package comprises a package body and an LED chip mounted on the package body. The LED chip has an array of light emitting cells coupled in series. Since the LED chip having the array of light emitting cells coupled in series is mounted on the LED package, it can be driven directly using an AC power source. | 10-06-2011 |
20110241055 | OPTICAL SEMICONDUCTOR ELEMENT MOUNTING PACKAGE, AND OPTICAL SEMICONDUCTOR DEVICE USING THE SAME - An optical semiconductor element mounting package that has good adhesion between the resin molding and the lead electrodes and has excellent reliability is provided, as well as an optical semiconductor device using the package is also provided. The optical semiconductor element mounting package having a recessed part that serves as an optical semiconductor element mounting region, wherein the package is formed by integrating: a resin molding composed of a thermosetting light-reflecting resin composition, which forms at least the side faces of the recessed part; and at least a pair of positive and negative lead electrodes disposed opposite each other so as to form part of the bottom face of the recessed part, and there is no gap at a joint face between the resin molding and the lead electrodes. | 10-06-2011 |
20110241056 | SEMICONDUCTOR LIGHT EMITTING DEVICE WITH LIGHT EXTRACTION STRUCTURES - Structures are incorporated into a semiconductor light emitting device which may increase the extraction of light emitted at glancing incidence angles. In some embodiments, the device includes a low index material that directs light away from the metal contacts by total internal reflection. In some embodiments, the device includes extraction features such as cavities in the semiconductor structure which may extract glancing angle light directly, or direct the glancing angle light into smaller incidence angles which are more easily extracted from the device. | 10-06-2011 |
20110241057 | HIGH-EFFICIENCY LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light-emitting device includes a substrate; a first semiconductor layer formed on the substrate; an active layer formed on the first semiconductor layer; a second semiconductor layer formed on the active layer; and a first pad formed on the second semiconductor layer, wherein the second semiconductor layer includes a plurality of voids between the active layer and the first pad. | 10-06-2011 |
20110248299 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF FABRICATING THE SAME - The present invention relates to a light emitting diode package and a method of fabricating the same capable of uniformly distributing a fluorescent substance in a molding member by including a light emitting diode chip on a package substrate and the molding member having a molding resin, a fluorescent substance and nano particles, which is arranged on the package substrate, with covering the light emitting diode chip. | 10-13-2011 |
20110248300 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - A light emitting device is provided. The light emitting device includes a light emitting structure including a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer, a first dielectric layer over a cavity where a part of the light emitting structure is removed, a second electrode layer over the first dielectric layer, a second dielectric layer over the light emitting structure above the cavity, and a first electrode over the second dielectric layer. | 10-13-2011 |
20110248301 | LIGHT EMITTING DEVICE, METHOD FOR FABRICATING THE LIGHT EMITTING DEVICE, AND LIGHT EMITTING DEVICE PACKAGE - Provided are a light emitting device, a method for fabricating the light emitting device, and a light emitting device package. The light emitting device includes a light emitting structure including a first conductive type semiconductor layer, an active layer under the first conductive type semiconductor layer, and a second conductive type semiconductor layer under the active layer, a conductive support member, and a protection member on the light emitting structure. The light emitting structure has a first width and a second width. A difference between the first width and the second width defines a stepped structure or an inclined structure. The protection member is disposed on the stepped or the inclined structure defined by the difference between the first and second widths of the light emitting structure. | 10-13-2011 |
20110248302 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device according to the embodiment includes a first electrode; a light emitting structure including a first semiconductor layer, an active layer and a second semiconductor layer on the first electrode; a second electrode on the light emitting structure; and a control switch installed on the light emitting structure to control the light emitting structure. | 10-13-2011 |
20110248303 | METHOD FOR PREPARING A B-SiAION PHOSPHOR - There is provided a method for preparing a β-SiAlON phosphor capable of be controlled to show characteristics such as high brightness and desired particle size distribution. The method for preparing a β-SiAlON phosphor represented by Formula: Si | 10-13-2011 |
20110248304 | LIGHT EMITTING DEVICE - The first wavelength converting member, the light emitting element, and the second wavelength converting member are disposed in this order toward the opening of the recess portion on the bottom surface of the housing member through a light transmissive supporting member, and spaced away from the side surface of the recess portion. The first wavelength converting member is a plate shape member made of a composite of an inorganic binder made of an inorganic material and a fluorescent material. A light scattering surface is formed on at least a portion of the side surface of the recess portion, which is irradiated with the light emitted from the side surfaces of the wavelength converting member in parallel with the principal surface of the first wavelength converting member. | 10-13-2011 |
20110248305 | METHOD FOR TRANSFERRING A UNIFORM PHOSPHOR LAYER ON AN ARTICLE AND LIGHT-EMITTING STRUCTURE FABRICATED BY THE METHOD - A method of transferring a uniform phosphor layer on an article and a light-emitting structure having a uniform phosphor layer. The method includes disposing a surface of the article in a proximity of a carrier having the uniform phosphor layer on a surface thereon, and causing the uniform phosphor layer to be secured to the surface of the article. Therefore, the uniform phosphor layer is secured to the articles according to a contour of the article. | 10-13-2011 |
20110248306 | NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE HAVING HIGH LIGHT EFFICIENCY AND METHOD OF MANUFACTURING THE SAME - Provided is a nitride semiconductor light emitting diode and a method of manufacturing the same. The method includes sequentially forming a first semiconductor layer, an active layer, and a second semiconductor layer on a substrate, in-situ depositing a mask layer on a region of the surface of the second semiconductor layer, and selectively growing a third semiconductor layer formed in a textured structure on the second semiconductor layer by depositing a semiconductor material on the second semiconductor layer and the mask layer. | 10-13-2011 |
20110248307 | NITRIDE SEMICONDUCTOR ELEMENT AND METHOD FOR PRODUCING THE SAME - A nitride-based semiconductor light-emitting device | 10-13-2011 |
20110248308 | NITRIDE-BASED SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A nitride-based semiconductor light-emitting device | 10-13-2011 |
20110254030 | LIQUID REFLECTOR - A light emitting diode (LED) package is disclosed which has an integral reflector for improving performance. The package includes a substrate for supporting the LED. A frame is formed on the top surface of the substrate surrounding the LED. During fabrication, a liquid compound is dispensed into the frame in a manner to surround the LED without covering the active area of the LED. The liquid compound includes particles for scattering light. The top surface of the liquid compound is curved due to surface tension. The curvature remains after the compound is cured. In a preferred embodiment, an encapsulating material is used to cover the LED and the compound. The reflector functions to increase the light output from the LED package. | 10-20-2011 |
20110254031 | LIGHT-EMITTING DEVICES WITH VERTICAL LIGHT-EXTRACTION MECHANISM - A light-emitting device comprises a lattice structure to minimize the horizontal waveguide effect by reducing light traveling distance in the light-absorption medium of the light-emitting devices, and to enhance light extraction from the light-emitting layer. The lattice structure includes sidewalls and/or rods embedded in the light-absorption medium and dividing the light-absorption medium into a plurality of area units. The area units are completely isolated or partially separated from each other by the sidewalls. Also provided is a method of fabricating a light-emitting device that comprises a lattice structure, which lattice structure includes sidewalls and/or rods embedded in the light-absorption medium and dividing the light-absorption medium into a plurality of area units. | 10-20-2011 |
20110254032 | Electronic Assembly - An electronic assembly includes a first substrate and a second substrate, a hole through the first substrate, the second substrate having a trace with an indentation, an electronic device mounted over the indentation in the trace, and the first substrate is attached to the second substrate such that the electronic device is positioned within the hole through the first substrate. | 10-20-2011 |
20110254033 | Organic Light-Emitting Diode Device with High Color Rendering - The present invention discloses an organic light-emitting diode (OLED) device with high color rendering comprising a base plate, a first conductive layer, a plurality of white light emitting layers, and a second conductive layer, wherein the spectra of the white light emitting layers possess characteristics of complementarities so as to enhance the color rendering of the emitted white light, and at least one carrier regulating layer is selectively disposed between every two white light emitting layers so as to increase the emitting efficiency and color rendering. | 10-20-2011 |
20110254034 | NANOSTRUCTURED LED - The device according to the invention comprises a nanostructured LED with a first group of nanowires protruding from a first area of a substrate and a contacting means in a second area of the substrate. Each nanowire of the first group of nanowires comprises a p-i-n junction and a top portion of each nanowire or at least one selection of nanowires is covered with a light-reflecting contact layer. The contacting means of the second area is in electrical contact with the bottom of the nanowires, the light-reflecting contact layer being in electrical contact with the contacting means of the second area via the p-i-n junction. Thus when a voltage is applied between the contacting means of the second area and the light-reflecting contact layer, light is generated within the nanowire. On top of the light-reflecting contact layer, a first group of contact pads for flip-chip bonding can be provided, distributed and separated to equalize the voltage across the layer to reduce the average serial resistance. | 10-20-2011 |
20110254035 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND ILLUMINATION SYSTEM - Disclosed are a light emitting device, a light emitting device package, and an illumination system. The light emitting device includes a substrate; a light emitting structure layer including a first conductive type semiconductor layer formed on the substrate and having first and second upper surfaces, in which the second upper surface is closer to the substrate than the first upper surface, an active layer on the first conductive type semiconductor layer, and a second conductive type semiconductor layer on the active layer; a second electrode on the second conductive type semiconductor layer; and at least one first electrode extending at least from the second upper surface of the first conductive type semiconductor layer to a lower surface of the substrate by passing through the substrate. | 10-20-2011 |
20110254036 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Disclosed is a light emitting device. The light emitting device includes a light emitting structure layer including a first conductive type semiconductor layer, an active layer on the first conductive type semiconductor layer, and a second conductive type semiconductor layer on the active layer, a first light extracting structure formed on an outer portion of the first conductive type semiconductor layer and having a plurality of side surfaces and a plurality of upper surfaces formed in a step structure, and a transmissive layer on the first light extracting structure of the first conductive type semiconductor layer. | 10-20-2011 |
20110254037 | Light-Emitting Device and Electronic Device - A low-power light-emitting device which can be manufactured in simple steps and is suitable for increasing definition and the size of a substrate is provided. The light-emitting device includes a layer for blocking visible light; a conductive layer that partly overlaps with the layer for blocking visible light; a color filter layer that includes an opening over the layer for blocking visible light; a first electrode layer for transmitting visible light that is connected to the conductive layer through the opening, over the color filter layer; an insulating partition over the first electrode layer overlapping with the opening; a layer containing an organic compound over the first electrode layer and the partition; and a second electrode layer over the layer containing an organic compound. The layer containing an organic compound includes a layer containing a donor substance and an acceptor substance and a layer containing a light-emitting organic compound. | 10-20-2011 |
20110254038 | LED HOUSING WITH FLUOROPOLYMER SURFACE COATING LAYER AND LED STRUCTURE HAVING THE SAME - A housing for supporting a light-emitting diode chip is disclosed. The housing includes a housing body made of non-fluoro-containing polymer and a surface coating layer covering at least a portion of the housing body. The surface coating layer is made of fluoropolymer dispersion and provided for reflecting light emitted from a light-emitting diode chip disposed on the housing body. A structure of light-emitting diode including the housing and a light-emitting diode chip is also disclosed. | 10-20-2011 |
20110254039 | LIGHT EMITTING DIODE PACKAGE, LIGHTING APPARATUS HAVING THE SAME, AND METHOD FOR MANUFACTURING LIGHT EMITTING DIODE PACKAGE - A light emitting diode (LED) package, a lighting apparatus including the same, and a method for manufacturing an LED package are disclosed. The LED package includes: a package substrate; an LED chip mounted on the package substrate; and a wavelength conversion layer formed to cover at least a portion of an upper surface of the LED chip when a surface formed by the LED chip when viewed from above is defined as the upper surface of the LED chip, wherein the wavelength conversion layer is formed so as not to exceed the area of the upper surface of the LED chip and includes a flat surface parallel to the upper surface of the LED chip and curved surfaces connecting the corners of the upper surface of the LED chip. | 10-20-2011 |
20110254040 | LIGHT-EMITTING DEVICE - A light-emitting device includes a base and a light-emitting element that is disposed on the base. The light-emitting element is made up of a plurality of semiconductor layers including a light-emitting layer, and at the same time, is covered with a wavelength converting portion that includes a wavelength converting material. The light-emitting layer emits primary light, and the wavelength converting material absorbs part of the primary light and emits secondary light. The luminance of the primary light emitted from the edge portion of the light extraction surface of the light-emitting device is higher than the luminance of the primary light emitted from the inner region located inside the edge portion, and the ratio of the primary light and the secondary light that are emitted from a light extraction surface of the wavelength converting portion is substantially uniform across the light extraction surface of the wavelength converting portion. Thereby, a light color difference across the light extraction surface of the wavelength converting portion that covers the light-emitting element can be reduced further, and it is possible to irradiate an irradiation surface with light of uniform color. | 10-20-2011 |
20110254041 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - Provided are a semiconductor light emitting device and a method of fabricating the same. The semiconductor light emitting device includes: a light emitting structure comprising a first conductive type semiconductor layer, an active layer under the first conductive type semiconductor layer, and a second conductive type semiconductor layer under the active layer; a reflective electrode layer under the light emitting structure, and an outer protection layer at an outer circumference of the reflective electrode layer. | 10-20-2011 |
20110254042 | ELONGATED LENSES FOR USE IN LIGHT EMITTING APPARATUSES - A light emitting apparatus includes one or more light emitting semiconductors, and an elongated lens encapsulating the one or more light emitting semiconductors. The elongated lens comprises an exterior surface having a photoluminescent material thereon. | 10-20-2011 |
20110260184 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode, an insulating film, a p-side draw out electrode, an n-side draw out electrode, a resin, a fluorescent layer, and a fluorescent reflecting film. The semiconductor layer includes a first face, a second face opposite to the first face, and a light emitting layer. The fluorescent layer is provided on the first face side of the semiconductor layer. The fluorescent reflecting film is provided between the first face and the fluorescent layer. | 10-27-2011 |
20110260185 | LIGHT-EMITTING DIODE DEVICE - A light-emitting diode device includes a light-emitting diode, a power circuit portion for supplying electric power to the light-emitting diode, and a heat dissipating member for dissipating the heat generated from the light-emitting diode. The heat dissipating member is made of a thermal conductive sheet which contains a plate-like boron nitride particle. The thermal conductivity in a direction perpendicular to the thickness direction of the thermal conductive sheet is 4 W/m·K or more. | 10-27-2011 |
20110260186 | Light Emitting Device - A light emitting device according to the embodiment may include a light emitting structure including a first semiconductor layer, an active layer, and a second semiconductor layer; a first electrode on the light emitting structure; and a protection layer including a first metallic material on an outer peripheral region of one of the light emitting structure and the first electrode. | 10-27-2011 |
20110260187 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - A light emitting device according to the embodiment includes a first semiconductor layer; an active layer to generate a light on the first semiconductor layer; a second conductive semiconductor layer on the active layer; a transparent electrode layer on the second conductive semiconductor layer; and a multiple thin film mirror on the transparent electrode layer, the multiple thin film mirror being formed by repeatedly stacking a first thin film layer having a first refractive index and a second thin film layer having a second refractive index different from the first refractive index by at least one time, wherein the second conductive semiconductor layer has a thickness satisfying: 2·Φ1+Φ2=N·2π±Δ, (0≦Δ≦π/2) in which, Φ1 is a phase shift occurring when a light, which travels in a vertical direction, passes through the second conductive semiconductor layer and is expressed as Φ1=2πnd/λ (n is a refractive index of the light, λ is a wavelength of the light, and d is a thickness of the second conductive semiconductor layer), Φ2 is a phase shift occurring when the light is reflected from one of the transparent electrode layer and the multiple thin film mirror, and N is a natural number. | 10-27-2011 |
20110260188 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Disclosed are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a light emitting structure including a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer on, a light transmissive substrate having a refractive index lower than a refractive index of a compound semiconductor layer, and a mirror structure layer having a structure in which a first mirror layer having a first refractive index and a second mirror layer having a second refractive index different from the first refractive index are alternately stacked on each other. The first mirror layer has a thickness of W·λ/(4·n | 10-27-2011 |
20110260189 | LIGHT EMITTING DEVICE, MANUFACTURING METHOD THEREOF, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - A light emitting device is provided. The light emitting device includes a first electrode layer, a light emitting structure, and a second electrode layer. The light emitting structure is formed on the first electrode layer to emit blue series light having a main peak wavelength region of about 430 nm to about 470 nm, and includes a light extraction structure. The second electrode layer includes a first layer, which is formed of a metal material different from a wavelength of the blue series light in Plasmon frequencies, on the light extraction structure. | 10-27-2011 |
20110260190 | Light-Emitting Device - Blue organic EL elements, which have a shorter lifetime and lower luminance characteristics than green and red ones, have had a problem: particularly when blue elements are used in a light-emitting device capable of modulating light emission colors, light significantly attenuates and characteristics further deteriorates. A dielectric mirror which is selective in wavelength is provided between organic EL elements, and the number of times especially blue light emission from an organic EL element is transmitted through an electrode having a light-transmitting property is reduced as much as possible, so that attenuation of light is suppressed. Thus, a light-emitting device capable of modulation of light emission colors which has a high luminance and a long lifetime can be provided. In the light-emitting device, voltages applied to the organic EL elements, which deteriorate individually, are separately controlled, whereby the color tone can be kept constant for a long period. | 10-27-2011 |
20110260191 | LIGHT-EMITTING DIODE HAVING ZINC OXIDE NANORODS AND METHOD OF FABRICATING THE SAME - The light extraction efficiency of a typical light-emitting diode (LED) is improved by incorporating one-dimensional ZnO nanorods. The light extraction efficiency is improved about 31% due to the waveguide effect of ZnO sub-microrods, compared to an LED without the nanorods. Other shapes of ZnO microrods and nanorods are produced using a simple non-catalytic wet chemical growth method at a low temperature on an indium-tin-oxide (ITO) top contact layer with no seed layer. The crystal morphology of a needle-like or flat top hexagonal structure and the density and size of ZnO microrods and nanorods are easily modified by controlling the pH value and growth time. The waveguide phenomenon in each ZnO rod is observed using confocal scanning electroluminescence microscopy (CSEM) and micro-electroluminescence spectra (MES). | 10-27-2011 |
20110260192 | LIGHT-EMITTING DIODE PACKAGE USING A LIQUID CRYSTAL POLYMER - A light emitting diode (LED) package using a liquid crystal polymer, includes: a package main body formed by using a liquid crystal polymer; a lead frame formed on the package main body; an LED chip mounted on the lead frame; and a resin packaging unit encapsulating the LED chip, the resin packaging unit including phosphors. The LED package is highly reliable. | 10-27-2011 |
20110260193 | Method for Producing a Luminescence Conversion Element, Luminescence Conversion Element and Optoelectronic Component - A method is provided for producing a luminescence conversion element ( | 10-27-2011 |
20110260194 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - [Problem] A semiconductor light-emitting device, which does not suffer from color unevenness of the light taken out of a sealing material even when a phosphor is used therein and enables reduction of the production cost is provided. | 10-27-2011 |
20110260195 | ENCAPSULANT WITH SCATTERER TO TAILOR SPATIAL EMISSION PATTERN AND COLOR UNIFORMITY IN LIGHT EMITTING DIODES - A light emitting device having an encapsulant with scattering features to tailor the spatial emission pattern and color temperature uniformity of the output profile. The encapsulant is formed with materials having light scattering properties. The concentration of these light scatterers is varied spatially within the encapsulant and/or on the surface of the encapsulant. The regions having a high density of scatterers are arranged in the encapsulant to interact with light entering the encapsulant over a desired range of source emission angles. By increasing the probability that light from a particular range of emission angles will experience at least one scattering event, both the intensity and color temperature profiles of the output light beam can be tuned. | 10-27-2011 |
20110260196 | LED ELEMENT AND METHOD FOR MANUFACTURING LED ELEMENT - Provided is a GaN-based LED element having a novel structure for improving output by increasing light extraction efficiency. A GaN-based LED element comprising: a semiconductor laminated structure in which an n-type GaN-based semiconductor layer is arranged on the side of a lower surface of a p-type GaN-based semiconductor layer having an upper surface and the lower surface, and a light emitting part comprising a GaN-based semiconductor is interposed between the layers; a p-side electrode formed on the upper surface of the p-type GaN-based semiconductor layer; and an n-side electrode electrically connected to the n-type GaN-based semiconductor layer, wherein the p-side electrode comprises a transparent conductive film comprising a window region serving as a window for extracting light generated in the light emitting part, and a flat section and a rough surface section formed by a roughening treatment are arranged to form a predetermined mixed pattern on the upper surface of the p-type GaN-based semiconductor layer covered with the window region of the transparent conductive film. | 10-27-2011 |
20110260197 | LIGHT EMITTING DIODE PACKAGE - Provided is an LED package. It is easy to control luminance according to the luminance and an angle applicable. Since heat is efficiently emitted, the LED package is easily applicable to a high luminance LED. The manufacturing process is convenient and the cost is reduced. The LED package includes a substrate, an electrode, an LED, and a heatsink hole. The electrode is formed on the substrate. The LED is mounted in a side of the substrate and is electrically connected to the electrode. The heatsink hole is formed to pass through the substrate, for emitting out heat generated from the LED. | 10-27-2011 |
20110260198 | INSULATION STRUCTURE FOR HIGH TEMPERATURE CONDITIONS AND MANUFACTURING METHOD THEREOF - An insulation structure for high temperature conditions and a manufacturing method thereof. In the insulation structure, a substrate has a conductive pattern formed on at least one surface thereof for electrical connection of a device. | 10-27-2011 |
20110266567 | Method for Producing a Radiation-Emitting Component and Radiation-Emitting Component - A method for manufacturing a radiation-emitting component ( | 11-03-2011 |
20110266568 | LIGHT EMITTING DEVICE WITH TRENCHES AND A TOP CONTACT - A device includes a semiconductor structure comprising a light emitting layer disposed between an n-type region and a p-type region. A bottom contact disposed on a bottom surface of the semiconductor structure is electrically connected to one of the n-type region and the p-type region. A top contact disposed on a top surface of the semiconductor structure is electrically connected to the other of the n-type region and the p-type region. A mirror is aligned with the top contact. The mirror includes a trench formed in the semiconductor structure and a reflective material disposed in the trench, wherein the trench extends through the light emitting layer. | 11-03-2011 |
20110266569 | LED WAFER WITH LAMINATED PHOSPHOR LAYER - An LED wafer with a growth substrate is attached to a carrier substrate by, for example, a heat-releasable adhesive so that the LED layers are sandwiched between the two substrates. The growth substrate is then removed, such as by laser lift-off. The exposed surface of the LED layers is then etched to improve light extraction. A preformed phosphor sheet, matched to the LEDs, is then affixed to the exposed LED layer. The phosphor sheet, LED layers, and, optionally, the carrier substrate are then diced to separate the LEDs. The LED dice are released from the carrier substrate by heat or other means, and the individual LED dice are mounted on a submount wafer using a pick-and-place machine. The submount wafer is then diced to produce individual LEDs. The active layer may generate blue light, and the blue light and phosphor light may generate white light having a predefined white point. | 11-03-2011 |
20110266570 | LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - In a light emitting device package and manufacturing method thereof, a multi-layer structure is allocated upon a substrate, of which at least two films with different refractive indices are alternately stacked together. | 11-03-2011 |
20110266571 | Semiconductor Arrangement - According to at least one embodiment of the semiconductor arrangement, the latter comprises a mounting side, at least one optoelectronic semiconductor chip with mutually opposing chip top and bottom, and at least one at least partially radiation-transmissive body with a body bottom, on which the semiconductor chip is mounted such that the chip top faces the body bottom. Moreover, the semiconductor arrangement comprises at least two electrical connection points for electrical contacting of the optoelectronic semiconductor chip, wherein the connection points do not project laterally beyond the body and with their side remote from the semiconductor chip delimit the semiconductor arrangement on the mounting side thereof. | 11-03-2011 |
20110266572 | Organic Light Emitting Component and Illumination Means Comprising a Component of this Type - In at least one embodiment of the organic light-emitting component ( | 11-03-2011 |
20110266573 | SEMICONDUCTOR FOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The light emitting device includes a first conductive type semiconductor layer; an active layer on the first conductive type semiconductor layer; and a first electrode pad including a plurality of reflective layers on the first conductive type semiconductor layer. | 11-03-2011 |
20110266574 | LED PACKAGE - An LED package includes a substrate, an LED die, and an encapsulating layer. The LED die is arranged on the substrate. The encapsulating layer covers the LED die and at least a part of the substrate. The encapsulating layer includes a light dispersing element. A light scattering intensity of the light dispersing element is proportional to the light intensity of light generated by the LED die and illuminated at the encapsulating layer. A luminance at a center of the LED package is substantially identical to that at a circumference of the LED package. | 11-03-2011 |
20110266575 | NITRIDE-BASED SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A nitride-based semiconductor device includes: a nitride-based semiconductor multilayer structure including a p-type semiconductor region, a surface of the p-type semiconductor region being an m-plane; and an electrode that is arranged on the p-type semiconductor region, wherein the p-type semiconductor region is made of an Al | 11-03-2011 |
20110266576 | Optoelectronic Semiconductor Component - An optoelectronic semiconductor device at least one radiation-emitting semiconductor chip ( | 11-03-2011 |
20110266577 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD OF MANUFACTURING SAME - An organic light emitting device enables improvement on the loss of optical extraction efficiency due to total reflection and optical waveguide effects. The organic light emitting device has a structure wherein a first electrode, an organic substance layer, and a second electrode are sequentially laminated on a substrate, a random nano structure having a fine pattern of a peaks-and-valleys shape is formed between a substrate and a first electrode to extract any light that is wasted due to total reflection and an optical waveguide mode to the outside of the substrate so that an organic light emitting device with improved external quantum efficiency can be realized, and optical extraction patterns and color changes due to visual field angles can also be improved. | 11-03-2011 |
20110266578 | ANISOTROPIC CONDUCTIVE FILM AND LIGHT EMITTING DEVICE - An anisotropic conductive film is provided that does not have a light-reflecting layer on a light emitting diode element which causes costs to increase when a light emitting device that uses an LED element is flip-chip mounted, and that does not cause emission efficiency to deteriorate. Further, a light emitting device that uses such an anisotropic conductive film is provided. This anisotropic conductive film has a structure in which a light-reflecting insulating adhesive layer and an anisotropic conductive adhesive layer are laminated, wherein the light-reflecting insulating adhesive layer has a structure in which light-reflecting particles are dispersed in an insulating adhesive. The light emitting device has a structure in which a light emitting diode element is flip-chip-mounted on a substrate, with this anisotropic conductive film provided between a connection terminal on the substrate and a bump for connection of the light emitting diode element. | 11-03-2011 |
20110266579 | SEMICONDUCTOR LIGHT-EMITTING DEVICE, LIGHT-EMITTING MODULE, AND ILLUMINATION DEVICE - A semiconductor light-emitting device having a substrate on which a semiconductor multilayer film is disposed, the semiconductor multilayer film having a layered structure in which a first conductive layer, a light-emitting layer and a second conductive layer are layered above the substrate from bottom to top in the stated order, and being divided into portions by grooves extending perpendicular to the substrate, each portion having a diode structure and serving as a light-emitting element | 11-03-2011 |
20110266580 | LIGHT SOURCE COMPRISING A LIGHT RECYCLING DEVICE AND CORRESPONDING LIGHT RECYCLING DEVICE - The invention relates to a light source ( | 11-03-2011 |
20110266581 | LIGHT-EMITTING DEVICE CONTAINING A COMPOSITE ELECTROPLATED SUBSTRATE - The application is related to a method of forming a substrate of a light-emitting diode by composite electroplating. The application illustrates a light-emitting diode comprising the following elements: a light-emitting epitaxy structure, a reflective layer disposed on the light-emitting epitaxy structure, a seed layer disposed on the reflective layer, a composite electroplating substrate disposed on the seed layer by composite electroplating, and a protection layer disposed on the composite electroplating substrate. | 11-03-2011 |
20110266582 | PACKAGE FOR LIGHT EMITTING DEVICE - A semiconductor light emitting package includes a base having a top surface with a flat portion, the base shaped into a substantially circle; a plurality of semiconductor light emitting devices on the base; an electrical circuit layer electrically connected to the plurality of semiconductor light emitting device; a plurality of screen members on the base; and a plurality of optical members formed of a light transmissive material such that light emitted from at least one of the semiconductor light emitting devices passes therethrough, wherein each of the screen members has an opening surrounding at least one of the semiconductor light emitting device, each opening of the screen members is shaped into a substantially circle, a diameter of the base is larger than a diameter of the opening of the screen members, and an edge portion of the optical members is in contact with one of the screen members. | 11-03-2011 |
20110266583 | PACKAGE FOR LIGHT EMITTING DEVICE - A semiconductor light emitting package is discussed, which includes a base having a top surface with a flat portion; a semiconductor light emitting device on the base; an electrical circuit layer electrically connected to the semiconductor light emitting device; a screen member having an opening and disposed on the base around the semiconductor light emitting device, the screen member shaped into a substantially circle; and an optical member formed of a light transmissive material such that light emitted from the semiconductor light emitting device passes therethrough, wherein a bottom surface of the screen member is positioned higher than the semiconductor light emitting device, an edge portion of the optical member is in contact with the screen member, a top surface of the optical member is substantially parallel to the flat portion of the base. | 11-03-2011 |
20110266584 | WHITE LIGHT EMITTING DIODE WITH YELLOW, GREEN AND RED LIGHT EMITTING PHOSPHORS - Provided is a white light emitting diode (LED) including a blue LED chip; and yellow, green, and red light emitting phosphors that are coated on the blue LED chip at a predetermined mixing ratio and converts light, emitted from the blue LED chip, into white light. | 11-03-2011 |
20110272720 | LIGHT EMITTING DEVICE GROWN ON WAVELENGTH CONVERTING SUBSTRATE - In some embodiments of the invention, a device includes a substrate and a semiconductor structure. The substrate includes a wavelength converting element comprising a wavelength converting material disposed in a transparent material, a seed layer comprising a material on which III-nitride material will nucleate, and a bonding layer disposed between the wavelength converting element and the seed layer. The semiconductor structure includes a III-nitride light emitting layer disposed between an n-type region and a p-type region, and is grown on the seed layer. | 11-10-2011 |
20110272721 | LED PACKAGE WITH A ROUNDED SQUARE LENS - A rounded square lens is used instead of a hemispherical lens in an LED package to produce a substantially Lambertian light emission pattern. A cross-sectional view of the rounded square lens cut along its diagonal forms a semicircular surface so as to emulate a hemispherical lens in areas close to the diagonal. A cross-sectional view of the lens cut along its width bisecting the lens forms a bullet shaped surface narrower than the semicircular surface but having the same height as the semicircular surface. The four corners of the lens are rounded. The surface of the lens smoothly transitions between the two surface shapes. Since the rounded square lens has a diagonal dimension larger than a maximum allowable diameter of a hemispherical lens in the same package body, a larger LED die may be used with the rounded square lens to output more light without increasing the size of the package while maintaining a Lambertian emission. | 11-10-2011 |
20110272722 | ENCAPSULATION STRUCTURE FOR LIGHT-EMITTING DIODE - The present invention relates to an encapsulation structure for light-emitting diode, which includes an encapsulation base, at least one light-emitting diode chip, a first encapsulation material and a second encapsulation material. The encapsulation base includes an encapsulation region, and the light-emitting diode chips are mounted on the encapsulation region. The first encapsulation material is disposed on the encapsulation region and overlays the light-emitting diode chips. The second encapsulation material is doped with a predetermined amount of phosphor (fluorescent powder), and the second encapsulation material is superposed on the first encapsulation material. Hence, according to the structure described above, the present invention effectively enables customization of products, and reduces the stockpiling of semi-finished products. | 11-10-2011 |
20110272723 | Rod Type Light Emitting Device And Method For Fabricating The Same - Disclosed herein is a rod type light emitting device and method for fabricating the same, wherein a plurality of rod structures is sequentially formed with a semiconductor layer doped with a first polarity dopant, an active layer, and a semiconductor layer doped with a second polarity dopant. | 11-10-2011 |
20110272724 | ALGAINP-BASED LIGHT-EMITTING DIODE WITH DOUBLE REFLECTIVE LAYERS AND FABRICATION METHOD THEREOF - The invention discloses an AlGaInP-based LED with double reflective layers and a fabrication method thereof. The method includes: providing a temporary substrate; forming an epitaxial layer on a front of the temporary substrate; forming a distributed Bragg reflector on the epitaxial layer; forming an some openings in the distributed Bragg reflector, such that the arrangement of the distributed Bragg reflector is grid-like and a portion of a top of the epitaxial layer is exposed; forming a reflective metal layer on the distributed Bragg reflector and on the exposed portion of the top of the epitaxial layer, to fill the openings; bonding a permanent substrate onto the reflective metal layer; removing the temporary substrate; forming a first electrode and a second electrode at a bottom of the epitaxial layer and a top of the permanent substrate, respectively; and dicing to obtain the AlGaInP-based LED chips. The AlGaInP-based LED with both the distributed Bragg reflector and the reflective metal layer according to the invention can fully utilize good reflectivity of the reflective layers to the extreme, and improve the light-emission efficiency of the AlGaInP-based LED effectively. | 11-10-2011 |
20110272725 | WAVELENGTH CONVERTING MEMBER, LIGHT-EMITTING DEVICE, AND METHOD FOR MANUFACTURING WAVELENGTH CONVERTING MEMBER - A polycrystalline sintered ceramic including (A) a garnet phase and (B) a perovskite, monoclinic or silicate phase wherein fine grains of phase (B) are included and dispersed in phase (A) is used as a wavelength converting member. Since the light transmitting through the wavelength converting member is scattered at the interface between the garnet phase and the perovskite, monoclinic or silicate phase, a light emitting device including the wavelength converting member produces light of more uniform color with a minimized loss thereof. | 11-10-2011 |
20110272726 | LUMINOUS DEVICES, PACKAGES AND SYSTEMS CONTAINING THE SAME, AND FABRICATING METHODS THEREOF - The present invention is directed to a vertical-type luminous device and high through-put methods of manufacturing the luminous device. These luminous devices can be utilized in a variety of luminous packages, which can be placed in luminous systems. The luminous devices are designed to maximize light emitting efficiency and/or thermal dissipation. Other improvements include an embedded zener diode to protect against harmful reverse bias voltages. | 11-10-2011 |
20110272727 | LIGHT-EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting diode and method for manufacturing the same are described. The light-emitting diode comprises: a conductive substrate including a first surface and a second surface opposite to the first surface; a reflector structure comprising a conductive reflector layer bonding to the first surface of the conductive substrate and a conductive distributed Bragg reflector (DBR) structure stacked on the conductive reflector layer; an illuminant epitaxial structure disposed on the reflector structure; a first electrode disposed on a portion of the illuminant epitaxial structure; and a second electrode bonded to the second surface of the conductive substrate. | 11-10-2011 |
20110278609 | PACKAGE STRUCTURE AND PACKAGE PROCESS OF LIGHT EMITTING DIODE - A light emitted diode (LED) package structure and an LED package process are provided. The LED package structure comprises a carrier, a spacer, at least one LED chip, a junction coating, a plurality of phosphor particles, and an encapsulant. The spacer is disposed on the carrier and provided with a reflective layer covering a top surface of the spacer. The LED chip is disposed on the reflective layer and electrically connected to the carrier. The junction coating is disposed over the spacer and covers the LED chip. The phosphor particles are distributed within the junction coating. The encapsulant is disposed on the carrier and encapsulates the LED chip, the spacer and the junction coating. Uniform light output and high illuminating efficiency can be obtained by the phosphor particles uniformly distributed in the junction coating. The junction coating is formed by package level dispensing process to reduce the fabrication cost. | 11-17-2011 |
20110278610 | PACKAGE STRUCTURE AND PACKAGE PROCESS OF LIGHT EMITTING DIODE - A light emitting diode (LED) package structure comprising a carrier, an LED chip, a first encapsulant, at least one bonding wire, a plurality of phosphor particles and a second encapsulant is provided. The LED chip is disposed on the carrier. The LED chip has at least one electrode. The first encapsulant is disposed on the carrier and covering the LED chip. The first encapsulant is provided with at least one preformed opening exposing at least a portion of the at least one electrode. The at least one bonding wire is electrically connected between the at least one electrode and the carrier via the at least one preformed opening. The phosphor particles are distributed within the first encapsulant. The second encapsulant is disposed on the carrier and encapsulates the LED chip, the first encapsulant and the at least one bonding wire. | 11-17-2011 |
20110278611 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate and an organic electroluminescent device. Inside the substrate, there are a plurality of micro-structures proceeded with fusing and then curing. The organic electroluminescent device is disposed on the substrate. | 11-17-2011 |
20110278612 | ORGANIC LIGHT EMITTING DIODE STRUCTURE AND FABRICATING METHOD THEREOF - An organic light emitting diode structure is disclosed. The hole transport layer of the organic light emitting diode structure is used as a first primary color light emitting layer. A second primary color light emitting unit and a third primary color light emitting unit are formed on the first primary color light emitting layer, and a part of the first primary color light emitting layer is exposed. A method for fabricating the organic light emitting diode structure is also disclosed. | 11-17-2011 |
20110278613 | LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - A light emitting diode includes a substrate, a buffer layer on the substrate, a patterned layer having a first reflective index on the buffer layer, a semiconductor layer having a second reflective index on the patterned layer, and an illumination structure on the semiconductor layer. A method for manufacturing the light emitting diode is also provided. | 11-17-2011 |
20110278614 | LIGHT EMITTING DEVICE, AND METHOD FOR THE PRODUCTION THEREOF - The present invention relates to a composition of plastic material that includes from 7 to 20 wt. % inorganic conversion pigments. The pigments include Si, Sr, Ba, Ca and Eu in concentrations of greater than 0 ppm and Al, Co, Fe, Mg, Mo, Na, Ni, Pd, P, Rh, Sb, Ti and Zr in concentrations of less than or equal to 50 ppm | 11-17-2011 |
20110278615 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device and a method of its manufacture are provided, whereby manufacturing processes are simplified and display quality may be enhanced. The display device includes: an active layer of a thin film transistor (TFT), on a substrate and including a semiconducting material; a lower electrode of a capacitor, on the substrate, doped with ion impurities, and including a semiconducting material; a first insulating layer on the substrate to cover the active layer and the lower electrode; a gate electrode of the TFT, on the first insulating layer; a pixel electrode on the first insulating layer; an upper electrode of the capacitor, on the first insulating layer; source and drain electrodes of the TFT, electrically connected to the active layer; an organic layer on the pixel electrode and including an organic emission layer; and a counter electrode facing the pixel electrode, the organic layer between the counter electrode and the pixel electrode. | 11-17-2011 |
20110278616 | MANUFACTURING METHOD OF WAVELENGTH CONVERSION ELEMENT, WAVELENGTH CONVERSION ELEMENT, AND LIGHT EMITTING DEVICE - A manufacturing method of a wavelength conversion element suppresses the changes of the chromaticities among wavelength conversion elements. The manufacturing method of the wavelength conversion element including a glass substrate and a ceramic layer in which a phosphor is dispersed is disclosed. The manufacturing method includes the step of preparing a mixture containing a ceramic precursor, a solvent, and the phosphor, which mixture has viscosity within a range of from 10 cp to 1000 cp, the step of coating the mixture onto at least one surface of a glass substrate, the step of baking the mixture to form the ceramic layer, and the step of dicing the glass substrate and the ceramic layer after the baking. | 11-17-2011 |
20110278617 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided comprising a substrate, a light source unit disposed on the substrate and a dam unit spaced apart from the light source unit and disposed on the substrate, wherein the dam unit including silicon resin and metal oxide, and the metal oxide is contained in an amount of 5 wt % to 150 wt % based on a total amount of the silicon resin. | 11-17-2011 |
20110278618 | SUBSTRATE FOR MOUNTING LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING DEVICE - To provide a substrate for a light-emitting element, being less susceptible to the loss of light supplied from a light emitting element, having high utilization efficiency of light, being excellent in flatness of a mounting surface on which a light-emitting element is to be mounted, and having a low heat resistance, when used for a light-emitting device. | 11-17-2011 |
20110278619 | QUATERNARY VERTICAL LIGHT EMITTING DIODE WITH DOUBLE SURFACE ROUGHENING AND MANUFACTURING METHOD THEREOF - The present invention discloses a quaternary vertical light emitting diode with double surface roughening and a manufacturing method thereof, where a Bragg reflective layer is formed on a substrate; a first type of epitaxial layer is formed on the Bragg reflective layer; a light emitting layer is formed on the first type of epitaxial layer; a second type of epitaxial layer is formed on the light emitting layer; a first GaP window layer with small circular holes or in a mesh structure is formed on the second type of epitaxial layer; a second GaP window layer with small circular holes or in a mesh structure is formed on the first GaP window layer; a first electrode is formed on the top surface of the second GaP window layer; and a second electrode is formed on the bottom surface of the GaAs substrate. After conventional processes, the invention forms the alternating small circular holes or the mesh structure between the first GaP window layer and the second GaP window layer to change a light path along which light emitting from the light emitting layer reaches the surface of a light emitting diode die so that more of light emits from inside and the light extracting rate of the invention is 20% higher than that of an existing light emitting diode. | 11-17-2011 |
20110278620 | THIN FILM LIGHT EMITTING DIODE - Light emitting LEDs devices comprised of LED chips that emit light at a first wavelength, and a thin film layer over the LED chip that changes the color of the emitted light. For example, a blue LED chip can be used to produce white light. The thin film layer beneficially consists of a florescent material, such as a phosphor, and/or includes tin. The thin film layer is beneficially deposited using chemical vapor deposition. | 11-17-2011 |
20110278621 | RADIATION-EMITTING COMPONENT AND METHOD FOR ITS MANUFACTURE - A radiation-emitting component includes a carrier, a semi-conductor chip arranged on the carrier, wherein the semi-conductor chip includes an active layer to generate electromagnetic radiation and a radiation exit surface, a first and a second contact structure for the electrical contacting of the semi-conductor chip, a first and a second contact layer, wherein the semi-conductor chip is electrically conductively connected to the first contact structure via the first, contact layer and to the second contact structure via the second contact layer, a passivation layer arranged on the semi-conductor chip. | 11-17-2011 |
20110278622 | Glass for scattering layer of organic LED device and organic LED device - A glass to be used in a scattering layer of an organic LED element, and an organic LED element using the scattering layer are provided. The organic LED element of the present invention includes, a transparent substrate, a first electrode provided on the transparent electrode, an organic layer provided on the first electrode, and a second electrode provided on the organic layer, and further includes a scattering layer including, in terms of mol % on the basis of oxides, 15 to 30% of P | 11-17-2011 |
20110278623 | METHOD FOR MANUFACTURING LED MODULE, AND LED MODULE - A method for manufacturing an LED module is provided that includes the steps of mounting an LED chip | 11-17-2011 |
20110278624 | SUBSTRATE FOR AN OPTICAL DEVICE, AN OPTICAL DEVICE PACKAGE COMPRISING THE SAME AND A PRODUCTION METHOD FOR THE SAME - The present invention relates to a substrate for an optical device, to an optical device package comprising the same and to a production method for the same. According to the present invention, the substrate for an optical device, the optical device package comprising the same and the production method for the same may comprise: a metal substrate; a first anodized layer which is formed on the top surface of the metal substrate and insulates the metal substrate; and a first and a second electrode formed insulated from each other on the top of the first anodized layer. | 11-17-2011 |
20110278625 | LIGHT-EMITTING ELEMENT - Disclosed are a light emitting device and a method of manufacturing the same. The light emitting device includes a second electrode layer, a light emitting semiconductor layer including a second conductive semiconductor layer, an active layer, and a first conductive semiconductor layer on the second electrode layer, a reflective member spaced apart from the light emitting semiconductor layer on the second electrode layer, and a first electrode layer on the first conductive semiconductor layer. | 11-17-2011 |
20110278626 | LIGHT EMITTING DEVICE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device package capable of achieving an enhancement in light emission efficiency and a reduction in thermal resistance, and a method for manufacturing the same are disclosed. The method includes forming a mounting hole in a first substrate, forming through holes in a second substrate, forming a metal film in the through holes, forming at least one pair of metal layers on upper and lower surfaces of the second substrate such that the metal layers are electrically connected to the metal film, bonding the first substrate to the second substrate, and mounting at least one light emitting device in the mounting hole such that the light emitting device is electrically connected to the metal layers formed on the upper surface of the second substrate. | 11-17-2011 |
20110278627 | LIGHT EMITTING DEVICE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device package capable of achieving an enhancement in light emission efficiency and a reduction in thermal resistance, and a method for manufacturing the same are disclosed. The method includes forming a mounting hole in a first substrate, forming through holes in a second substrate, forming a metal film in the through holes, forming at least one pair of metal layers on upper and lower surfaces of the second substrate such that the metal layers are electrically connected to the metal film, bonding the first substrate to the second substrate, and mounting at least one light emitting device in the mounting hole such that the light emitting device is electrically connected to the metal layers formed on the upper surface of the second substrate. | 11-17-2011 |
20110278628 | GaN COMPOUND SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD OF MANUFACTURING THE SAME - The present invention relates to a gallium nitride (GaN) compound semiconductor light emitting element (LED) and a method of manufacturing the same. The present invention provides a vertical GaN LED capable of improving the characteristics of a horizontal LED by means of a metallic protective film layer and a metallic support layer. According to the present invention, a thick metallic protective film layer with a thickness of at least 10 microns is formed on the lateral and/or bottom sides of the vertical GaN LED to protect the element against external impact and to easily separate the chip. Further, a metallic substrate is used instead of a sapphire substrate to efficiently release the generated heat to the outside when the element is operated, so that the LED can be suitable for a high-power application and an element having improved optical output characteristics can also be manufactured. A metallic support layer is formed to protect the element from being distorted or damaged due to impact. Furthermore, a P-type electrode is partially formed on a P-GaN layer in a mesh form to thereby maximize the emission of photons generated in the active layer toward the N-GaN layer. | 11-17-2011 |
20110284892 | LIGHT EMITTING DIODE APPARATUS AND METHOD FOR ENHANCING LUMINOUS EFFICIENCY THEREOF - A light emitting diode apparatus with enhanced luminous efficiency is disclosed in the present invention. The light emitting diode apparatus includes a light emitting diode chip for providing a first light beam; a substrate, having a cross-section of a trapezoid, for supporting the light emitting diode chip, which is transparent to the first light beam; and an encapsulating body, containing a phosphor and encapsulating the light emitting diode chip and the substrate, for fixing the light emitting diode chip and the substrate and providing a second light beam when the phosphor is excited by the first light beam. Due to the shape of the substrate, contact area of the substrate with the phosphor is enlarged. Luminous efficiency is enhanced as well. | 11-24-2011 |
20110284893 | Optoelectronic Semiconductor Chip - A description is given of an optoelectronic semiconductor chip ( | 11-24-2011 |
20110284894 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING DEVICE SYSTEM - A light emitting device includes a substrate, a light emitting structure including a first conductive semiconductor layer having an exposed region, an active layer, and a second conductive semiconductor layer on the substrate, a first electrode on the exposed region of the first conductive semiconductor layer, and a second electrode on the second conductive semiconductor layer, wherein a side of the light emitting structure includes a first sloped side sloped from a reference plane, the first sloped side includes a concave-convex pattern having a concave-convex structure in which a first direction length is greater than a second direction length, the reference plane is a plane perpendicular to a direction in which the substrate faces the light emitting structure, and the first direction is a sloped direction of the first sloped side and the second direction is a lateral direction of the first sloped side. | 11-24-2011 |
20110284895 | LIGHT-EMITTING SEMICONDUCTOR DEVICE - The present invention provides a light-emitting semiconductor device, which comprises a substrate having a surface formed with a plane and a plurality of protrusions out of the plane. The plane is on a crystalline orientation. The protrusion is provided with an outer surface consisting of a plurality of sidewall surfaces. The sidewall surfaces are substantially not on the crystalline orientation. The protrusion is formed with an outline edge extended from the bottom to the top of the protrusion from a side view. The outline edge comprises at least one turning point. A first conductive type semiconductor layer is above the surface of the substrate, an active layer is above the first conductive type semiconductor layer, and a second conductive type semiconductor layer is above the active layer. | 11-24-2011 |
20110284896 | LIGHT-EMITTING DEVICE AND LIGHTING SYSTEM - Disclosed is a light-emitting device including a support member, a reflective layer on the support member, a light-transmitting electrode layer on the reflective layer, a light-emitting structure on the light-transmitting electrode layer, the light-emitting structure being provided with a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer, and a luminescence layer interposed between the reflective layer and the light-transmitting electrode layer. Accordingly, the luminescence layer is formed in the chip formation process to minimize non-uniform application of a phosphor composed of an epoxy resin and simplify fabrication of the light-emitting device. | 11-24-2011 |
20110284897 | SEMICONDUCTOR LIGHT EMITTING DEVICE - The device includes a first ceramic layer; a second ceramic layer on the first ceramic layer and having a light emitting element mounting area; a reflective layer so formed on a surface of the second ceramic layer that the reflective layer covers at least the mounting area; a protective layer which covers the reflective layer; a semiconductor light emitting element mounted on the protective layer positioned above the element mounting area; and at least one heat dissipation via passing through the first ceramic layer. The heat dissipation via is disposed in a position that does not overlap with the element mounting area in a direction in which the ceramic layers are stacked. | 11-24-2011 |
20110284898 | ELECTRO-OPTIC DEVICE, METHOD FOR MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - An electro-optic device includes a light-emitting element disposed above a substrate, an optically transparent sealing film covering the light-emitting element, and a color filter disposed on the sealing film so as to adjoin the sealing film. The sealing film includes a thin portion overlapping at least part of the light-emitting element, and a thick portion surrounding the thin portion. The thin portion and the thick portion form a recess in the sealing film. The color filter fills the recess. | 11-24-2011 |
20110284899 | Organic Light Emitting Device Lighting Panel - A first device that may include one or more organic light emitting devices. At least 65 percent of the photons emitted by the organic light emitting devices are emitted from an organic phosphorescent emitting material. An outcoupling enhancer is optically coupled to each organic light emitting device. In one embodiment, the light panel is not attached to a heat management structure. In one embodiment, the light panel is capable of exhibiting less than a 10 degree C. rise in junction temperature when operated at a luminous emittance of 9,000 lm/m | 11-24-2011 |
20110284900 | LIGHT EMITTING DIODE PACKAGE - Exemplary embodiments of the present invention provide light emitting diode (LED) packages which include a housing configured to surround uplift portions formed on lead frames electrically connected to an LED chip. The LED package includes an LED chip, a first lead frame and a second lead frame electrically connected to the LED chip, the first lead frame and the second lead frame respectively including a first uplift portion and a second uplift portion on regions thereof facing each other, and a housing supporting the first lead frame and the second lead frame, a first side of the housing exposed to the outside. The first lead frame and the second lead frame each include a first side parallel to the first side of the housing and a second side opposite to the first side. | 11-24-2011 |
20110284901 | LIGHT EMITTING DEVICE, METHOD OF FABRICATING THE SAME AND LIGHT EMITTING DEVICE PACKAGE - Disclosed herein is a light emitting device including a first nitride semiconductor and a second nitride semiconductor, each of which includes a first conductivity-type semiconductor layer, an active layer and a second conductivity-type semiconductor layer, and a connection layer formed between the second conductivity-type semiconductor layer of the second nitride semiconductor and the first conductivity-type semiconductor layer of the first nitride semiconductor, wherein the first nitride semiconductor and the second nitride semiconductor are connected by the connection layer, and the light emitting device further comprises electrodes formed on at least a part of the second conductivity-type semiconductor layer of the first nitride semiconductor, at least a part of the first conductivity-type semiconductor layer of the second nitride semiconductor, and at least a part of the second conductivity-type semiconductor layer of the second nitride semiconductor. The light emitting device may be driven and emit light using AC power. | 11-24-2011 |
20110284902 | LIGHT EMISSION MODULE, LIGHT EMISSION MODULE MANUFACTURING METHOD, AND LAMP UNIT - In a light emission module ( | 11-24-2011 |
20110284903 | Semiconductor Light Emitting Device Packages and Methods - A submount for a light emitting device package includes a substrate. A first bond pad and a second bond pad are on a first surface of the substrate. The first bond pad includes a die attach region offset toward a first end of the substrate and configured to receive a light emitting diode thereon. The second bond pad includes a bonding region between the first bond pad and the second end of the substrate and a second bond pad extension that extends from the bonding region along a side of the substrate toward a corner of the substrate at the first end of the substrate. First and second solder pads are a the second surface of the substrate. The first solder pad is adjacent the first end of the substrate and contacts the second bond pad. The second solder pad is adjacent the second end of the substrate and contacts the first bond pad. Related LED packages and methods of forming LED packages are disclosed. | 11-24-2011 |
20110284904 | THIN-LIGHT EMITTING DIODE LAMP, AND METHOD OF MANUFACTURING THE SAME - A thin-type light emitting diode lamp includes a blue light emitting diode chip ( | 11-24-2011 |
20110284905 | NITRIDE-BASED SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A nitride-based semiconductor light-emitting device | 11-24-2011 |
20110284906 | VERTICAL LIGHT EMITTING DIODE DEVICE STRUCTURE AND METHOD OF FABRICATING THE SAME - A method of fabricating a compound semiconductor vertical LED is provided. A first growth substrate capable of supporting compound semiconductor epitaxial growth thereon is provided. One or more epitaxial layers of compound semiconductor material such as GaN or InGaN is formed on the first growth substrate to create a portion of a vertical light emitting diode. Plural trenches are formed in the compound semiconductor material. Passivating material is deposited in one or more trenches. A hard material is at least partially deposited in the trenches and optionally on portions of the compound semiconductor material. The hard material has a hardness greater than the hardness of the compound semiconductor. A metal layer is deposited over the compound semiconductor material followed by metal planarization. A new host substrate is bonded to the metal layer and the first growth substrate is removed. Dicing is used to form individual LED devices. | 11-24-2011 |
20110284907 | TRANSLUCENT SUBSTRATE, PROCESS FOR PRODUCING THE SAME, ORGANIC LED ELEMENT AND PROCESS FOR PRODUCING THE SAME - The present invention provides an organic LED element in which the extraction efficiency is improved up to 80% of emitted light. Further, the invention relates to an electrode-attached translucent substrate having a translucent substrate, a scattering layer formed over the glass substrate and containing a base material having a first refractive index for at least one wavelength of wavelengths of emitted light of an organic LED element and a plurality of scattering materials positioned in the base material and having a second refractive index different from that of the base material, and a translucent electrode formed over the scattering layer and having a third refractive index equal to or lower than the first refractive index, in which distribution of the scattering materials in the scattering layer decreases from the inside of the scattering layer toward the translucent electrode. | 11-24-2011 |
20110284908 | SEMICONDUCTOR LIGHT EMITTING DEVICE, SEMICONDUCTOR LIGHT EMITTING APPARATUS, AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a stacked structural body, a first electrode, a second electrode, a third electrode, and a fourth electrode. The stacked structural body includes a first semiconductor layer, a second semiconductor layer, and a light emitting layer provided between the first semiconductor layer and the second semiconductor layer. The first electrode is electrically connected to the first semiconductor layer. The second electrode forms an ohmic contact with the second semiconductor layer. The second electrode is translucent to light emitted from the light emitting layer. The third electrode penetrates through the second electrode and is electrically connected to the second electrode to form Shottky contact with the second semiconductor layer. The third electrode is disposed between the fourth electrode and the second semiconductor layer. A shape of the fourth electrode as viewed along a stacking direction of the first semiconductor layer, the light emitting layer, and the second semiconductor layer is same as a shape of the third electrode as viewed along the stacking direction. | 11-24-2011 |
20110291132 | LIGHT-EMITING DEVICE WITH IMPROVED COLOR RENDERING INDEX - A light-emitting device (LED) is disclosed. The LED includes a carrier substrate having a blue light emitter thereon. A layer containing a fluorescent material is on the blue light emitter. An encapsulant is disposed around the blue light emitter. Pigments are suspended between an outer surface of the encapsulant and the blue light emitter. | 12-01-2011 |
20110291133 | LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a light emitting layer, a first conductivity type layer, a first electrode, a second conductivity type layer, a current blocking layer and a second electrode. The first conductivity type layer is provided on the light emitting layer. The first electrode is provided on the first conductivity type layer. The second conductivity type layer is provided under the light emitting layer. The current blocking layer is provided in contact with a partial region of a surface of the second conductivity type layer, and has an outer edge protruding from an outer edge of the first electrode. The second electrode is in contact with a surface of the current blocking layer on opposite side from the second conductivity type layer and a region of the surface of the second conductivity type layer not in contact with the current blocking layer. | 12-01-2011 |
20110291134 | LIGHT EMITTING DEVICE, METHOD FOR FABRICATING THE LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING UNIT - Provided are a light emitting device, a method for fabricating the light emitting device, a light emitting device package, and a lighting unit. The light emitting device includes a support member having a stepped portion on a side surface, a light emitting structure on the support member, the light emitting structure including a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer to generate light, and an electrode supplying a power to the first conductive type semiconductor layer. The support member has a first surface on which the light emitting structure is disposed and a second surface having an area greater than that of the first surface. | 12-01-2011 |
20110291135 | LIGHT EMITTING DIODE PACKAGE - A light emitting diode package includes a silicon substrate having a first surface and a second surface opposite to the first surface, wherein the first surface includes a cavity, a light emitting diode chip fixed on a bottom of the cavity, and a glass lens secured to the silicon substrate and covering the light emitting diode chip. | 12-01-2011 |
20110291136 | LIGHT-EMITTING ELEMENT AND FABRICATION METHOD THEREOF - A light-emitting element includes a substrate, a light-emitting module and at least two electrodes. The light-emitting module is formed on the substrate. The at least two electrodes are formed on the light-emitting module. Exterior surfaces of the light-emitting module are separated into a first part and a second part. The first part is defined between the at least two electrodes and the light-emitting module. The second part includes exterior surfaces not contacting the at least two electrodes. The first part is smooth. At least a part of the second part is rough. | 12-01-2011 |
20110291137 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided. The light emitting device package may include a housing including a cavity, a light emitting device disposed within the cavity, a filler filled in the cavity in order to seal the light emitting device, a fluorescent layer disposed on the filler, and an optical filter being disposed within the filler and transmitting light with a particular wavelength. | 12-01-2011 |
20110291138 | LIGHT-EMITTING ELEMENT PACKAGE AND FABRICATION METHOD THEREOF - A light-emitting element package includes a package member for encapsulating a light-emitting element. A plurality of photonic crystal patterns is formed on the package member. A distribution density of the photonic crystal patterns corresponds to light distribution of the light-emitting element. Each photonic crystal pattern consists of a plurality of photonic crystals. | 12-01-2011 |
20110291139 | CHIP PACKAGE AND METHOD FOR FORMING THE SAME - An embodiment of the invention provides a chip package which includes: a substrate having a first surface and a second surface; an optical device disposed on the first surface; a conducting pad disposed on the first surface; a first alignment mark formed on the first surface; and a light shielding layer disposed on the second surface and having a second alignment mark, wherein the second alignment mark corresponds to the first alignment mark. | 12-01-2011 |
20110291140 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Provided is a light emitting device. The light emitting device includes a light emitting structure layer including a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer, a gallium barrier layer on the light emitting structure layer, and a metal electrode layer on the gallium barrier layer. | 12-01-2011 |
20110291141 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT - The present invention is directed to the provision of a semiconductor light-emitting element that has an electrode formed with a desired thickness using a plated metal layer. A semiconductor light-emitting element for flip-chip mounting on a circuit substrate includes a semiconductor layer including a light-emitting layer, an N-side bump electrode for connecting the semiconductor layer to the circuit substrate, and a P-type bump electrode for connecting the semiconductor layer to the circuit substrate, wherein the N-side bump electrode and the P-type bump electrode each include an under-bump metal layer and a plated metal layer, the under-bump metal layer includes a high-reflectivity metal layer disposed on a side that faces the semiconductor layer and a metal layer disposed on a side opposite from the semiconductor layer, and the plated metal layer has a thickness not less than 3 μm but not greater than 30 μm. | 12-01-2011 |
20110291142 | OXYNITRIDE PHOSPHOR, METHOD FOR PREPARING THE SAME, AND LIGHT-EMITTING DEVICE - The present invention relates to an oxynitride phosphor, a method for preparing the same, and a light-emitting device. More specifically, the present invention provides the oxynitride phosphor including crystals represented by the following Chemical Formula, a method for preparing the same, and a light-emitting device including the oxynitride phosphor. The invention includes the crystals' represented by the following Chemical Formula to obtain high light-emitting efficiency. [Chemical Formula] (A | 12-01-2011 |
20110291143 | LIGHT-EMITTING-DEVICE PACKAGE AND A METHOD FOR PRODUCING THE SAME - A light emitting device package includes: a substrate with a mounting surface; a light emitting device bonded to the mounting surface of the substrate; a light reflecting resin part containing a high reflective material, filled on the substrate around the light emitting device so as to extend in a space between the light emitting device and the substrate; and a packing resin part hermetically sealed to cover the light emitting device and the light reflection resin part. | 12-01-2011 |
20110291144 | OPTICAL SEMICONDUCTOR DEVICE - A semiconductor optical module M is disclosed, where it includes a stem | 12-01-2011 |
20110291145 | OPTOELECTRONIC ELEMENT AND MANUFACTURING METHOD THEREOF - An optoelectronic element includes an optoelectronic unit having a first top surface, a first bottom surface opposite to the first top surface, and a lateral surface between the first top surface and the first bottom surface; a first transparent structure covering the lateral surface and exposing the first top surface of the optoelectronic unit; a first insulating layer on the first top surface and the first transparent structure; a second insulating layer on the first insulating layer; a first opening through the first insulating layer and the second insulating layer; and a first conductive layer on the second insulating layer and electrically connecting to the optoelectronic unit via the first opening. | 12-01-2011 |
20110297980 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes a light emitting chip and a fluorescent material layer. The light emitting chip includes a semiconductor layer, a first electrode, a second electrode, an insulating layer, a first interconnect layer, a second interconnect layer, a first metal pillar, a second metal pillar, and a resin layer. The semiconductor layer includes a light emitting layer, a first major surface, and a second major surface formed on a side opposite to the first major surface. The fluorescent material layer is provided on the first major surface and has a larger planer size than the light emitting chip. | 12-08-2011 |
20110297981 | FLUORESCENT STRUCTURE AND METHOD FOR FORMING THE FLUORESCENT STRUCTURE AND LED PACKAGE USING THE SAME - A fluorescent structure for a light-emitting package includes a first fluorescent layer and a second fluorescent layer covering the first fluorescent layer. The first fluorescent layer includes first fluorescent strips, and defines first transparent regions between the first fluorescent strips. The second fluorescent layer includes second fluorescent strips, and defines second transparent regions between the second fluorescent strips. A method for forming the fluorescent structure and a light-emitting diode package using the fluorescent structure are also provided. | 12-08-2011 |
20110297982 | Optoelectronic Semiconductor Chip - A semiconductor chip is specified, comprising an active layer provided for emitting an electromagnetic radiation, and a two-dimensional arrangement of structural units, which is disposed downstream of the active layer in a main emission direction of the semiconductor chip. The structural units are arranged in an arbitrary statistical distribution. Such an arrangement of structural units makes it possible to realize a semiconductor chip having a directional emission characteristic. | 12-08-2011 |
20110297983 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes a light emitting unit, first and second conductive members, an insulating layer, a sealing member, and an optical layer. The light emitting unit includes a semiconductor stacked body and first and second electrodes. The semiconductor stacked body includes first and second semiconductor layers and a light emitting layer, and has a major surface on a second semiconductor layer side. The first and second electrodes are connected to the first and second semiconductor layers on the major surface side, respectively. The first conductive member is connected to the first electrode and includes a first columnar portion covering a portion of the second semiconductor. The insulating layer is provided between the first columnar portion and the portion of the second semiconductor. The sealing member covers side surfaces of the conductive members. The optical layer is provided on the other major surface. | 12-08-2011 |
20110297984 | PHOTOELECTRICAL ELEMENT HAVING A THERMAL-ELECTRICAL STRUCTURE - A photoelectrical element having a thermal-electrical structure including: a photoelectrical transforming layer, two semiconductor layers formed on the two opposite sides of the photoelectrical transforming layer respectively, an electrically conductive structure formed on at least one of the semiconductor layer, and a thermal-electrical structure formed in the electrically conductive structure, wherein the thermal-electrical structure performs the thermal-electrical transformation to promote current spreading effect, or proceed electrical-thermal transformation to dissipate the heat from the photoelectrical transforming layer. | 12-08-2011 |
20110297985 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a light emitting section, a light transmitting section, a wavelength conversion section, a first conductive section, a second conductive section and a sealing section. The light emitting section includes a first major surface, a second major surface opposite from the first major surface, and a first electrode section and a second electrode section formed on the second major surface. The light transmitting section is provided on a side of the first major surface. The wavelength conversion section is provided over the light transmitting section. The wavelength conversion section is formed from a resin mixed with a phosphor, and hardness of the cured resin is set to exceed 10 in Shore D hardness. | 12-08-2011 |
20110297986 | LIGHT SOURCE APPARATUS USING SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a light source apparatus includes a semiconductor light emitting device, a mounting substrate, first and second connection members. The semiconductor light emitting device includes a light emitting unit, first and second conductive members, a sealing member, and an optical layer. The mounting substrate includes a base body, first and second substrate electrodes. The connection member electrically connects the conductive member to the substrate electrode. The conductive member is electrically connected to the light emitting unit electrode and includes first and second columnar portions provided on the second major surface. The sealing member covers side surfaces of the first and the second conductive members. The optical layer is provided on the first major surface of the semiconductor stacked body and includes a wavelength conversion unit. A surface area of the second substrate electrode is not less than 100 times a cross-sectional area of the second columnar portion. | 12-08-2011 |
20110297987 | OPTICAL SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, an optical semiconductor device includes a light emitting layer, a transparent layer, a first metal post, a second metal post and a sealing layer. The light emitting layer includes a first and a second major surface, a first and a second electrode. The second major surface is a surface opposite to the first major surface, and the first electrode and second electrodes are formed on the second major surface. The transparent layer is provided on the first major surface. The first metal post is provided on the first electrode. The second metal post is provided on the second electrode. The sealing layer is provided on the second major surface. The sealing layer covers a side surface of the light emitting layer and seals the first and second metal posts while leaving end portions of the first and second metal posts exposed. | 12-08-2011 |
20110297988 | TRANSPARENT SUBSTRATE FOR PHOTONIC DEVICES - Transparent substrate ( | 12-08-2011 |
20110297989 | LIGHT EMITTING DEVICE - The light emitting device comprises a mounting substrate and an LED chip which comprises an n-type nitride semiconductor layer, a nitride light emission layer on the n-type nitride semiconductor layer, p-type nitride semiconductor layer on the nitride light emission layer, an anode electrode opposite of the nitride light emission layer from the p-type nitride semiconductor layer, and a cathode electrode on the n-type nitride semiconductor layer. The mounting substrate has a patterned conductor which is connected to the cathode electrode through a bump and also connected to the anode electrode through a bump. The LED chip further comprises one or more dielectric layer between the p-type nitride semiconductor layer and the anode electrode to have an arrangement which resembles an island. The p-type nitride semiconductor layer has a first region which is overlapped with the bump. The dielectric layer is not formed within the first region. | 12-08-2011 |
20110297990 | LIGHT EMITTING DEVICE AND DISPLAY - A light emitting device comprises: an LED chip having a quantum well structure and a light emitting layer made of a gallium nitride compound semiconductor; a first transparent material covering the LED chip; a second transparent material for protecting the LED chip and the first transparent material; and a phosphor for absorbing a part of the light from the LED chip and emitting a light having a wavelength different from the light from the LED chip; wherein the phosphor is included in second transparent material, and the light from the LED chip and the light from said phosphor are mixed to make a white light. | 12-08-2011 |
20110297991 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A wiring electrode is provided on a mount substrate. A light emitting element is provided on the wiring electrode to connect electrically with the wiring electrode and is configured to emit a blue to ultraviolet light. A reflective film is provided above the light emitting element to cover the light emitting element so that a space is interposed between the reflective film and the light emitting element. The reflective film is capable of transmitting the blue to ultraviolet light. A fluorescent material layer is provided above the light emitting element to cover the light emitting element so that the reflective film is located between the fluorescent material layer and the light emitting element. A light from the fluorescent material layer is reflected by the reflective film. | 12-08-2011 |
20110297992 | SEMICONDUCTOR LIGHT EMITTING DEVICE - There is provided a semiconductor light emitting device that minimizes reflection or absorption of emitted light, maximizes luminous efficiency with the maximum light emitting area, enables uniform current spreading with a small area electrode, and enables mass production with high reliability and high quality. A semiconductor light emitting device according to an aspect of the invention includes first and second conductivity type semiconductor layers, an active layer formed therebetween, first electrode layer, and a second electrode part electrically connecting the semiconductor layers. The second electrode part includes an electrode pad unit, an electrode extending unit, and an electrode connecting unit connecting the electrode pad unit and electrode extending unit. | 12-08-2011 |
20110297993 | LIGHT EMITTING DEVICE HAVING LIGHT EXTRACTION STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A nitride-based light emitting device capable of achieving an enhancement in emission efficiency and an enhancement in reliability is disclosed. The light emitting device includes a semiconductor layer, and a light extracting layer arranged on the semiconductor layer and made of a material having a refractive index equal to or higher than a reflective index of the semiconductor layer. | 12-08-2011 |
20110303934 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device. The light emitting device comprises a reflective layer comprising an alloy of at least one of an Ag-based alloy, an Al-based alloy, Ag, Al, Rh, or Sn, and at least one of Pd, Cu, C, Sn, In or Cr, and a light emitting semiconductor layer comprising a second conductive semiconductor layer, an active layer and a first conductive semiconductor layer on the reflective layer. | 12-15-2011 |
20110303935 | LIGHT SOURCE MODULE WITH LUMINESCENCE IN LENS - The disclosure relates to a light source module comprising a substrate having circuits, at least one light emitting diode (LED) die positioned on the substrate, and at least one luminescence containing lens over the LED die with a light-converting portion having an inverted truncated pyramid-shaped structure with a spherical top. The light-converting portion scatters light generated by the LED die and converts the light into a different color. The light-converting portion has a small bottom end conformably located on the LED die and a large top end which is a portion of an outer contour of the lens. | 12-15-2011 |
20110303936 | LIGHT EMITTING DEVICE PACKAGE STRUCTURE AND FABRICATING METHOD THEREOF - A light emitting device package structure is described. The light emitting device package structure includes a carrier substrate with a top surface and a bottom surface, having at least two through holes. A dielectric mirror structure is formed on the top surface of the carrier substrate, wherein the dielectric mirror structure includes laminating at least five dielectric layer groups, wherein each of the dielectric layer group includes an upper first dielectric layer having a first reflective index and an lower second dielectric layer having a second reflective index smaller than the first reflective index. A first conductive trace and a second conductive trace isolated from each other are formed on the dielectric mirror structure, respectively extending from the top surface to the bottom surface of the carrier substrate along sides of the different through holes. A light emitting device chip is mounted on the top surface of the carrier substrate. | 12-15-2011 |
20110303937 | LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - A light emitting diode includes a heat conductive substrate and a light emitting structure formed on the substrate. A transparent conductive layer is formed on the light emitting structure and an electrode pad is deposited on the transparent conductive layer. The light emitting diode further comprises a metal layer and a buffer layer set between the light emitting structure and the transparent conductive layer. The metal layer is set on the central portion of the top surface of the light emitting structure away from the substrate and forms a Schottky connection with the light emitting structure. The buffer layer surrounds the metal layer and forms an ohmic connection with the light emitting structure. | 12-15-2011 |
20110303938 | Group III nitride semiconductor light-emitting element - A group III nitride semiconductor light-emitting element having improved light extraction efficiency is provided. The light-emitting element has a plurality of dot-like grooves formed on a surface at the side joining to a p-electrode of a p-type layer. The groove has a depth reaching an n-type layer. Side surface of the groove is slanted such that a cross-section in an element surface direction is decreased toward the n-type layer from the p-type layer. Fine irregularities are formed on the surface at the side joining to an n-electrode of the n-type layer, except for a region on which the n-electrode is formed, and a translucent insulating film having a refractive index of from 1.5 to 2.3 is formed on the fine irregularities. Light extraction efficiency is improved by reflection of light to the n-type layer side by the groove and prevention of reflection to the n-type layer side by the insulating film. | 12-15-2011 |
20110303939 | WIRE-PIERCING LIGHT-EMITTING DIODE LAMPS - A wire-piercing light-emitting diode (LED) a lead frame having a first lead and a second lead. The first lead has a first transition portion and a first bottom portion with a first cutting member, and the second lead having a second transition portion and a second bottom portion with a second cutting member. | 12-15-2011 |
20110303940 | LIGHT EMITTING DEVICE PACKAGE USING QUANTUM DOT, ILLUMINATION APPARATUS AND DISPLAY APPARATUS - There is provided a light emitting device package using a quantum dot, an illumination apparatus and a display apparatus. The light emitting device package includes a light emitting device; a sealing part disposed in a path of light emitted from the light emitting device and having a lens shape; and a wavelength conversion part sealed within the sealing part and including a quantum dot. The light emitting device package uses the quantum dot as the wavelength conversion part to thereby achieve superior color reproducibility and light emission efficiency, and facilitates the control of color coordinates by adjusting the particle size and concentration of the quantum dot. | 12-15-2011 |
20110303941 | LIGHT EMITTING DEVICE AND LIGHTING SYSTEM - Provided are a light emitting device and a lighting system having the same. The light emitting device includes: a plurality of metal layers spaced to each other; a first insulation film disposed on an outer part of a top surface area of the plurality of metal layers and having an open area where a portion of top side of the plurality of metal layers is opened; a light emitting chip disposed on at least one of the plurality of metal layers and electrically connected to other metal layers; and a resin layer on the plurality of metal layers and the light emitting chip. | 12-15-2011 |
20110303942 | LED Structure - A light emitting device, a wafer for making the same, and method for fabricating the same are disclosed. The device and wafer include a first layer of a first conductivity type, an active layer, and a layer of a second conductivity type. The active layer overlies the first layer, the active layer generating light. The second layer overlies the active layer, the second layer having a first surface in contact adjacent to the active layer and a second surface having a surface that includes features that scatter light striking the second surface. A layer of transparent electrically conducing material is adjacent to the second surface and covered by a first layer of a dielectric material that is transparent to the light generated by the active layer. A mirror layer that has a reflectivity greater than 90 percent is deposited on the first layer of dielectric material. | 12-15-2011 |
20110309391 | LIGHTING EMITTING DEVICE PACKAGE AND METHOD OF FABRICATING THE SAME - Provided is a light emitting device package and a method of fabricating the same. The light emitting device package comprises a package body having a cavity, a seed layer on a surface of the package body, a conductive layer on the seed layer, a mirror layer on the conductive layer, and a light emitting device in the cavity. | 12-22-2011 |
20110309392 | OPTOELECTRIC COMPONENT - An optoelectronic component ( | 12-22-2011 |
20110309393 | PACKAGED LEDS WITH PHOSPHOR FILMS, AND ASSOCIATED SYSTEMS AND METHODS - Packaged LEDs with phosphor films, and associated systems and methods are disclosed. A system in accordance with a particular embodiment of the disclosure includes a support member having a support member bond site, an LED carried by the support member and having an LED bond site, and a wire bond electrically connected between the support member bond site and the LED bond site. The system can further include a phosphor film carried by the LED and the support member, the phosphor film being positioned to receive light from the LED at a first wavelength and emit light at a second wavelength different than the first. The phosphor film can be positioned in direct contact with the wire bond at the LED bond site. | 12-22-2011 |
20110309394 | LED AND METHOD OF MANUFACTURING THE SAME - An exemplary LED includes an epitaxial layer, an electrically conductive base, a transparent, electrically-conducting layer and a metallic pad. The epitaxial layer includes an N-type layer, a P-type layer and a light-emitting quantum-well layer between the N-type layer and P-type layer. The electrically conductive base is coupled to the P-type layer. The transparent, electrically-conducting layer is coupled to the N-type layer. The metallic pad is disposed on the transparent, electrically-conducting layer. | 12-22-2011 |
20110309395 | COLOR VARIABLE LIGHT EMITTING DEVICE - The present invention relates to a color variable light emitting device ( | 12-22-2011 |
20110309396 | Led Module having a Platform with a Central Recession - An LED module comprises a platform having a recession, wherein the recession presents a center section with a bottom and an enlarged section surrounding the center portion. An LED chip is arranged on the bottom of the center section. A bond wire leads from the LED chip to the bottom of the enlarged section in order to contact a first electrode of the LED chip. In one embodiment the bond wire is electrically connected to the back side of the platform by means of a through contact leading from the bottom of the enlarged section through the platform to the backside of the platform. In another embodiment a first conducting path leads from a first electrode of the LED chip across the side wall of the recession to the surface of the platform and from there across a lateral wall of the platform to the back side of the platform. A second conducting path, electrically isolated from the first conducting path, leads from a second electrode of the LED chip across the side wall of the recession to the to surface of the platform and from there across a lateral wall of the platform to the back side of the platform. | 12-22-2011 |
20110309397 | PIXEL STRUCTURE AND MANUFACTURING METHOD THEREOF - A pixel structure including a substrate, a color filter layer, a conductive light-shielding layer, a buffer layer, a scan line, a data line, an active device, and a pixel electrode is provided. The substrate has a pixel region. The color filter layer is disposed corresponding to the pixel region. The conductive light-shielding layer is disposed corresponding to the periphery of the pixel region. The buffer layer covers the conductive light-shielding layer and color filter layer. The scan line and the data line are disposed on the buffer layer. The active device is disposed on the buffer layer and electrically connected to the scan line and data line. The pixel electrode is disposed on the buffer layer and electrically connected to the active device, wherein an overlapping area between the pixel electrode and the conductive light-shielding layer constitutes a storage capacitor. A method for manufacturing the pixel structure is also provided. | 12-22-2011 |
20110309398 | COMPOSITE FILM AND SEMICONDUCTOR LIGHT EMITTING DEVICE USING THE SAME - The present invention relates to a composite film including a wavelength conversion layer and a diffusive reflection resin layer in a laminated state and being used in a semiconductor light emitting device, in which the wavelength conversion layer contains a phosphor material which absorbs a part or all of excitation light and is excited to emit visible light in a wavelength region longer than a wavelength of the excitation light, the diffusive reflection resin layer is selectively formed with patterning on one surface of the wavelength conversion layer, and a region on the one surface of the wavelength conversion layer where the diffusive reflection resin layer is not formed with patterning is a path of the excitation light which excites the phosphor material in the wavelength conversion layer. | 12-22-2011 |
20110309399 | FLUORESCENT SUBSTANCE, METHOD OF MANUFACTURING THE FLUORESCENT SUBSTANCE, AND LIGHT EMITTING DEVICE USING THE FLUORESCENT SUBSTANCE - A fluorescent substance is provided having excellent temperature properties and capable of being excited by light in the region from near ultraviolet to short-wavelength visible light to emit light of yellow to red color. A process for producing the fluorescent substance, and a light emitting device using the fluorescent substance is also provided. The fluorescent substance includes M which is at least one group II element selected from Ca, Sr, and Ba, and Al, Si, O, and N, and activated with Eu. The fluorescent substance has an X-ray diffraction pattern using CuKα radiation, in which the intensity of the diffraction peak in the Bragg angle range of 17.9° to 18.5° is taken as 100%, the relative intensity of the diffraction peak is 150% to 310% in a Bragg angle range of 24.5° to 25.1°, and is 320% to 550% in a Bragg angle range of 34.8° to 35.4°. | 12-22-2011 |
20110309400 | NITRIDE SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE DEVICE - A nitride semiconductor device includes a first nitride semiconductor layer having a C-plane as a growth surface, and unevenness in an upper surface; and a second nitride semiconductor layer formed on the first nitride semiconductor layer to be in contact with the unevenness, and having p-type conductivity. The second nitride semiconductor layer located directly on a sidewall of the unevenness has a p-type carrier concentration of 1×10 | 12-22-2011 |
20110316021 | EPITAXIAL GROWTH METHOD AND DEVICES - Epitaxial growth methods and devices are described that include a textured surface on a substrate. Geometry of the textured surface provides a reduced lattice mismatch between an epitaxial material and the substrate. Devices formed by the methods described exhibit better interfacial adhesion and lower defect density than devices formed without texture. Silicon substrates are shown with gallium nitride epitaxial growth and devices such as LEDs are formed within the gallium nitride. | 12-29-2011 |
20110316022 | LED PACKAGE WITH EFFICIENT, ISOLATED THERMAL PATH - Packages for containing one or more light emitting devices, such as light emitting diodes (LEDs), are disclosed with an efficient, isolated thermal path. In one embodiment, LED package can include a thermal element and at least one electrical element embedded within a body. The thermal element and electrical element can have the same and/or substantially the same thickness and can extend directly from a bottom surface of the LED package such that they are substantially flush with or extend beyond the bottom surface of the LED package. The thermal and electrical element have exposed portions which can be substantially flush with lateral sides of the body such that the thermal and electrical element do not have a significant portion extending beyond an outermost edge of the lateral sides of the body. | 12-29-2011 |
20110316023 | Light-Emitting Device Having A Ramp - A light-emitting device includes a light-emitting stacked layer having an active layer, and a composite substrate located under the light-emitting stacked layer. The composite substrate includes a supportive substrate having a top surface and a bottom surface non-parallel to the active layer; a metal substrate located under the supportive substrate; and a reflective layer located between the supportive substrate and the metal substrate. | 12-29-2011 |
20110316024 | LED PACKAGE - An LED package includes a transparent substrate, an LED die, and an encapsulating layer. The transparent substrate has a first surface defining a recess therein, a second surface opposite to the first surface, and a lateral surface interconnecting the first and second surfaces. The LED die is arranged on the bottom of the recess. The encapsulating layer is in the recess and covers the LED die. The LED package further includes a metal layer formed on the second surface and the lateral surface of the substrate. A pair of electrodes is located at the bottom of the recess and extends through the metal layer. An insulated material is filled between the transparent substrate and the electrodes. Light emitted from the LED die is transmitted through the transparent substrate and reflected by the metal layer. | 12-29-2011 |
20110316025 | Light emitting device - A light emitting device includes a light emitting element, a first phosphor which emits a light by being excited by a light emitted from the light emitting element and a second phosphor which emits a light by being excited by the light emitted from the light emitting element and/or the light emitted from the first phosphor. The light emitted from the light emitting element, the light emitted from the first phosphor and the light emitted from the second phosphor are mixed to make an inclination angle of a line, on a chromaticity diagram, connecting a chromaticity coordinate of the light emitted from the first phosphor and a chromaticity coordinate of the light emitted from the light emitting element equal to an inclination angle of an isotemperature line of light of a predetermined color temperature. | 12-29-2011 |
20110316026 | LIGHT EMITTING DIODE - An exemplary embodiment of the present invention relates to a light emitting diode (LED) including a substrate, a first nitride semiconductor layer arranged on the substrate, an active layer arranged on the first nitride semiconductor layer, a second nitride semiconductor layer arranged on the active layer, a third nitride semiconductor layer disposed between the first nitride semiconductor layer or between the second nitride semiconductor layer and the active layer, the third nitride semiconductor layer comprising a plurality of scatter elements within the third nitride semiconductor layer, and a distributed Bragg reflector (DBR) comprising a multi-layered structure, the substrate being arranged between the DBR and the third nitride semiconductor layer. | 12-29-2011 |
20110316027 | CHIP-TYPE LIGHT EMITTING DEVICE HAVING PRECISELY COATED WAVELENGTH-CONVERTING LAYER AND PACKAGED STRUCTURE THEREOF - The invention relates to a chip-type light emitting device including one or more light emitting semiconductors and one or more frames provided over a top of the one or more light emitting semiconductors. | 12-29-2011 |
20110316028 | Optoelectronic Semiconductor Component - An optoelectronic semiconductor component comprising a semiconductor layer sequence ( | 12-29-2011 |
20110316029 | METHOD FOR TREATING INSIDE SURFACE OF GLASS CONTAINER AND GLASS CONTAINER - A lateral light emitting device that is free from variations and degradation in beam quality and reduction in reliability caused by adhesive, can be easily produced, and has a small diameter in order to be usable for a thin blood vessel and the like is developed. | 12-29-2011 |
20110316030 | SEMICONDUCTOR LIGHT EMITTING DIODE AND METHOD OF PRODUCING THE SAME - A semiconductor light emitting diode comprising: a support substrate; an intermediate layer including an intermediate electrode portion, a second conductive semiconductor layer, an active layer, a first conductive semiconductor layer and an upper electrode portion sequentially disposed on the upper surface side of the support substrate in this order; and a lower electrode layer provided on the lower surface side of the support substrate, wherein: the intermediate layer has at least one intermediate electrode portion extending linearly or in an island-like shape; and the upper electrode portion and the intermediate electrode portion are disposed, in a view obtained by projecting these electrode portions, on an imaginary plane in parallel with the upper surface of the support substrate, respectively, in a positional relationship that these electrode portions, are offset from each other. | 12-29-2011 |
20110316031 | TRANSFER SHEET FOR PHOSPHOR LAYER AND LIGHT-EMITTING DEVICE - A transfer sheet for a phosphor layer includes a release substrate, a phosphor layer formed on the release substrate, and an adhesive layer formed on the phosphor layer. | 12-29-2011 |
20110316032 | PHOSPHOR LAYER AND LIGHT-EMITTING DEVICE - A phosphor layer is composed of a resin in which phosphor particles and light scattering particles are dispersed. | 12-29-2011 |
20110316033 | LIGHT EMITTING MODULE, METHOD OF MANUFACTURING THE LIGHT EMITTING MODULE, AND LAMP UNIT - In a light emitting module, a light wavelength conversion member | 12-29-2011 |
20120001211 | Double layer injection mould LED bulb - The present invention relates to a double layer injection mould LED bulb, in which the main improvements are: the epoxy resin light body at the top of the conducting bracket of a traditional LED light is specially designed as one epoxy resin injection mould body integrated with a PC or injection mould shell; two positioning grooves are provided on the right and left of the opening at the lower part of the shell so that the two shoulders of the conducting bracket may slide into the grooves for positioning and a small quantity of injection mould body may be condensed and moulded shortly after injection. The upper end of the internal opening of the shell is a semi-round flat convex space to give the injection the light source amplifying convex lens block function, which, together with the convex or concave structure design at the top of the shell, provides the special function of amplifying or concentrating the light source already amplified inside, so as to significantly simplify the manufacture process and increase the output capacity of mass production. | 01-05-2012 |
20120001212 | Light-Emitting Diode Packaging Structure and Substrate Therefor - A light-emitting diode (LED) packaging structure and a substrate for the packaging structure are provided. The light-emitting diode packaging structure includes a metal substrate having a first surface and a second surface opposite to the first surface, and the first surface has a concave portion with a sidewall and a bottom, allowing an anode film to be formed on the metal substrate; a plurality of electrically conductive pads formed on the bottom of the concave portion; an optical treatment layer formed on the sidewall of the concave portion; and an LED die mounted on the bottom of the concave portion and electrically connected to the electrically conductive pads. Desired electrical insulating property between any two adjacent electrically conductive pads can be obtained by the anode film formed on the metal substrate, while a good thermal conductivity of the metal substrate is maintained. | 01-05-2012 |
20120001213 | III-NITRIDE LIGHT-EMITTING DEVICES WITH REFLECTIVE ENGINEERED GROWTH TEMPLATES AND METHODS OF MANUFACTURE - A light emitter includes a first mirror that is an epitaxially grown metal mirror, a second mirror, and an active region that is epitaxially grown such that the active region is positioned at or close to, at least, one antinode between the first mirror and the second mirror. | 01-05-2012 |
20120001214 | PHOSPHOR CERAMIC AND LIGHT-EMITTING DEVICE - A phosphor ceramic includes at least one fluorescent layer that is capable of emitting fluorescent light; and at least one non-fluorescent layer that does not emit fluorescent light and is laminated onto the fluorescent layer. | 01-05-2012 |
20120001215 | LIGHT-EMITTING MODULE AND ILLUMINATION DEVICE - According to one embodiment, a light-emitting module includes a module substrate, a light-reflecting layer, and a light-emitting element. The light-reflecting layer is superposed on the module substrate and has a reflection ratio higher than the reflection ratio of the module substrate. The light-emitting element is mounted on the module substrate. The light-reflecting layer includes a copper layer, a copper plating layer which covers the copper layer, and a metal layer which is superposed on the copper plating layer and reflects light emitted from the light-emitting element. | 01-05-2012 |
20120001216 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided comprising a light emitting device including at least one light emitting diode and a body including a first lead frame on which the light emitting device is mounted and a second lead frame spaced apart from the first lead frame, wherein at least one of the first and second lead frames is extending to a bending region in a first direction by a predetermined length on the basis of an outer surface of the body and is bent in a second direction intersecting the first direction. | 01-05-2012 |
20120001217 | COMPOSITION FOR LIGHT-EMITTING PARTICLE-POLYMER COMPOSITE, LIGHT-EMITTING PARTICLE-POLYMER COMPOSITE, AND DEVICE INCLUDING THE LIGHT-EMITTING PARTICLE-POLYMER COMPOSITE - A composition for manufacture of a light emitting particle-polymer composite, the composition including a light emitting particle, a first monomer including at least two thiol groups, each located at a terminal end of the first monomer, and a second monomer including at least two unsaturated carbon-carbon bonds, each located at a terminal end of the second monomer. | 01-05-2012 |
20120001218 | LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - Provided are a light emitting device and a method of fabricating the same. The light emitting device includes a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer, the active layer being formed of a semiconductor material. Also, the light emitting device further includes a current spreading layer comprising a plurality of carbon nanotube bundles physically connected to each other on one of the first and second conductive type semiconductor layers. | 01-05-2012 |
20120001219 | LIGHT-EMITTING DEVICE AND FABRICATION METHOD THEREOF - Disclosed is a light-emitting device including a conductive support substrate, a reflective layer arranged on the conductive support substrate, a first electrode layer arranged on the reflective layer and provided with a step in at least one region of the edge thereof, a protective layer arranged on the step, and a light-emitting structure arranged on the first electrode layer and the protective layer, the light-emitting structure including a first conductive semiconductor layer, a second conductive semiconductor layer and an active layer interposed between the first conductive semiconductor layer and the second conductive semiconductor layer, wherein at least one region of the reflective layer and the first electrode layer vertically overlaps the protective layer. Based on this configuration, the light-emitting device can exhibit improved adhesion between the electrode layer and the reflective layer and be provided with a wider reflective layer, thus improving brightness. | 01-05-2012 |
20120001220 | GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME, AND LAMP - Disclosed is a group III nitride semiconductor light-emitting device which suppresses electric current concentration in a light-transmitting electrode and a semiconductor layer directly below an electrode to enhance light emission efficiency, suppresses light absorption in the electrode or light loss due to multiple reflection therein to enhance light extraction efficiency, and has superior external quantum efficiency and electric characteristics. A semiconductor layer ( | 01-05-2012 |
20120007115 | PHOSPHOR, LIGHT-EMITTING DEVICE USING SAME, IMAGE DISPLAY AND ILLUMINATING DEVICE - The present invention relates to a phosphor represented by the following general formula (I), comprising: a composite oxide containing a divalent and trivalent metal elements as a host crystal; and at least Ce as an activator element in said host crystal, wherein the phosphor has a maximum emission peak in a wavelength range of from 485 nm to 555 nm in the emission spectrum at room temperature: | 01-12-2012 |
20120007116 | SEMICONDUCTOR LIGHT EMITTING DIODE AND METHOD OF PRODUCING THE SAME - A semiconductor light emitting diode including: a support substrate; an intermediate layer including an intermediate electrode portion, a second conductive semiconductor layer, an active layer, a first conductive semiconductor layer and an upper electrode portion sequentially disposed on the upper surface side of the support substrate in this order; and a lower electrode layer provided on the lower surface side of the support substrate, where: the intermediate layer has at least one intermediate electrode portion extending linearly or in an island-like shape; and the upper electrode portion and the intermediate electrode portion are disposed in such a positional relationship that these electrode portions are in parallel with and offset from each other and a distance between the upper electrode portion and the intermediate electrode portion is within the range of 10 μm to 50 μm. | 01-12-2012 |
20120007117 | Submount for Electronic Die Attach with Controlled Voids and Methods of Attaching an Electronic Die to a Submount Including Engineered Voids - A packaged electronic device includes a submount, a bonding pattern on the submount, and an electronic chip on the bonding pattern. A periphery of the electronic chip defines a die mounting region of the submount. The bonding pattern includes a bonding area within the die mounting region and at least one channel that extends from within the die mounting region to a region of the submount outside the die mounting region. | 01-12-2012 |
20120007118 | LIGHT EMITTING DEVICE - A light emitting device may be provided that includes a conductive support member, a first conductive layer, a second conductive layer, an insulation layer between the first conductive layer and the second conductive layer, and a light emitting structure that includes a second semiconductor layer on the second conductive layer, a first semiconductor layer, and an active layer between the first semiconductor layer and the second semiconductor layer. The first conductive layer may include at least one conductive via that passes through the second conductive layer, the second semiconductor layer and the active layer. A top surface of the at least one conductive via is provided into the first semiconductor layer. The insulation layer may substantially surround a side wall of the conductive via. The first surface of the first semiconductor layer may include a first surface area, a second surface area and a recess having a bottom surface. The recess may be aligned with the bottom surface of the first conductive layer, and the first surface of the first conductive layer may be aligned with the first area of the first surface of the first semiconductor layer. The first surface of the first semiconductor layer and the recess may have a surface roughness. | 01-12-2012 |
20120007119 | LIGHT-EMITTING SEMICONDUCTOR DEVICE, MOUNTED SUBSTRATE, AND FABRICATION METHOD THEREOF - A light-emitting semiconductor device includes a lead frame having lead electrodes, a reflector arranged with the lead frame, and a light-emitting semiconductor chip accommodated in the reflector and having electrodes connected to the lead electrodes by a flip-chip bonding method, wherein: a gap between the lead frame and the light-emitting semiconductor chip is filled with a cured underfill material, and a cured silicon oxide film of 0.05 to 10 μm thickness is formed covering surfaces of the light-emitting semiconductor chip and reflector. | 01-12-2012 |
20120007120 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a light emitting device and a method of manufacturing the same. The light emitting device includes a substrate; a light emitting structure disposed on the substrate and having a stack structure in which a first conductivity type semiconductor layer, an active layer and a second conductivity type semiconductor layer are stacked; a lens disposed on the light emitting structure; and a first terminal portion and a second terminal portion electrically connected to the first conductivity type semiconductor layer and the second conductivity type semiconductor layer, respectively. At least one of the first and second terminal portions extends from a top surface of the light emitting structure along respective side surfaces of the light emitting structure and the substrate. | 01-12-2012 |
20120007121 | LIGHT EMITTING DEVICE - A light emitting device is provided that includes a light emitting structure (including a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer), a conductive layer, an insulation layer, and a current blocking layer. The conductive layer may have a first conductive portion that passes through the second conductive type semiconductor layer and the active layer to contact the first conductive type semiconductor layer. The insulation layer may have a first insulation portion that surrounds the first conductive portion of the conductive layer. The current blocking layer may substantially surround the first insulation portion of the insulation layer, the first insulation portion provided between the current blocking layer and the first conductive portion. | 01-12-2012 |
20120007122 | LIGHT EMITTING DEVICE PACKAGE AND A LIGHTING DEVICE - Provided are a light emitting device package and a lighting device. The light emitting device package includes a base, a light emitting device on the base, a plurality of electrode pads on the base, the plurality of electrode pads electrically connected to the light emitting device, a frame disposed on the base, wherein a size of the frame is smaller than a size of the base, a silver layer on a portion of the plurality of electrode pads, the silver layer directly contacted with the frame and an optical member covering the light emitting device. | 01-12-2012 |
20120007123 | LIGHT EMITTING APPARATUS, AND METHOD FOR MANUFACTURING THE SAME, AND LIGHTING SYSTEM - A light emitting apparatus includes: a substrate including a first conductive type impurity; a first heatsink and a second heatsink on a first region and a second region of the substrate; second conductive type impurity regions on the substrate and electrically connected to the first heatsink and the second heatsink, respectively; a first electrode electrically connected to the first heatsink on the substrate; a second electrode electrically connected to the second heatsink on the substrate; and a light emitting device electrically connected to the first electrode and the second electrode on the substrate. | 01-12-2012 |
20120007124 | SEMICONDUCTOR LIGHT- EMITTING DEVICE - A semiconductor light emitting device (A) includes a semiconductor light emitting element ( | 01-12-2012 |
20120007125 | LIGHTING USING SOLID STATE DEVICE AND PHOSPHORS TO PRODUCE LIGHT APPROXIMATING A BLACK BODY RADIATION SPECTRUM - Solid state light emitting devices and/or solid state lighting devices use three or more phosphors excited by energy from a solid state source. The phosphors are selected and included in proportions such that the visible light output of such a device exhibits a radiation spectrum that approximates a black body radiation spectrum for the rated color temperature for the device, over at least a predetermined portion of the visible light spectrum. | 01-12-2012 |
20120007126 | METHOD FOR COATING SEMICONDUCTOR DEVICE USING DROPLET DEPOSITION - Methods and systems for coating of semiconductor devices using droplets of wavelength conversion or phosphor particles in a liquid medium. A plurality of nozzles delivers a controlled amount of the matrix material to the surface of the semiconductor device, with each of said nozzles having an opening for the matrix material to pass. The opening has a diameter wherein the diameter of the phosphor particles is less than or approximately equal to one half the diameter of the opening. The phosphor particles are also substantially spherical or rounded. The nozzles are typically arranged on a print head that utilizes jet printing techniques to cover the semiconductor device with a layer of the matrix material. The methods and systems are particularly applicable to covering LEDs with a layer of phosphor materials. | 01-12-2012 |
20120007127 | OPTICAL-SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing an optical-semiconductor device, including forming a plurality of first and second electrically conductive members that are disposed separately from each other on a support substrate; providing a base member formed from a light blocking resin between the first and second electrically conductive members; mounting an optical-semiconductor element on the first and/or second electrically conductive member; covering the optical-semiconductor element by a sealing member formed from a translucent resin; and obtaining individual optical-semiconductor devices after removing the support substrate. | 01-12-2012 |
20120007128 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT EMITTING APPARATUS HAVING THE SAME - A semiconductor light emitting device and a light emitting apparatus having the semiconductor light emitting device are provided. The semiconductor light emitting device comprises a substrate, a light emitting structure disposed on the substrate and comprising a first conductive type semiconductor layer, an active layer on the first conductive type semiconductor layer, and a second conductive type semiconductor layer on the active layer, a second electrode electrically connected to the second conductive type semiconductor layer, a plurality of first electrodes disposed on a plurality of sidewalls of the first conductive type semiconductor layer, and wherein the plurality of first electrodes are spaced apart from each other. | 01-12-2012 |
20120007129 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device including a substrate, a light emitting structure arranged on the substrate, the light emitting structure including a first semiconductor layer, a second semiconductor layer and an active layer arranged between the first semiconductor layer and the second semiconductor layer, a first electrode electrically connected to the first semiconductor layer, and a second electrode electrically connected to the second semiconductor layer, wherein the light emitting structure has a top surface including a first side and a second side which face each other, and a third side and a fourth side which face each other. | 01-12-2012 |
20120007130 | ILLUMINATION DEVICE WITH REMOTE LUMINESCENT MATERIAL - The invention provides an illumination device comprising a light source and a transmissive arrangement. The light source is arranged to generate light source light and comprises a light emitting device (LED), arranged to generate LED light and a carrier comprising a first luminescent material. The carrier is in contact with the LED and the first luminescent material is arranged to convert at least part of the LED light into first luminescent material light. The transmissive arrangement of a second luminescent material is arranged remote from the light source and is arranged to convert at least part of the LED light or at least part of the first luminescent material light and/or at least part of the LED light. The invention overcomes current limitations of remote luminescent material systems in spot lighting. In addition, an extremely simple way of realizing light sources with various correlated colour temperatures is allowed, based on just a single type of white (or whitish) light source in combination with various (red-orange) remote luminescent materials. | 01-12-2012 |
20120007131 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND LIGHT SOURCE DEVICE USING THE SAME - A semiconductor light-emitting device according to the present invention is a semiconductor light-emitting device | 01-12-2012 |
20120012871 | LIGHT EMITTING DEVICE - The present disclosure relates to methods for performing wafer-level measurement and wafer-level binning of LED devices. The present disclosure also relates to methods for reducing thermal resistance of LED devices. The methods include growing epitaxial layers consisting of an n-doped layer, an active layer, and a p-doped layer on a wafer of a growth substrate. The method further includes forming p-contact and n-contact to the p-doped layer and the n-doped layer, respectively. The method further includes performing a wafer-level measurement of the LED by supplying power to the LED through the n-contact and the p-contact. The method further includes dicing the wafer to generate diced LED dies, bonding the diced LED dies to a chip substrate, and removing the growth substrate from the diced LED dies. | 01-19-2012 |
20120012872 | LED PACKAGE STRUCTURE - An LED package structure includes a transparent substrate having a supporting face and a light-emergent face opposite to the supporting face, a housing disposed on the supporting face, two electrodes disposed on the housing, an LED chip disposed on the supporting face and electrically connected to the two electrodes, a reflecting layer covering the LED chip to reflect light emitted by the LED chip toward the transparent substrate, and a phosphor layer formed on the light-emergent face of the substrate. The phosphor layer includes a plurality of layers each having a specific light wavelength conversion range to generate a light with a predetermined color. | 01-19-2012 |
20120012873 | LIGHT EMITTING DIODE PACKAGE FOR MICROMINIATURIZATION - A light emitting diode package includes a metal thin film with a first surface and a second surface opposite to the first surface. The metal thin film further defines a first part and a second part electrically insulated from the first part. A light emitting diode die is formed on the first part of the metal thin film. The light emitting diode die includes a first electrode and a second electrode. The light emitting diode die is sealed within a glass encapsulation and the second surface of the metal thin film is exposed to the outside of the glass encapsulation for electrically connecting with an external power. | 01-19-2012 |
20120012874 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a substrate, a first semiconductor layer, a light emitting layer, a second semiconductor layer, and a translucent electrode. The substrate includes a first region provided along periphery of a first major surface and a second region provided on center side of the first major surface as viewed from the first region. The first semiconductor layer is provided on the first major surface of the substrate. The light emitting layer is provided on the first semiconductor layer. The second semiconductor layer is provided on the light emitting layer. The translucent electrode is provided on the second semiconductor layer. A reflectance in the second region is higher than a reflectance in the first region. | 01-19-2012 |
20120012875 | COMPONENT FOR LIGHT-EMITTING DEVICE, LIGHT-EMITTING DEVICE AND PRODUCING METHOD THEREOF - A component for a light-emitting device includes a fluorescent layer that is capable of emitting fluorescent light and a housing that is connected to the fluorescent layer for housing a light-emitting diode. | 01-19-2012 |
20120012876 | LIGHT EMITTING DEVICE - The present invention provides a light emitting device which can be improved in reliability and moreover which can be manufactured with low cost. A surface-mount type light emitting device | 01-19-2012 |
20120012877 | Quantum Dot White and Colored Light-Emitting Devices - A light-emitting device comprising a population of quantum dots (QDs) embedded in a host matrix and a primary light source which causes the QDs to emit secondary light and a method of making such a device. The size distribution of the QDs is chosen to allow light of a particular color to be emitted therefrom. The light emitted from the device may be of either a pure (monochromatic) color, or a mixed (polychromatic) color, and may consist solely of light emitted from the QDs themselves, or of a mixture of light emitted from the QDs and light emitted from the primary source. The QDs desirably are composed of an undoped semiconductor such as CdSe, and may optionally be overcoated to increase photoluminescence. | 01-19-2012 |
20120012878 | LIGHT EMITTING DEVICE HAVING A LATERAL PASSIBATION LAYER - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a light emitting structure comprising a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer, and a passivation layer protecting a surface of the light emitting structure. The passivation layer includes a first passivation layer on a top surface of the light emitting structure and a second passivation layer having a refractive index different from that of the first passivation layer, the second passivation layer being disposed on a side surface of the light emitting structure. The second passivation layer has a refractive index greater than that of the first passivation layer. | 01-19-2012 |
20120012879 | LEADFRAME-BASED PACKAGES FOR SOLID STATE LIGHT EMITTING DEVICES AND METHODS OF FORMING LEADFRAME-BASED PACKAGES FOR SOLID STATE LIGHT EMITTING DEVICES - A modular package for a light emitting device includes a leadframe including a first region having a top surface, a bottom surface and a first thickness and a second region having a top surface, a bottom surface and a second thickness that is less than the first thickness. The leadframe further includes an electrical lead extending laterally away from the second region, and the package further includes a thermoset package body on the leadframe and surrounding the first region. The thermoset package body may be on both the top and bottom surfaces of the second region. A leak barrier may be on the leadframe, and the package body may be on the leak barrier. Methods of forming modular packages including thermoset package bodies on leadframes are also disclosed. | 01-19-2012 |
20120012880 | LIGHT EMITTING DEVICE MODULE AND LIGHTING SYSTEM INCLUDING THE SAME - Disclosed herein is a light emitting device module comprising: a heat transfer member having a cavity; first conductive layer and second conductive layer contacting the heat transfer member via an insulating layer, the first conductive layer and the second conductive layer being electrically isolated from each other in accordance with exposure of the insulating layer or exposure of the heat transfer member; and at least one light emitting diode electrically connected to the first conductive layer and second conductive layer, the at least one light emitting device is thermally contacted to an exposed portion of the heat transfer member, wherein the heat transfer member has an exposed portion disposed within the cavity between the first conductive layer and the second conductive layer. | 01-19-2012 |
20120012881 | LIGHT EMITTING DEVICE MODULE AND LIGHTING SYSTEM INCLUDING THE SAME - Disclosed herein is a semiconductor light emitting device module comprising: a substrate; at least one support disposed on a surface of the substrate; a heat transfer member disposed on the substrate and the support, the heating transfer member having a cavity formed in at least a portion of the heat transfer member; first conductive layer and second conductive layer contacting the heat transfer member via an insulating layer, the first conductive layer and the second conductive layer being electrically isolated from each other in accordance with exposure of the insulating layer or exposure of the heat transfer member; and at least one semiconductor light emitting device electrically connected to the first conductive layer and the second conductive layer, the at least one semiconductor light emitting device is thermally contacted an exposed portion of the heat transfer member. | 01-19-2012 |
20120012882 | LIGHT EMITTING DIODE DEVICES AND MANUFACTURING METHOD THEREOF - A light emitting diode (LED) device includes a stacked epitaxial structure, a heat-conductive plate and a seed layer. The stacked epitaxial structure sequentially includes a first semiconductor layer (N—GaN), a light emitting layer, and a second semiconductor layer (P—GaN). The heat-conductive plate is disposed on the first semiconductor layer, and the seed layer is disposed between the first semiconductor layer and the heat-conductive plate. Also, the present invention discloses a manufacturing method thereof including the steps of: forming at least one temporary substrate, which is made by a curable polymer material, on an LED device, and forming at least a heat-conductive plate on the LED device. | 01-19-2012 |
20120012883 | METHOD FOR MANUFACTURING LIGHT-EMITTING DEVICE AND FILM FORMATION SUBSTRATE - In a method for manufacturing a light-emitting device according to an embodiment of the present invention, one surface of a first substrate including a reflective layer including an opening, a light absorption layer formed over the reflective layer to cover the opening in the reflective layer, a protective layer formed over the light absorption layer and including a groove at a position overlapped with the opening in the reflective layer, and a material layer formed over the protective layer and a deposition surface of a second substrate are disposed to face each other and light irradiation is performed from the other surface side of the first substrate, so that an EL layer is formed in a region on the deposition surface of the second substrate, which is overlapped with the opening in the reflective layer. | 01-19-2012 |
20120018754 | LIGHT TRANSMISSION CONTROL FOR MASKING APPEARANCE OF SOLID STATE LIGHT SOURCES - A light emitter device, package, or lamp that comprises and light emitter and a light transmission control material to mask the appearance of at least the light emitter. In one embodiment, a light emitting diode (LED) based lamp is disclosed, comprising an LED light source. A phosphor is arranged remote to the light source such that light emitted from the light source passes through this phosphor and is converted by this phosphor. A light transmission control material is applied at least partially outside the LED light source and the phosphor to reversibly mask the appearance of the LED light source and the phosphor. The light transmission control material is less masking when the LED light source is active. A method for masking the appearance of inactive light emitters is also disclosed that comprising providing at least one light emitter. Each of the at least one light emitters is provided with a light transmission control material over the light emitters to reversibly mask the appearance of the light emitters while the light emitters are inactive. The light transmission control material is less masking when the LED light source is active. | 01-26-2012 |
20120018755 | LIGHT EMITTING DEVICES WITH EMBEDDED VOID-GAP STRUCTURES THROUGH BONDING OF STRUCTURED MATERIALS ON ACTIVE DEVICES - A method of fabricating optoelectronic devices with embedded void-gap structures on semiconductor layers through bonding is provided. The embedded void-gaps are fabricated on a semiconductor structure by bonding a patterned layer or slab onto a flat surface, or by bonding a flat layer or slab onto a patterned surface. The void-gaps can be filled with air, gases, conductive or dielectric materials, or other substances, in order to provide better isolation of optical modes from dissipative regions, or better light extraction properties. | 01-26-2012 |
20120018756 | LIGHT EMITTING DEVICES - Light-emitting devices, and related components, systems and methods are disclosed. | 01-26-2012 |
20120018757 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE COMPRISING THE SAME AND LIGHTING SYSTEM - Disclosed is a light emitting device including a light emitting structure including a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer, a first electrode disposed on the first conductive semiconductor layer, a reflective electrode disposed on the second conductive semiconductor layer, a channel layer disposed on the light emitting structure and surrounds the reflective electrode, and a support substrate connected to the channel layer through an adhesive layer. | 01-26-2012 |
20120018758 | OPTOELECTRONIC DEVICES WITH EMBEDDED VOID STRUCTURES - An optoelectronic structure, and method of fabricating same, comprised of semiconductors having growth-embedded void-gap gratings or photonic crystals in one or two dimensions, which are optimized to yield high interaction of the guided light and the photonic crystals and planar epitaxial growth. Such structure can be applied to increase light extraction efficiency in LEDs, increase modal confinement in lasers or increase light absorption in solar cells. The optimal dimensions of the growth-embedded void-gap gratings or photonic crystals are calculated by numerical simulation using scattering matrix formalism. The growth-embedded void-gap gratings are applicable to any semiconductor device, as well as optoelectronic devices, such as light-emitting diodes, laser diodes and solar cells. | 01-26-2012 |
20120018759 | SUBSTRATE FOR MOUNTING LIGHT-EMITTING ELEMENT, PRODUCTION PROCESS THEREOF AND LIGHT-EMITTING DEVICE - To provide a substrate for mounting a light-emitting device, which is provided with a silver reflection layer having a high reflectance and being less susceptible to deterioration of the reflectance due to corrosion and which has an improved light extraction efficiency. | 01-26-2012 |
20120018760 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR FABRICATING THE SAME - A method for fabricating light emitting diode packages includes: providing a light emitting diode wafer which has a plurality of light emitting diode chips, each of the light emitting diode chips including a semiconductor unit that has p-type and n-type electrode regions, and two electrodes; forming a light-transmissive insulating layer on the light emitting diode chips; forming a reflective metal layer on a portion of the light-transmissive insulating layer; forming a layer of insulating material on the light-transmissive insulating layer and the reflective metal layer, and performing exposing and developing treatments to form the layer of insulating material into a plurality of protective insulating structures; forming a conductor-receiving insulating layer on the light-transmissive insulating layer and the protective insulating structures; and performing a cutting process to obtain a plurality of light emitting diode packages each having at least one of the light emitting diode chips. | 01-26-2012 |
20120018761 | PHOSPHOR MEMBER, METHOD OF MANUFACTURING PHOSPHOR MEMBER, AND ILLUMINATING DEVICE - In the present invention, provided is a phosphor member capable of improving a yield and an extraction rate, in addition to high environmental tolerance, high heat resistance, high durability and a high color rendering property, by which variations of color and an amount of light are reduced, and also provided are a method of manufacturing the phosphor member and an illuminating device. Disclosed is a phosphor member prepared separately from an LED light source constituting a white illuminating device, wherein the phosphor member possesses phosphor particles and an inorganic layer having been subjected to coating and a heat treatment. | 01-26-2012 |
20120018762 | SEMICONDUCTOR DEVICE - This disclosure provides a semiconductor device that can demonstrate an efficient heat releasing effect. The device includes a mount part | 01-26-2012 |
20120025235 | LIGHTING DEVICES THAT COMPRISE ONE OR MORE SOLID STATE LIGHT EMITTERS - A lighting device, comprising a solid state light emitter and a removable encapsulant element. A lighting device element, comprising a solid state light emitter and an encapsulant holding element configured to releasably hold a removable encapsulant element. A lighting device component, comprising a removable encapsulant element. A method, comprising removing a first removable encapsulant element from a lighting device that comprises at least a first solid state light emitter and inserting a second removable encapsulant element into the lighting device. An encapsulant element comprising a substantially transparent first material and a luminescent material within the first material. | 02-02-2012 |
20120025236 | LIGHT EMITTING DIODE SUBSTRATE, METHOD OF MAKING SAME AND LIGHT EMPLOYING SAME - An LED light source ( | 02-02-2012 |
20120025237 | LIGHT EMITTING DIODE STRUTURE - A light emitting diode structure includes an electrically conductive substrate, a first lighting structure having a first n-type semiconductor layer, a first active layer and a first p-type semiconductor layer and a second lighting structure having a second n-type semiconductor layer, a second active layer and a second p-type semiconductor layer. The first n-type semiconductor layer is electrically connected with the second p-type semiconductor layer and the first p-type semiconductor layer is electrically connected with the second n-type semiconductor layer. A first transparent, conductive layer is formed on the first lighting structure and a second transparent, conductive layer is formed on the second lighting structure. The first transparent, conductive layer and the second transparent, conductive layer are connected together to combine the first lighting structure with the second lighting structure. | 02-02-2012 |
20120025238 | LED PACKAGE - An LED package comprises a substrate, an LED die, and an encapsulating layer. The substrate has circuit formed thereon. The LED die is arranged on the substrate and electrically connected to the circuit of the substrate. The encapsulating layer covers the LED die and at least a part of the substrate. The encapsulating layer and the substrate are made of cycloaliphatic epoxide. | 02-02-2012 |
20120025239 | NANOCOMPOSITES AND LIGHT EMITTING DEVICE PACKAGE INCLUDING THE SAME - Provided are nanocomposites and a light emitting device package including the same. The nanocomposites include nanoparticles, and silicon compounds bonded to surfaces of the nanoparticles and expressed by a specific chemical formula. The nanocomposites can be dispersed evenly in various matrices without the nanoparticles being agglutinated. | 02-02-2012 |
20120025240 | PACKAGE OF LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a package of light emitting device includes the following steps: providing a light emitting element and positioning the light emitting element at a bottom of a reflecting cup; providing phosphors and a compound of epoxy resin and silicone, and mixing the phosphors and the compound of epoxy resin and silicone to obtain a mixture by a process of kneading; and encapsulating the light emitting element with the mixture to form an encapsulant received in the reflecting cup. | 02-02-2012 |
20120025241 | SURFACE MOUNTED LED PACKAGING STRUCTURE AND METHOD BASED ON A SILICON SUBSTRATE - A surface mounted LED packaging structure based on a silicon substrate includes the silicon substrate, an LED chip, an annular convex wall and a lens. The silicon substrate has an upper surface of planar structure and without grooves. An oxide layer covers the upper surface of the silicon substrate. Metal electrode layers are arranged in the upper surface of the oxide layer, and the upper surfaces of the metal electrode layers are arranged with metal bumps. Vias through the silicon substrate are provided under the metal electrode layers. An insulating layer covers the inner wall of the vias and a part of the lower surface of the silicon substrate. A metal connection layer covers the insulating layer surface within the vias. Two conductive metal pads are respectively arranged under the lower surface of the silicon substrate and insulated from the silicon substrate. A heat conduction metal pad is arranged on the lower surface of the silicon substrate. The LED chip is flip-chip mounted on the silicon substrate. The annular convex wall and the lens cause the LED chip and the metal electrode layers therein to be isolated from environment. The structure of the present invention has its advantages of good heat dissipation effect and small volume, while packaging without gold wires makes the structure highly reliable and achieves large-scale production of wafer level, resulting in the reduction of the packaging cost. | 02-02-2012 |
20120025242 | SURFACE MOUNTED LED STRUCTURE AND PACKAGING METHOD OF INTEGRATING FUNCTIONAL CIRCUITS ON A SILICON - The present invention relates to a surface mounted LED structure of integrating functional circuits on a silicon substrate, comprising the silicon substrate and an LED chip. Said silicon substrate has an upper surface of planar structure without grooves. An oxide layer covers the upper surface of the silicon substrate, and metal electrode layers are arranged in the upper surface of the oxide layer. The upper surfaces of said metal electrode layers are arranged with metal bumps, and the LED chip is flip-chip mounted to the silicon substrate. Two conductive metal pads are arranged on the lower surface of said silicon substrate, said conductive metal pads are electrically connected to the metal electrode layers on the upper surface of the silicon substrate by a metal lead arranged on the side wall of the silicon substrate. A heat conduction metal pad is arranged on the corresponding lower, surface of the silicon substrate just below the LED chip. Peripheral functional circuits required by LED are integrated on the upper surface of said silicon substrate. The structure of the present invention has advantages of good heat dissipation effect and small volume, and direct integration of functional circuits such as protection and drive circuits etc. in the silicon substrate achieves large-scale production package of wafer level, reducing the cost of packaging and lighting fixture. | 02-02-2012 |
20120025243 | LED PACKAGE AND METHOD FOR MANUFACTURING THE SAME - An LED package includes a substrate, an LED chip, a bounding dam, and a first encapsulation. The substrate includes a first surface and a second surface opposite to the first surface. The LED chip is mounted on the first surface of the substrate. The bounding dam is formed on the first surface of the substrate and surrounds the LED chip. The bounding dam and the substrate cooperatively define a receiving space. The bounding dam is made of thermoset resin. The first encapsulation is formed in the receiving space and encloses the LED chip. | 02-02-2012 |
20120025244 | LIGHT EMITTING DIODE HAVING DISTRIBUTED BRAGG REFLECTOR - Exemplary embodiments of the present invention provide light-emitting diodes having a distributed Bragg reflector. A light-emitting diode (LED) according to an exemplary embodiment includes a light-emitting structure arranged on a first surface of a substrate, the light-emitting structure including a first conductivity-type semiconductor layer, a second conductivity-type semiconductor layer, and an active layer interposed between the first conductivity-type semiconductor layer and the second conductivity-type semiconductor layer. A first distributed Bragg reflector is arranged on a second surface of the substrate opposite to the first surface, the first distributed Bragg reflector to reflect light emitted from the light-emitting structure. The first distributed Bragg reflector has a reflectivity of at least 90% with respect to light of a first wavelength in a blue wavelength range, light of a second wavelength in a green wavelength range, and light of a third wavelength in a red wavelength range. The first distributed Bragg reflector has a laminate structure having an alternately stacked SiO | 02-02-2012 |
20120025245 | Substrate for electronic device and electronic device using same - Provided is an electronic device having a long life and a large effective area. Furthermore, provided is an optical device capable of controlling specular visibility. And provided is a substrate for the optical device, which includes a scattering layer having excellent scattering properties and having a desired refractive index while retaining surface smoothness. Further, there is provided a substrate for the electronic device, which includes a substrate having first and second main surfaces facing each other and an electrode pattern formed on the first main surface of the substrate, in which the first main surface of the first and second main surfaces is a surface which forms waviness made up of curved faces, the waviness of the surface has a wavelength Rλa of greater than 50 μm and a ratio Ra/Rλa of waviness roughness Ra of the surface which forms waviness to the wavelength Rλa of the waviness is from 1.0×10 | 02-02-2012 |
20120025246 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a semiconductor light emitting device and a method of manufacturing the same. The method includes providing a substrate having first and second main surfaces opposing each other and forming a first uneven structure in the first main surface, forming a sacrificial layer on the first main surface of the substrate, forming a mask having open regions on the sacrificial layer so as to expose a portion of an upper surface of the sacrificial layer, forming a second uneven structure in the substrate by etching the sacrificial layer and the substrate through the open regions, removing the sacrificial layer and the mask from the substrate, and forming a light emitting stack on the first and second uneven structures of the substrate. | 02-02-2012 |
20120025247 | COMPONENT FOR LIGHT-EMITTING DEVICE, LIGHT-EMITTING DEVICE AND PRODUCING METHOD THEREOF - A component for a light-emitting device includes a sealing resin layer that is capable of sealing in a light emitting diode, a fluorescent layer that is formed on one face of the sealing resin layer and is capable of emitting fluorescent light, and a reflection layer that is provided on the other face of the sealing resin layer so as to avoid a region where the sealing resin layer seals in the light emitting diode and is capable of reflecting the light. | 02-02-2012 |
20120025248 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND MANUFACTURING METHOD OF THE SAME - Provided is a semiconductor light emitting device. The semiconductor light emitting device includes a conductive substrate, a p-type electrode disposed on the conductive substrate, a transparent electrode layer disposed on the p-type electrode, a light emitting structure comprising a p-type semiconductor layer, an active layer, and an n-type semiconductor layer, which are sequentially stacked on the transparent electrode layer, and an n-type electrode disposed on the n-type semiconductor layer. The light emitting structure is disposed on a top middle of the transparent electrode layer to allow a side of the light emitting structure to be spaced from an edge of the transparent electrode layer. The transparent electrode layer has an uneven surface at an outer portion of the light emitting structure. | 02-02-2012 |
20120025249 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Provided are a light emitting device and a light emitting device package. According to the light emitting device, a light emitting part and an electro-static discharge (ESD) protection part are disposed on a conductive support member. A connection layer electrically connects a first conducitve type semiconductor layer of the light emitting part to a second conductive type semiconductor layer of the ESD protection part. A ptrtection member is disposed on the connection layer and the ESD protection layer. | 02-02-2012 |
20120025250 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND THE MANUFACTURING METHOD THEREOF - This application provides a semiconductor light-emitting device and the manufacturing method thereof. The semiconductor light-emitting device comprises a semiconductor light-emitting structure and a thinned substrate. The semiconductor light-emitting structure comprises a plurality of semiconductor layers and a plurality of first channels, wherein a plurality of first channels has a predetermined depth that penetrating at least two layers of the plurality of semiconductor layers. | 02-02-2012 |
20120025251 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a reflective electrode on a support; a first cladding layer; a light-emitting layer; a second cladding layer having a terrace structure formed of recesses and protrusions, a light-extracting structure having projections and depressions being formed on top surfaces of the protrusions and bottom surfaces of the recesses; and surface electrodes on the top surfaces of the protrusions. The second cladding layer has a stacked structure, which includes a first current-spreading layer, a first light-extracting layer on the first current-spreading layer and having the light-extracting structure on the bottom surfaces of the recesses, a second current-spreading layer on the first light-extracting layer, and a second light-extracting layer on the second current-spreading layer and having the light-extracting structure on the top surfaces of the protrusions, and the first and second light-extracting layer have lower light absorptance and higher resistance than the first and second current-spreading layer. | 02-02-2012 |
20120025252 | COMPOSITE SUBSTRATE FOR FORMATION OF LIGHT-EMITTING DEVICE, LIGHT-EMITTING DIODE DEVICE AND MANUFACTURING METHOD THEREOF - A composite substrate for the formation of a light-emitting device, ensuring that a high-quality nitride-based light-emitting diode can be easily formed on its top surface and the obtained substrate-attached light-emitting diode functions as a light-emitting device capable of emitting light for an arbitrary color such as white, is provided. A composite substrate for the formation of a light-emitting device, comprising a light-converting material substrate for radiating at least a part of incident light as light different in the wavelength through the surface opposite the incident surface, and at least two or more Al-containing nitride layers formed on the light-converting material substrate, wherein the light-converting material substrate has a texture comprising two or more oxide phases continuously and three-dimensionally entangled with each other, including an Al | 02-02-2012 |
20120025253 | ORGANIC LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING DEVICE WITH THE ORGANIC LIGHT-EMITTING ELEMENT - The present invention provides a white organic light-emitting element high in the emission efficiency. In particular, the invention provides a white organic light-emitting element that has an emission spectrum having peaks in the respective wavelength regions of red color, green color and blue color and is high in the emission efficiency. | 02-02-2012 |
20120025254 | SEMICONDUCTOR LIGHT EMITTING DEVICE SUBSTRATE STRIPS AND PACKAGED SEMICONDUCTOR LIGHT EMITTING DEVICES - Semiconductor light emitting device packaging methods include fabricating a substrate configured to mount a semiconductor light emitting device thereon. The substrate may include a cavity configured to mount the semiconductor light emitting device therein. The semiconductor light emitting device is mounted on the substrate and electrically connected to a contact portion of the substrate. The substrate is liquid injection molded to form an optical element bonded to the substrate over the semiconductor light emitting device. Liquid injection molding may be preceded by applying a soft resin on the electrically connected semiconductor light emitting device in the cavity. Semiconductor light emitting device substrate strips are also provided. | 02-02-2012 |
20120025255 | PACKAGE FOR LIGHT EMITTING ELEMENT ACCOMMODATION CONTAINING ALUMINA AND BARIUM - [PROBLEMS] To provide a package for light emitting element accommodation that realizes enhanced reflectance without application of a metal plating onto a ceramic. [MEANS FOR SOLVING PROBLEMS] There is provided a package for light emitting element accommodation comprising ceramic substrate ( | 02-02-2012 |
20120025256 | SEMICONDUCTOR LIGHT-EMITTING DEVICE, METHOD FOR MANUFACTURING THE SAME, AND LIGHT-EMITTING APPARATUS INCLUDING THE SAME - A nitride semiconductor light-emitting device includes a layered portion emitting light on a substrate. The layered portion includes an n-type semiconductor layer, an active layer, and a p-type semiconductor layer. The periphery of the layered portion is inclined, and the surface of the n-type semiconductor layer is exposed at the periphery. An n electrode is disposed on the exposed surface of the n-type semiconductor layer. This device structure can enhance the emission efficiency and the light extraction efficiency. | 02-02-2012 |
20120032211 | Optoelectronic Component - An optoelectronic component comprises an organic layer sequence ( | 02-09-2012 |
20120032212 | METHOD OF LIGHT EMITTING DIODE SIDEWALL PASSIVATION - A Light-Emitting Diode (LED) includes a light-emitting structure having a passivation layer disposed on vertical sidewalls across a first doped layer, an active layer, and a second doped layer that completely covers at least the sidewalls of the active layer. The passivation layer is formed by plasma bombardment or ion implantation of the light-emitting structure. It protects the sidewalls during subsequent processing steps and prevents current leakage around the active layer. | 02-09-2012 |
20120032213 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes a stacked structural body, a first, a second and a third conductive layer. The stacked structural body includes first and second semiconductors and a light emitting layer provided therebetween. The second semiconductor layer is disposed between the first conductive layer and the light emitting layer. The first conductive layer is transparent. The first conductive layer has a first major surface on a side opposite to the second semiconductor layer. The second conductive layer is in contact with the first major surface. The third conductive layer is in contact with the first major surface and has a reflectance higher than a reflectance of the second conductive layer. The third conductive layer includes an extending part extending in parallel to the first major surface. At least a portion of the extending part is not covered by the second conductive layer. | 02-09-2012 |
20120032214 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type, a light emitting portion, a first transparent conductive layer, and a second transparent conductive layer. The light emitting portion is provided between the first and second semiconductor layers. The second semiconductor layer is disposed between the first transparent conductive layer and the light emitting portion. The first transparent conductive layer includes oxygen. The second transparent conductive layer is provided between the second semiconductor layer and the first transparent conductive layer. The second transparent conductive layer has a refractive index higher than a refractive index of the first transparent conductive layer, and includes oxygen at a concentration higher than a concentration of oxygen included in the first transparent conductive layer. | 02-09-2012 |
20120032215 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device of one embodiment includes: a substrate; an n-type layer of an n-type nitride semiconductor on the substrate; an active layer of a nitride semiconductor on the n-type semiconductor layer; a p-type layer of a p-type nitride semiconductor on the active layer. The p-type layer has a ridge stripe shape. The device has an end-face layer of a nitride semiconductor formed on an end face of the n-type semiconductor layer, the active layer, and the p-type semiconductor layer. The end face is perpendicular to an extension direction of the ridge stripe shape. The end-face layer has band gap wider than the active layer. The end-face layer has Mg concentration in the range of 5E16 atoms/cm | 02-09-2012 |
20120032216 | Light Emitting Diode Package Structure - Embodiments of a light emitting diode (LED) package structure are provided. In one aspect, an LED package structure includes a base, at least one LED chip, a blocking plate, and a transparent cover plate. The LED chip is disposed on and electrically coupled to the base. The blocking plate is disposed on the base and surrounds the LED chip. The blocking plate has an opening for exposing the LED chip. The blocking plate comprises a light-absorbing material that is opaque. The transparent cover plate is disposed on the blocking plate and covers the opening of the blocking plate. | 02-09-2012 |
20120032217 | WHITE LED DEVICE AND MANUFACTURING METHOD THEREOF - The invention provides a white light emitting diode device, which includes: a conductive substrate; a multilayered light emitting semiconductor epitaxial structure formed on the conductive substrate; a contact provided on the multilayered light emitting semiconductor epitaxial structure; a transparent layer provided on the multilayered light emitting semiconductor epitaxial structure; a wavelength converting layer provided on the transparent layer; and an optical layer provided on the wavelength converting layer. The invention also provides a method of manufacturing the white light emitting diode device. | 02-09-2012 |
20120032218 | SEMICONDUCTOR LIGHT EMITTING DEVICE - There is provided a semiconductor light emitting device including a conductive substrate, a first electrode layer, an insulating layer, a second electrode layer, a second semiconductor layer, an active layer, and a first semiconductor layer that are sequentially stacked. The contact area between the first electrode layer and the first semiconductor layer is 3% to 13% of the total area of the semiconductor light emitting device, and thus high luminous efficiency is achieved. | 02-09-2012 |
20120032219 | LIGHT-EMITTING DEVICE - A light-emitting device includes a circuit board to which external electric power is supplied, a light emitting diode that is electrically connected onto the circuit board and emits light based on electric power from the circuit board, a housing provided on the circuit board so as to surround the light emitting diode and so that the upper end portion of the housing is positioned above the upper end portion of the light emitting diode, and a fluorescent laminate provided on the housing. The fluorescent laminate includes a first fluorescent layer that emits fluorescent light and a second fluorescent layer that emits fluorescent light having a wavelength that is longer than that of the first fluorescent layer. The second fluorescent layer is disposed on the housing and the first fluorescent layer is laminated on the second fluorescent layer. | 02-09-2012 |
20120032220 | PACKAGED LIGHT EMITTING DIODES INCLUDING PHOSPHOR COATING AND PHOSPHOR COATING SYSTEMS - Light emitting structures are disclosed that can include a semiconductor light emitting diode (LED) that includes a p-n junction active layer. A first layer can include a binder material having a thickness that is less than about 1000 μm, wherein the first layer is directly on the LED. A second layer can include phosphor particles, where the second layer can have a thickness that is less than about 1000 μm and can be directly on the first layer so that the first layer is between the LED and the second layer. | 02-09-2012 |
20120032221 | ORGANIC LIGHT EMITTING DIODE AND METHOD FOR PRODUCING AN ORGANIC LIGHT EMITTING DIODE - An organic light-emitting diode includes an organic light-emitting layer located between a transparent electrode and one other electrode on a substrate. In some embodiments at least one of the transparent electrode and the other electrode has two layers. The two layers include a structured layer, which is a charge carrier injection layer, and a conductive second layer into which the first layer is embedded. In some embodiments the organic light-emitting layer includes a structured charge carrier blocking layer. | 02-09-2012 |
20120032222 | LIGHT-EMITTING DEVICE AND METHOD FOR PRODUCING LIGHT EMITTING DEVICE - A method for producing a light-emitting device, includes: performing, on a first substrate made of III-V group compound semiconductor, crystal growth of a laminated body including an etching easy layer contiguous to the first substrate and a light-emitting layer made of nitride semiconductor; bonding a second substrate and the laminated body; and detaching the second substrate provided with the light-emitting layer from the first substrate by, one of removing the etching easy layer by using a solution etching method, and removing the first substrate and the etching easy layer by using mechanical polishing method. | 02-09-2012 |
20120032223 | ULTRAVIOLET LIGHT EMITTING DIODE PACKAGE - An ultraviolet light emitting diode package for emitting ultraviolet light is disclosed. The ultraviolet light emitting diode package comprises an LED chip emitting light with a peak wavelength of 350 nm or less, and a protective member provided so that surroundings of the LED chip is covered to protect the LED chip, the protective member having a non-yellowing property to energy from the LED chip. | 02-09-2012 |
20120037934 | Pre-molded LED light bulb package - The present invention relates to a pre-molded LED light bulb package with its main improvements being: the chip and gold wire outside the chip cup at the top of the traditional conducting bracket is covered with an appropriate quantity of epoxy resin colloid and then baked to form an oblate spheroid, which is inserted into the mold and filled with injection PC or ordinary resin to rapidly condense into LED enclosure package, wherein the special effect of multiple amplified or integrated light source whereby the light source is amplified internally and then gathered externally can be achieved by means of an internal optical amplifying light source effect through the pre-molded oblate spheroid and the convex or concave structure on the upper part of the external injection molded LED enclosure, significantly improving the existing single lighting deflection technology, therefore reducing the time of the packaging process and increasing the output capacity of mass production significantly. | 02-16-2012 |
20120037935 | Substrate Structure of LED (light emitting diode) Packaging and Method of the same - The present invention provides a substrate for LED packaging and a fabrication method thereof. The substrate can dissipate heat quickly and enhance light emitting efficiency. For this purpose, several via holes are formed in the substrate and metal layers are coated to act as light reflector. In the substrate, the via holes are filled with the material with high thermal conductivity, such as Copper, to conduct the heat efficiently; and the reflector are coated the metal with high reflection factor to visible light, such as Ag, Au, Al, to enhance the light emitting efficiency. | 02-16-2012 |
20120037936 | LED PACKAGE - A LED package includes a substrate, at least one LED chip, a transparent adhesive and a lens. The at least one LED chip is mounted on the substrate. The transparent adhesive is filled between the LED chip and the lens. A number of through holes is regularly defined in an optical non-effective portion of the lens. The through holes are configured for increasing the air convection between inside and outside of the lens. | 02-16-2012 |
20120037937 | LED PACKAGE STRUCTURE AND METHOD OF MAKING THE SAME - An LED package structure includes a substrate unit, a conductive unit, a heat-dissipating unit, a light-emitting unit and a package unit. The substrate unit includes an insulating substrate. The conductive unit includes two top conductive pads disposed on top surface of the insulating substrate, two bottom conductive pads disposed on bottom surface of the insulating substrate, and a plurality of penetrating conductive posts passing the insulating substrate. The two top conducive pads respectively electrically connect the two bottom conductive pads through the penetrating conductive posts. The heat-dissipating unit includes a top heat-dissipating block and a bottom heat-dissipating block respectively disposed on top and bottom surfaces of the insulating substrate. The light-emitting unit includes a light-emitting element on the top heat-dissipating block and electrically connected between the two top conductive pads. The package unit includes a package resin on the conductive unit and the heat-dissipating unit to cover the light-emitting element. | 02-16-2012 |
20120037938 | SR2S15N8 Luminous substance emitting red and light source comprising a luminous substance of said king and method for producing the luminous substance - A red-emitting luminescent material that belongs to the class of nitridosilicates and is doped with at least one activator D, in particular Eu, wherein the material is a modified D-doped alkaline earth nitridosilicate M | 02-16-2012 |
20120037939 | LIGHT EMITTING DIODE - A light emitting diode comprises a LED chip, a package in which the LED chip is housed, and a connection electrode electrically connected to an element electrode with which the LED chip is provided. The package is a laminated body comprising at least a submount substrate and a frame body, and the LED chip is fixedly-mounted on one surface of the submount substrate, and the frame body is laminated on the one surface of the submount substrate and is provided with a through-hole in which the LED chip is stored. The connection electrode is formed on at least either the one surface of the submount substrate or one surface of the frame body facing toward a light irradiation direction, while being exposed in the light irradiation direction. Therefore, the light emitting diode can improve both heat dissipation performance and density of LED placement together. | 02-16-2012 |
20120037940 | LIGHT-EMITTING DEVICE, METHOD FOR ADJUSTING OPTICAL PROPERTIES, AND METHOD FOR MANUFACTURING LIGHT-EMITTING DEVICES - Provided are a light-emitting tube and lamp that can maintain a high lamp efficiency even if the lamp power fluctuate. The light-emitting tube ( | 02-16-2012 |
20120037941 | Red Emitting Luminescent Materials - The invention relates to an improved red light emitting material of the formula ML | 02-16-2012 |
20120037942 | LIGHT EMITTING DEVICE - A light emitting device is provided with a base member, an interconnect pattern disposed on an upper surface of the base member, a light reflecting layer comprising a first layer disposed on a part of the interconnect pattern and formed from a metal material, and a second layer made of a dielectric multilayer reflecting film made with stacked layers of dielectric films having different refractive indices and covering an upper surface and side surfaces of the first layer, a light emitting element chip fixed so as to face at least a part of the light reflecting layer, and a light transmissive sealing member sealing the light reflecting layer and the light emitting element chip. | 02-16-2012 |
20120037943 | ILLUMINATION SYSTEM COMPRISING BEAM SHAPING ELEMENT - The invention relates to an illumination system ( | 02-16-2012 |
20120037944 | LIGHT EMITTING DEVICE - A light emitting device, which has: a light emitting element; a package that comprises a concavity for holding the light emitting element, and that has on its side wall where the concavity is integrally formed a light reflector for reflecting light from the light emitting element and a light transmitter for transmitting light from the light emitting element to the outside. | 02-16-2012 |
20120037945 | LIGHT EMITTING DEVICE - Disclosed is a light emitting structure comprising a first semiconductor layer, a substrate, a reflection electrode disposed on the substrate, a light transmitting electrode disposed on the reflection electrode, and a light emitting structure disposed on the light transmitting electrode, the light emitting structure comprising a first semiconductor layer, a second semiconductor layer, and an active layer interposed between the first and second semiconductor layer. The light transmitting electrode has a thickness of 20 to 200 A. | 02-16-2012 |
20120043567 | LED STRUCTURE WITH BRAGG FILM AND METAL LAYER - The present invention discloses an LED structure with a Bragg film and a metal layer, wherein a Bragg film and a metal layer are coated on a bottom of a sapphire substrate. The Bragg film includes two optical layers having different refractive indexes and alternately stacked. The materials and thickness of the optical layers of the Bragg film are optimized to form a high-reflectivity area via optical operation, which can effectively reflect the incident light generated by the light emitting layer from different incident angles. The Bragg film together with the metal layer can reflect the light, which is projected downward, to be emitted from the top or lateral of an LED structure. Therefore, the present invention can greatly increase the light-extraction efficiency of the LED structure. | 02-23-2012 |
20120043568 | LIGHT-EMITTING DEVICES WITH SUBSTRATE COATED WITH OPTICALLY DENSER MATERIAL - A light-emitting device includes a transparent substrate with a light emitting structure formed on one side of the substrate and a transparent layer formed on the opposing side of the substrate. The refractive index of the transparent layer is greater than the refractive index of the substrate. A light-emitting device includes a package cup having a reflective sidewall and a light emission surface and a light emitting diode (LED) embedded in the package cup. The LED comprises a transparent substrate and a transparent layer formed on the substrate. The reflective sidewall has a first portion in a central area of the package cup and a second portion in a peripheral area of the package cup, the first portion reflects light emitted from the transparent layer to the second portion and, then, the second portion further reflects the light received from the first portion to the light emission surface of the package cup. | 02-23-2012 |
20120043569 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device according to one embodiment includes a light emitting element that emits light having a wavelength of 250 nm to 500 nm and a fluorescent layer that is disposed on the light emitting element. The fluorescent layer includes a phosphor having a composition expressed by the following equation (1) and an average particle diameter of 12 μm or more. | 02-23-2012 |
20120043570 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a semiconductor device includes a lead, a frame, an optical semiconductor element, a sealing resin and a lens. The frame includes a main body covering a portion of the lead and being provided with a recess, another portion of the lead being exposed in the recess, and a casing part provided along an opening edge of the recess, the casing part including a cutout portion. The optical semiconductor element is provided in the recess and is in electrical connection with the lead. The sealing resin fills the recess from a bottom to the casing part, thereby covering the optical semiconductor element. The lens is joined to the sealing resin. | 02-23-2012 |
20120043571 | LIGHT-EMITTING DIODE STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting diode (LED) structure and a method fro manufacturing the same. The LED structure includes a substrate, an illuminant epitaxial structure, first conductivity type and second conductivity type contact layers, a transparent insulating layer, first and second reflective layers, first and second barrier layers, and first conductivity type and second conductivity type electrodes. | 02-23-2012 |
20120043572 | Optoelectronic Semiconductor Body - An optoelectronic semiconductor body with a semiconductor layer sequence ( | 02-23-2012 |
20120043573 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device according to one embodiment includes a light emitting element that emits light having a wavelength of 250 nm to 500 nm and a fluorescent layer that is disposed on the light emitting element. The fluorescent layer includes a phosphor having a composition expressed by the following equation (1) and an average particle diameter of 12 μm or more. | 02-23-2012 |
20120043574 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device according to the present embodiment, which includes, a substrate; a first electrode layer disposed on the substrate; a light emitting structure disposed on the first electrode layer, which includes a first conductive semiconductor layer, a second conductive semiconductor layer and an active layer placed between the first and second conductive semiconductor layers; and a conductive layer, which includes a base conductive layer disposed under the substrate, a body connected to the base conductive layer while passing through the substrate and the first electrode layer, and a head disposed on top of the first electrode layer. Accordingly, the light emitting device is capable of improving light extraction efficiency and include a conductive layer to provide a carrier as well as a semiconductor layer, which are securely formed on the device. | 02-23-2012 |
20120043575 | LIGHT EMITTING DIODE - A light emitting diode is disclosed. The disclosed light emitting diode includes a light emitting structure including a first semiconductor layer, a second semiconductor layer, and an active layer interposed between the first and second semiconductor layers, a first electrode electrically connected to the first semiconductor layer, a second electrode electrically connected to the second semiconductor layer, and a first reflection layer disposed on the second semiconductor layer. The first reflection layer includes at least a first layer having a first index of refraction and a second layer having a second index of refraction different from the first index of refraction. The first reflection layer is further disposed on a side surface of the second electrode and a portion of an upper surface of the second electrode. | 02-23-2012 |
20120056221 | LIGHT EMITTING ELEMENT - The present invention provides a light emitting element, which includes a light emitting diode (LED) chip and a wavelength-converting layer arranged on a surface of the LED chip, the wavelength-converting layer to convert a wavelength of light emitted from the LED chip, wherein at least a portion of the wavelength-converting layer has a width greater than the width of the surface of the LED chip. | 03-08-2012 |
20120056222 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light emitting device includes a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type, a light emitting layer, a first electrode layer, and a second electrode layer. The light emitting layer is between the first semiconductor layer and the second semiconductor layer. The first electrode layer is on a side of the second semiconductor layer opposite to the first semiconductor layer. The first electrode layer includes a metal portion and a plurality of opening portions piercing the metal portion along a direction from the first semiconductor layer toward the second semiconductor layer. The metal portion contacts the second semiconductor layer. An equivalent circular diameter of a configuration of the opening portions as viewed along the direction is not less than 10 nanometers and not more than 5 micrometers. | 03-08-2012 |
20120056223 | LED PACKAGE STRUCTURE AND PACKAGING METHOD THEREOF - A LED package structure includes a supporting substrate, a first electrically-conductive structure, a LED chip, an insulating layer and a second electrically-conductive structure. The supporting substrate includes a top surface, a bottom surface and a first channel. The first electrically-conductive structure is filled in the first channel and partially formed on the top and bottom surfaces of the supporting substrate. The LED chip is disposed over the supporting substrate. The insulating layer is formed over the supporting substrate and on bilateral sides of the LED chip. The insulating layer has a second channel corresponding to the first electrically-conductive structure. The second electrically-conductive structure is filled in the second channel and partially formed on the insulating layer, and connected with an electrode of the LED chip. The LED chip and the top and bottom surfaces of the supporting substrate are connected with each other through the first and second electrically-conductive structures. | 03-08-2012 |
20120056224 | LIGHT EMITTING DEVICE - A light emitting device according to one embodiment includes a light emitting element that emits light having a wavelength of 380 nm to 470 nm; a CASN first red phosphor that is disposed on the light emitting element; a sialon second red phosphor that is disposed on the light emitting element; and a sialon green phosphor that is disposed on the light emitting element. | 03-08-2012 |
20120056225 | LIGHT EMITTING DEVICE - A light emitting device according to one embodiment includes a board; a light emitting element mounted on the board, emitting light having a wavelength of 250 nm to 500 nm; a red fluorescent layer formed on the element, including a red phosphor expressed by equation (1), having a semicircular shape with a diameter r; | 03-08-2012 |
20120056226 | CHIP PACKAGE - An embodiment of the invention provides a chip package which includes: a substrate having a first surface and a second surface; an optoelectronic device disposed at the first surface; a protection layer disposed on the second surface of the substrate, wherein the protection layer has an opening; a conducting bump disposed on the second surface of the substrate and filled in the opening; a conducting layer disposed between the protection layer and the substrate, wherein the conducting layer electrically connects the optoelectronic device to the conducting bump; and a light shielding layer disposed on the protection layer, wherein the light shielding layer does not contact with the conducting bump. | 03-08-2012 |
20120056227 | LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - A light emitting diode (LED) package is disclosed. The LED package includes a lead frame comprising a thermal pad and at least two electrode pads disposed at a distance from the thermal pad; at least one LED mounted on the thermal pad and electrically connected with the at least two electrode pads through a wire; a package mold comprising a first cavity to receive the thermal pad and the at least two electrode pads and to partially expose the thermal pad and the at least two electrode pads through a first surface of the package mold, the first surface on which the at least one LED is mounted, and exposing the thermal pad and the at least two electrode pads through a surface coplanar with a second surface opposite to the first surface; and a molding unit disposed in the first cavity. | 03-08-2012 |
20120056228 | LED CHIP MODULES, METHOD FOR PACKAGING THE LED CHIP MODULES, AND MOVING FIXTURE THEREOF - A method for packaging LED chip modules is provided. First, a first sacrificial layer is disposed on a substrate. Afterwards, LED chips are synchronously disposed on the first sacrificial layer before the first sacrificial layer cures. Next, a first material, a second sacrificial layer, and a second material are used to form a support layer on the first sacrificial layer. The first sacrificial layer and the second sacrificial layer are then removed, so that LED chip modules are obtained, wherein each LED chip module has a corresponding support layer. Furthermore, a moving fixture is provided to synchronously remove chips from a wafer and dispose them on the sacrificial layer. | 03-08-2012 |
20120056229 | LIGHT EMITTING STRUCTURE AND MANUFACTURING METHOD THEREOF - A light-emitting structure comprises a semiconductor light-emitting element which includes a first connection point and a second connection point. The light-emitting structure further includes a first electrode electrically connected to the first connection point, and a second electrode electrically connected the second connection point. The first electrode and the second electrode can form a concave on which the semiconductor light-emitting element is located. | 03-08-2012 |
20120056230 | LIGHT EMITTING DEVICE - A light emitting device according to the embodiment includes a first conductive semiconductor layer; an active layer under the first conductive semiconductor layer; a second conductive semiconductor layer under the active layer; a current blocking region under the second conductive semiconductor layer; a second electrode layer under the second conductive semiconductor layer and the current blocking region; and a first electrode layer including a protrusion protruding toward the first conductive semiconductor layer arranged, on the first conductive semiconductor layer. | 03-08-2012 |
20120056231 | ELECTROLUMINESCENT DEVICE - The invention relates to an electroluminescent device ( | 03-08-2012 |
20120061700 | METHOD AND SYSTEM FOR PROVIDING A RELIABLE LIGHT EMITTING DIODE SEMICONDUCTOR DEVICE - A method and a system for a reliable LED semiconductor device are provided. In one embodiment, the device comprises a carrier, a light emitting diode disposed on the carrier, an encapsulating material disposed over the light emitting diode and the carrier, at least one through connection formed in the encapsulating material, and a metallization layer disposed and structured over the at least one through connection. | 03-15-2012 |
20120061701 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device includes an organic light emitting panel and at least one light extraction enhanced film. The organic light emitting panel has at least one light emitting surface. The light extraction enhanced film is disposed on the light emitting surface of the organic light emitting panel, and the light extraction enhanced film has a recess array. The recess array includes a plurality of recess holes. The recess holes are recessed toward the organic light emitting panel. | 03-15-2012 |
20120061702 | Submounts for Semiconductor Light Emitting Devices and Methods of Forming Packaged Light Emitting Devices Including Dispensed Encapsulants - A submount for mounting an LED chip includes a substrate, a die attach pad configured to receive an LED chip on an upper surface of the substrate, a first meniscus control feature on the substrate surrounding the die attach pad and defining a first encapsulant region of the upper surface of the substrate, and a second meniscus control feature on the substrate surrounding the first encapsulant region and defining a second encapsulant region of the upper surface of the substrate. The first and second meniscus control features may be substantially coplanar with the die attach pad. A packaged LED includes a submount as described above and further includes an LED chip on the die attach pad, a first encapsulant on the substrate within the first encapsulant region, and a second encapsulant on the substrate within the second encapsulant region and covering the first encapsulant. Method embodiments are also disclosed. | 03-15-2012 |
20120061703 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD OF LIGHT EMITTING DEVICE - A light emitting device may include a base provided with a recess portion in a side surface thereof, a light emitting element mounted on a main surface of the base, a first resin body filled in an inside of the recess portion, and covering at least the main surface and the light emitting element, a second resin body covering an outside of the first resin body from the main surface side to at least a position of the lowermost end of the recess portion in a direction orthogonal to the main surface, and phosphor, provided in the second resin body, for absorbing light emitted from the light emitting element and then emitting light having a different wavelength. | 03-15-2012 |
20120061704 | LIGHT EMITTING DEVICE AND LIGHTING INSTRUMENT INCLUDING THE SAME - Disclosed is a light emitting device including, a second electrode layer, a light emitting structure that includes a second conductive semiconductor layer, an active layer and a first conductive semiconductor layer and that is provided on the second electrode layer, a first electrode layer that includes a pad part and an electrode part connected to the pad part and that is provided on the light emitting structure, and a current blocking layer arranged between the second electrode layer and the light emitting structure in such a way that a part of the current block layer overlaps to correspond to the first electrode layer, wherein a width of the current blocking layer corresponding to the electrode part is different depending upon a clearance with the pad part. | 03-15-2012 |
20120061705 | Method for Treating Metal Surfaces - A method for treating a metal surface to reduce corrosion thereon and/or to increase the reflectance of the treated surface, the method comprising a) plating a metal surface with an electroless nickel plating solution; and thereafter b) immersion plating silver on the electroless nickel plated surface, whereby corrosion of the metal surface is substantially prevented and/or the reflectance of the silver plated surface is substantially improved. The treating method is useful for increasing the solderability of the metal surface, for example, in electronic packaging applications. | 03-15-2012 |
20120061706 | SUPPORTING MEMBER AND LIGHT EMITTING DEVICE USING THE SUPPORTING MEMBER - A light emitting device includes a support member having a mounting surface. The support member includes an insulating member having top surface and a plurality of side surfaces, a first metal pattern disposed on the top surface of the insulating member, and a second metal pattern disposed on the side surface of the insulating member such that a side surface of the second metal pattern is continuous with a top surface of the first metal pattern. The light emitting device further includes a light emitting element mounted on the mounting surface at a location of the first metal pattern, and a bonding member that bonds the light emitting element to the mounting surface. The bonding member covers at least a portion of to the first metal pattern and at least a portion of the second metal pattern. | 03-15-2012 |
20120061707 | Solid-State Light-Emitting Element, Light-Emitting Device, and Lighting Device - A solid-state light-emitting element includes a structure body having a property of transmitting visible light and an uneven structure on each of the top side and the bottom side thereof; a high refractive index material layer provided on one surface of the structure body; and a light-emitting body with a refractive index of greater than or equal to 1.6 provided over the high refractive index material layer. One surface of the high refractive index material layer is flatter than the other surface thereof which is in contact with the structure body. The refractive index of the high refractive index material layer is greater than or equal to 1.6. The refractive index of the structure body is greater than 1.0 and less than that of the high refractive index material layer. | 03-15-2012 |
20120061708 | Light-Emitting Device and Lighting Device - Provided is a light-emitting device having a structure in which a high refractive index component is provided between a solid light-emitting element and air, has an uneven structure on a surface in contact with air, and can be reused. The light-emitting device includes a substrate having a refractive index of 1.6 or higher and a light-transmitting property, a solid light-emitting element including a light-emitting region having a refractive index of 1.6 or higher on one surface of the substrate, and a component having a refractive index of 1.6 or higher and a light-transmitting property on the other surface of the substrate, wherein the component includes an uneven structure on a surface in contact with air and is connected to the substrate via a liquid having a refractive index of 1.6 or higher and a light-transmitting property. | 03-15-2012 |
20120061709 | LED MODULE WITH IMPROVED LIGHT OUTPUT - An LED module includes a printed circuit board (PCB) or a surface mounted device (SMD) carrier, an LED chip mounted directly or indirectly on the PCB or SMD carrier, an optical element arranged on top of the LED chip, and a white reflective layer covering a surface of the PCB or SMD carrier on which the optical element is arranged and/or partially covering the optical element. | 03-15-2012 |
20120068208 | MICRO-STRUCTURE PHOSPHOR COATING - An optical emitter includes micro-structure phosphor coating on a light-emitting diode die mounted on a package substrate. The micro-structures are transferred onto a micro-structure phosphor coating precursor by patterning and curing the precursor or by curing the precursor through a mold. The micro-structures are half spheroids, three-sided pyramids, or six-sided pyramids. | 03-22-2012 |
20120068209 | Semiconductor Light Emitting Devices with Optical Coatings and Methods of Making Same - A method of making a semiconductor light emitting device having one or more light emitting surfaces includes positioning a stencil on a substrate such that a chip disposed on the substrate is positioned within an opening in the stencil. Phosphor-containing material is deposited in the opening to form a coating on one or more light emitting surfaces of the chip. The opening may or may not substantially conform to a shape of the chip. The phosphor-containing material is cured with the stencil still in place. After curing, the stencil is removed from the substrate and the coated chip is separated from the substrate. The chip may then be subjected to further processing. | 03-22-2012 |
20120068210 | LIGHT EMITTING COMPONENT AND MANUFACTURING METHOD THEREOF - A light emitting component, and more particularly to a white light emitting component with high light emitting efficiency are provided. The white light emitting component with high light emitting efficiency has properties of high driving voltage, high color render index and concentrated optical density. The light emitting component includes a plurality of different light emitting diode chip groups for emitting a number of lights in different wavelength ranges and a wavelength conversion fluorescent material. A manufacturing method by stacking miniature light emitting diode chip groups to form the white light emitting component is also provided. | 03-22-2012 |
20120068211 | LED PACKAGE STRUCTURE - An LED (light-emitting diode) package structure includes a substrate, at least one LED unit disposed on the substrate for generating a light beam, and an optical correcting element disposed within a travelling path of the light beam. The optical correcting element includes a transparent body disposed on and cooperating with the substrate to define a reception chamber with an opening for access into the reception chamber and a transparent encapsulated body injected into the reception chamber via the opening for encapsulating the LED unit therewithin. | 03-22-2012 |
20120068212 | LIGHT-EMITTING DEVICE - According to one embodiment, a light emitting device includes a light emitting element, a light reflector and a sealing resin layer. The light emitting element has a first major surface and a side surface and has an optical axis of emitted light perpendicular to the first major surface. The light reflector has a light reflecting surface capable of reflecting emission light from the side surface of the light emitting element. The sealing resin layer covers the light emitting element and the light reflecting surface, and includes a first curved surface having a vertex on the optical axis and being convex toward light emitting side and an envelope surface generated by moving a second curved surface. The second curved surface has a vertex on a line passing through the light reflecting surface and being parallel to the optical axis and is convex toward the light emitting side. | 03-22-2012 |
20120068213 | LIGHT EMISSIVE CERAMIC LAMINATE AND METHOD OF MAKING SAME - A laminated composite includes a wavelength-converting layer and a non-emissive blocking layer, wherein the emissive layer includes a garnet host material and an emissive guest material, and the non-emissive blocking layer includes a non-emissive blocking material. The metallic element constituting the non-emissive blocking material has an ionic radius which is less than about 80% of an ionic radius of an A cation element when the garnet or garnet-like host material is expressed as A | 03-22-2012 |
20120068214 | OPTOELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - An optoelectronic device is provided that includes a substrate having a surface and a normal direction perpendicular to the surface, a first semiconductor layer formed on the surface, and at least one hollow component formed between the first semiconductor layer and the surface. A method of fabricating an optoelectronic device is also provided that includes providing a substrate having a surface and a normal direction perpendicular to the surface, forming a first semiconductor layer on the surface, patterning the first semiconductor layer, forming a second semiconductor layer on the substrate and cover the patterned first semiconductor layer, and forming at least one hollow component formed between the first semiconductor layer and the surface. A height of the hollow component varies along with a first direction perpendicular to the normal direction and/or a width of the hollow component varies along with a second direction parallel with the normal direction. | 03-22-2012 |
20120068215 | LIGHT EMITTING DEVICE - A light emitting device is provided. According to an embodiment, the light emitting device includes a first layer to diffuse first light emitted from the active layer, and a second layer to convert the diffused first light into second light having a different wavelength than the first light. Accordingly, it may be possible to diffuse first light emitted from the light emitting structure and to wavelength-convert the first light into second light because the conversion layer including the first and second layers is disposed on the light emitting structure. | 03-22-2012 |
20120068216 | PHOTOELECTRIC DEVICE, METHOD OF FABRICATING THE SAME AND PACKAGING APPARATUS FOR THE SAME - A photoelectric device includes a ceramic substrate defining a cavity in a top thereof and having two electrode layers beside the cavity. A photoelectric die is received in the cavity. A first packing layer is received in the cavity and encapsulates the photoelectric die. The photoelectric die is electrically connected with the electrode layers via two wires. A reflective cup is mounted on the ceramic substrate and defines a receiving space above the top of the ceramic substrate and the first packing layer. A second packing layer is received in the receiving space and covers the first packing layer. | 03-22-2012 |
20120068217 | LIGHT EMITTING DEVICE - A light emitting device includes an active layer; at least a portion of the active layer constitutes a gain region. The gain region is continuous from a first end surface and a second end surface. The gain region includes a first portion extending from the first end surface to a first reflective surface in a direction tilted with respect to a normal to the first side surface as viewed two-dimensionally; a second portion extending from the second end surface to the second reflective surface in a direction tilted with respect to a normal to the first side surface as viewed two-dimensionally; and a third portion extending from the first reflective surface to the second reflective surface in a direction tilted with respect to a normal to the first reflective surface as viewed two-dimensionally. | 03-22-2012 |
20120074443 | LED package structure - An LED package structure includes a base, an LED chip, a frame, and a microstructure lens. The LED chip is arranged on the base. The microstructure lens is arranged on the LED chip, and is a first-order optical lens being subject to surface optical microstructure treatment. The frame is provided for securing the microstructure lens on the base. The microstructure lens of the LED package structure can concentrate the light emitted from the LED chip or vary light patterns of the light emitted from the LED chip so as to achieve the purpose of increasing brightness and luminous angles. | 03-29-2012 |
20120074444 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - The disclosure provides a light emitting device comprising a light source and a reflector, having specular ink, surrounding the light source. | 03-29-2012 |
20120074445 | Light emitting element housing package - A light emitting element housing package comprises a ceramic substrate on which a light emitting element is mounted, and a wiring pattern that is formed on the ceramic substrate and to which a light emitting element chip is electrically connected, wherein a white thin film layer formed from a sintered body of white inorganic particles is formed on at least an upper surface of the wiring pattern, except a connection region in the wiring pattern to be connected to the light emitting element chip. | 03-29-2012 |
20120074446 | PHOSPHOR SHEET, LIGHT-EMITTING DEVICE HAVING THE PHOSPHOR SHEET AND METHOD OF MANUFACTURING THE SAME - Disclosed herein is a light emitting device including: a substrate; a light emitting diode (LED) chip disposed on the substrate; and a phosphor sheet disposed on an upper portion of the LED chip and including alignment members formed on a lower surface thereof. The alignment members contact the LED chip, such that the phosphor sheet is aligned with the LED chip. | 03-29-2012 |
20120074447 | LIGHT EMITTING DIODE ELEMENT - A light emitting diode element having a light emitting diode; and a glass covering sealing the light emitting diode is provided. The glass of the covering consists essentially of from 30 to 70 mol % of SnO, from 15 to 50 mol % of P | 03-29-2012 |
20120074448 | LIGHT EMITTING DEVICE INCLUDING A PHOTONIC CRYSTAL AND A LUMINESCENT CERAMIC - A semiconductor structure including a light emitting layer disposed between an n-type region and a p-type region and a photonic crystal formed within or on a surface of the semiconductor structure is combined with a ceramic layer which is disposed in a path of light emitted by the light emitting layer. The ceramic layer is composed of or includes a wavelength converting material such as a phosphor. | 03-29-2012 |
20120074449 | QUANTUM DOT-METAL OXIDE COMPLEX, METHOD OF PREPARING THE SAME, AND LIGHT-EMITTING DEVICE COMPRISING THE SAME - Provided is a quantum dot-metal oxide complex including a quantum dot and a metal oxide forming a 3-dimensional network with the quantum dot. In the quantum dot-metal oxide complex, the quantum dot is optically stable without a change in emission wavelength band and its light-emitting performance is enhanced. | 03-29-2012 |
20120074450 | OPTICAL GEL MEMBER, ASSEMBLING METHOD OF OPTICAL DEVICE AND OPTICAL DEVICE USING THE SAME - An optical gel member to be used in a gap between light-emitting diode which is a backlight light source of an optical device and light guide plate, as well as an assembling method for an optical device and an optical device using the same. | 03-29-2012 |
20120080698 | HIGH EFFICIENCY LIGHT EMITTING DIODES - The present disclosure relates to high efficiency light emitting diode devices and methods for fabricating the same. In accordance with one or more embodiments, a light emitting diode device includes a substrate having one or more recessed features formed on a surface thereof and one or more omni-directional reflectors formed to overlie the one or more recessed features. A light emitting diode layer is formed on the surface of the substrate to overlie the omni-directional reflector. The one or more omni-directional reflectors are adapted to efficiently reflect light. | 04-05-2012 |
20120080699 | LIGHTWEIGHT HEAT SINKS AND LED LAMPS EMPLOYING SAME - A heat sink comprises a heat sink body, a reflective layer disposed over the heat sink body that has reflectivity greater than 90% for light in the visible spectrum, and a light transmissive protective layer disposed over the reflective layer that is light transmissive for light in the visible spectrum. The heat sink body may comprise a structural heat sink body and a thermally conductive layer disposed over the structural heat sink body where the thermally conductive layer has higher thermal conductivity than the structural heat sink body and the reflective layer is disposed over the thermally conductive layer. A light emitting diode (LED)-based lamp comprises the aforesaid heat sink and an LED module secured with and in thermal communication with the heat sink. The LED-based lamp may have an A-line bulb configuration, or may comprise a directional lamp in which the heat sink defines a hollow light-collecting reflector. | 04-05-2012 |
20120080700 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - A light emitting diode package comprises a substrate, a light emitting diode chip, an encapsulating layer and a transparent surrounding layer. The surrounding layer is disposed on the substrate and encompasses the encapsulating layer, wherein the hardness of the surrounding layer is greater than the encapsulating layer. A method for manufacturing the light emitting diode package is also provided. | 04-05-2012 |
20120080701 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided. The light emitting device package comprises a package body comprising a first cavity, and a second cavity connected to the first cavity; a first lead electrode, at least a portion of which is disposed within the second cavity; a second lead electrode, at least a portion of which is disposed within the first cavity; a light emitting device disposed within the second cavity; a first wire disposed within the second cavity, the first wire electrically connecting the light emitting device to the first lead electrode; and a second wire electrically connecting the light emitting device to the second lead electrode. | 04-05-2012 |
20120080702 | Light Emitting Diode Package Structure and Manufacturing Method Thereof - In one aspect, an LED package structure comprises a fluorescent substrate, a first electrically conductive pattern, a second electrically conductive pattern, at least one electrically conductive element, and an LED chip. The fluorescent substrate has a first surface and a second surface opposite the first surface. The fluorescent substrate comprises a mixture of a fluorescent material and a glass material. The first electrically conductive pattern is disposed on the first surface. The second electrically conductive pattern is disposed on the second surface. The electrically conductive element passes through the fluorescent substrate and connects the first and second electrically conductive patterns. The LED chip is disposed on the second surface and has a light extraction surface that connects the second electrically conductive pattern. The LED chip is electrically coupled to the first electrically conductive pattern via the electrically conductive element. | 04-05-2012 |
20120080703 | Light Emitting Diode Package Structure and Manufacturing Method Thereof - An LED package structure comprises a substrate, a first electrically conductive pattern, a second electrically conductive pattern, at least one electrically conductive element, and an LED chip. The substrate has a first surface and a second surface opposite to the first surface. The first electrically conductive pattern is disposed on the first surface. The second electrically conductive pattern is disposed on the second surface. The at least one electrically conductive element traverses the fluorescent substrate and connects the first and second electrically conductive patterns. The LED chip is disposed on the second surface and has a light extraction surface that connects the second electrically conductive pattern. The LED chip is electrically coupled to the first electrically conductive pattern via the at least one electrically conductive element. | 04-05-2012 |
20120080704 | METHOD OF PROVIDING A PHOSPHOR WITH A PRECISELY CONTROLLED ELEMENT COMPOSITION, A PHOSPHOR PROVIDED BY THE SAME, A PHOSPHOR, AND A LIGHT EMITTING DEVICE COMPRISING THE SAID PHOSPHOR - A method of providing a phosphor with a precisely controlled element composition, a phosphor provided by the same, and a red phosphor represented by the [formula 1] are disclosed, in which | 04-05-2012 |
20120080705 | EPOXY RESIN COMPOSITION FOR OPTICAL SEMICONDUCTOR DEVICE, LEAD FRAME OBTAINED USING THE SAME FOR OPTICAL SEMICONDUCTOR DEVICE, AND OPTICAL SEMICONDUCTOR DEVICE - The present invention relates to an epoxy resin composition for an optical semiconductor device having an optical semiconductor element mounting region and having a reflector that surrounds at least a part of the region, the epoxy resin composition being an epoxy resin composition for forming the reflector, the epoxy resin composition including the following ingredients (A) to (D): (A) an epoxy resin; (B) a curing agent; (C) a white pigment; and (D) at least one antioxidant selected from the group consisting of hindered-phenol antioxidants, sulfide antioxidants and hindered-amine antioxidants. | 04-05-2012 |
20120080706 | CHIP PACKAGE AND METHOD FOR FORMING THE SAME - An embodiment of the invention provides a chip package which includes: a substrate having a surface; a reflective layer partially covering the surface of the substrate; an insulating layer formed on the surface of the substrate and the reflective layer; a conducting layer formed on the insulating layer, wherein at least a portion of a direct projection of the conducting layer on the surface does not overlap with a direct projection of the reflective layer on the surface, and the conducting layer does not electrically contact with the reflective layer; and a chip disposed on the surface of the chip, wherein the chip has at least an electrode electrically connected to the conducting layer. | 04-05-2012 |
20120080707 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - There is provided a semiconductor light emitting device and method of making the same, having a first conductivity type semiconductor layer; an active layer formed on the first conductivity type semiconductor layer; a second conductivity type semiconductor layer formed on the active layer and including a plurality of holes; and a transparent electrode formed on the second conductivity type semiconductor layer. | 04-05-2012 |
20120080708 | PHOSPHOR, LIGHTING SYSTEM AND WHITE LIGHT EMITTING DIODE - The present invention provides a phosphor, a lighting system and a white light emitting diode. The phosphor comprises a compound represented by the formula (1) and Eu as an activator. aM | 04-05-2012 |
20120080709 | LIGHT EMITTING DEVICES HAVING ROUGHENED/REFLECTIVE CONTACTS AND METHODS OF FABRICATING SAME - Light emitting devices include an active region of semiconductor material and a first contact on the active region. The first contact is configured such that photons emitted by the active region pass through the first contact. A photon absorbing wire bond pad is provided on the first contact. The wire bond pad has an area less than the area of the first contact. A reflective structure is disposed between the first contact and the wire bond pad such that the reflective structure has substantially the same area as the wire bond pad. A second contact is provided opposite the active region from the first contact. The reflective structure may be disposed only between the first contact and the wire bond pad. Methods of fabricating such devices are also provided. | 04-05-2012 |
20120080710 | SURFACE LIGHT SOURCE DEVICE, LIGHTING DEVICE, AND BACKLIGHT DEVICE - A surface light source device is provided that has high light extraction efficiency and high mechanical strength and can suppress a change in color tone at different viewing angles. To that end, the surface light source device includes: an organic EL element including a luminescent layer; and a light-emitting surface structure layer that is disposed in contact with one of the surfaces of the organic EL element and defines a concave-convex structure on the surface on the device light-emitting surface side. The concave-convex structure includes a plurality of concave portions having oblique surfaces and flat portions disposed around the concave portions. The surface light source device further includes a diffusing member on which the light emitted from the luminescent layer is incident, the diffusing member allowing the incident light to pass therethrough or reflecting the incident light in a diffused manner. | 04-05-2012 |
20120086028 | Wavelength conversion chip for use with light emitting diodes and method for making same - A wavelength conversion chip is formed by depositing a wavelength conversion material on a substrate to form a layer, removing the resulting wavelength conversion layer from the substrate and then segmenting the wavelength conversion layer into a plurality of wavelength conversion chips. The wavelength conversion material can be annealed by thermal annealing or radiation annealing to increase the wavelength conversion efficiency of the chips or to sinter the wavelength conversion material to form a ceramic material. Optical coatings, vias, light extraction elements, electrical connections or electrical bond pads can be fabricated on the wavelength conversion chips. | 04-12-2012 |
20120086029 | LIGHT-EMITTING DIODE DEVICE AND MANUFACTURING METHOD THEREOF - A light-emitting diode (LED) device includes a substrate and an epitaxial layer which is disposed on a surface of the substrate. A depression is disposed to a sidewall of the LED device, and a reflective layer is disposed to on least one portion of the depression. By the reflective layer disposed to the depression of the sidewall of the LED device, the light loss caused by the interface of the substrate and the epitaxial layer can be reduced, the light absorbed by the substrate can be decreased, and the angle of the light exiting from the LED device can be adjusted. A manufacturing method of the LED device is also disclosed. | 04-12-2012 |
20120086030 | Light-emitting element - A light-emitting element includes a semiconductor substrate, a light emitting portion including an active layer, a reflective portion between the semiconductor substrate and the light emitting portion, and a current dispersion layer on the light emitting portion. The reflective portion includes a plurality of pair layers each including a first semiconductor layer and a second semiconductor layer. The first semiconductor layer has a thickness T | 04-12-2012 |
20120086031 | LED PACKAGE, AND MOLD AND METHOD OF MANUFACTURING THE SAME - The present disclosure provides a light emitting diode (LED) package, which includes a first substrate with electrodes disposed on a top thereof and a second substrate with an LED chip disposed on a top thereof. The LED chip is connected with the electrodes via wires. A first package layer is disposed on the top of the first substrate to cover the wires and electrodes. A fluorescent layer is disposed on the top of the second substrate to cover the LED chip. The present disclosure also provides a mold and a method of manufacturing the LED package. | 04-12-2012 |
20120086032 | SEMICONDUCTOR LIGHT-EMITTING STRUCTURE HAVING LOW THERMAL STRESS - A semiconductor light-emitting structure includes a silicon substrate, a distributed Bragg reflector, a semiconductor structures layer and an epitaxy connecting layer. The silicon substrate has a top surface. The distributed Bragg reflector is formed on the top surface of the silicon substrate. The semiconductor structures layer is configured for emitting light. The epitaxy connecting layer is placed between the distributed Bragg reflector and the semiconductor structures layer. Grooves extend from the semiconductor structures layer through the epitaxy connecting layer and the distributed Bragg reflector to reach the semiconductor structures layer. | 04-12-2012 |
20120086033 | LIGHT EMITTING DEVICE - A lighting emitting device includes a conductive substrate; a first conductive layer formed on the conductive substrate; a second conductive layer formed on the first conductive layer; a second semiconductor layer formed on the second conductive layer; an active layer formed on the second semiconductor layer; a first semiconductor layer being formed on the active layer and including a charge distribution layer; and an insulation layer. | 04-12-2012 |
20120086034 | SOLID-STATE LIGHT EMITTING DEVICES AND SIGNAGE WITH PHOTOLUMINESCENCE WAVELENGTH CONVERSION - A solid-state light emitting device having a solid-state light emitter (LED) operable to generate excitation light and a wavelength conversion component including a mixture of particles of a photoluminescence material and particles of a light reflective material. In operation the phosphor absorbs at least a portion of the excitation light and emits light of a different color. The emission product of the device comprises the combined light generated by the LED and the phosphor. The wavelength conversion component can be light transmissive and comprise a light transmissive substrate on which the mixture of phosphor and reflective materials is provided as a layer or homogeneously distributed throughout the volume of the substrate. Alternatively the wavelength conversion component can be light reflective with the mixture of phosphor and light reflective materials being provided as a layer on the light reflective surface. | 04-12-2012 |
20120086035 | LED Device With A Light Extracting Rough Structure And Manufacturing Methods Thereof - A light emitting diode device includes a substrate, one or more light emitting diode chips on the substrate configured to emit electromagnetic radiation, and a lens configured to encapsulate the light emitting diode chips having a surface with a micro-roughness structure. The micro-roughness structure functions to improve the light extraction of the electromagnetic radiation and to direct the electromagnetic radiation outward from the lens. | 04-12-2012 |
20120086036 | LIGHT EMITTING DEVICE AND LIGTH EMITTING DEVICE PACKAGE - Disclosed are a light emitting device, a method of manufacturing the same and a light emitting device package. The light emitting device of the embodiment includes a light emitting structure including a first conductive semiconductor layer, a second conductive semiconductor layer and an active layer between the first and second conductive semiconductor layers; a fluorescent layer on the light emitting structure; and a light extracting structure on the fluorescent layer. The light extracting structure extracts light, which is generated in the light emitting structure and incident into an interfacial surface between the fluorescent layer and the light extracting structure, to an outside of the light emitting structure. | 04-12-2012 |
20120086037 | LIGHT-EMITTING DEVICE - A light-emitting device comprises a substrate; a light-emitting layer formed on the substrate; a transparent electrode layer formed on the light-emitting layer, the transparent electrode layer having a curved surface; and a reflective layer formed on and along the curved surface of the transparent electrode layer such that the curved surface of the transparent electrode layer is transferred so as to reflect the light generated from the light-emitting layer toward the light-emitting layer. | 04-12-2012 |
20120086038 | LIGHT EMITTING DEVICE HAVING A DIELECTRIC LAYER AND A CONDUCTIVE LAYER IN A CAVITY - Disclosed are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a light emitting structure including a second conductive semiconductor layer, an active layer over the second conductive semiconductor layer, and a first conductive semiconductor layer over the active layer, a dielectric layer in a cavity defined by removing a portion of the light emitting structure, and a second electrode layer over the dielectric layer. | 04-12-2012 |
20120086039 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package according to embodiments comprises: a package body; a lead frame on the package body; a light emitting device supported by the package body and electrically connected with the lead frame; a filling material surrounding the light emitting device; and a phosphor layer comprising phosphors on the filling material. | 04-12-2012 |
20120086040 | LIGHT-EMITTING DIODE HAVING A WAVELENGTH CONVERSION MATERIAL LAYER, AND METHOD FOR FABRICATING SAME - Provided is a light-emitting diode having a wavelength conversion material and a method for fabricating the same. The light-emitting diode comprises: a base structure; a light-emitting diode chip arranged on the base structure; and a wavelength conversion material layer arranged on the light-emitting diode chip, such that the area adjacent the upper surface of the light-emitting diode chip is thicker than the area adjacent to the side surface of the light-emitting diode chip. In addition, the method for fabricating a light-emitting diode comprises: a step of arranging the light-emitting diode chip on the base structure; and a step of arranging a wavelength conversion material layer containing a light-transmitting photocurable material on the light-emitting diode chip, such that the area thereof adjacent to the upper surface of the light-emitting diode chip is thicker than the area thereof adjacent to the side surface of the light-emitting diode chip. | 04-12-2012 |
20120091486 | PHOSPHOR AND LIGHT EMITTING DEVICE - The present invention provides a phosphor, including a constituent having the formula Ca | 04-19-2012 |
20120091487 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - A light emitting diode package comprises a substrate and a lens. The substrate comprises two electrodes and a LED chip disposed thereon, wherein the LED chip electrically connects to one of the electrodes via a conductive wire. The connection between the conductive wire and the corresponding electrode is covered by an encapsulation. The lens is located on the substrate and covers the encapsulation. Moreover, the substrate comprises at least two tunnels inside the covering of the lens penetrating the substrate. A collector is located between the substrate and the lens, wherein a transparent layer is formed inside the collector by injecting fluid material through the tunnels or directly injecting fluid material into the collector. A method for manufacturing the light emitting diode package is also provided. | 04-19-2012 |
20120091488 | METHOD FOR MANUFACTURING A STRUCTURE WITH A TEXTURED SURFACE FOR AN ORGANIC LIGHT-EMITTING DIODE DEVICE, AND STRUCTURE WITH A TEXTURED SURFACE - A method for manufacturing a structure having a textured surface, including a substrate made of mineral glass having a given texture, for an organic-light-emitting-diode device, the method including supplying a rough substrate, having a roughness defined by a roughness parameter Ra ranging from 1 to 5 μm over an analysis length of 15 mm and with a Gaussian filter having a cut-off frequency of 0.8 mm; and depositing a liquid-phase silica smoothing film on the substrate, the film being configured to smooth the roughness sufficiently and to form the textured surface of the structure. | 04-19-2012 |
20120091489 | SUBSTRATE FOR MOUNTING LIGHT-EMITTING ELEMENTS, LIGHT-EMITTING DEVICE, AND METHOD FOR MANUFACTURING SAME - A frame body surrounding a perimeter of each light-emitting element is provided one surface of a substrate. Glass films having apertures are formed on the substrate by glass printing to form the frame body. | 04-19-2012 |
20120091490 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - Provided is a light-emitting device including: a nitride semiconductor light-emitting element ( | 04-19-2012 |
20120091491 | Radiation-Emitting Optical Component - A radiation-emitting semiconductor component, having a layer structure which includes an active layer which, in operation, emits radiation with a spectral distribution, and electrical contacts for applying a current to the layer structure, includes a coating layer which at least partially surrounds the active layer and holds back a short-wave component of the emitted radiation. | 04-19-2012 |
20120091492 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURE - A light emitting device includes a conductive support layer, a light emitting structure layer on the conductive support layer, a first transparent conductive layer and a second transparent conductive layer disposed between the conductive support layer and the light emitting structure layer, and an electrode on the light emitting structure layer. | 04-19-2012 |
20120091493 | SEMICONDUCTOR CHIP ASSEMBLY WITH BUMP/BASE/LEDGE HEAT SPREADER, DUAL ADHESIVES AND CAVITY IN BUMP - A semiconductor chip assembly includes a semiconductor device, a heat spreader, a conductive trace and dual adhesives. The heat spreader includes a bump, a base and a ledge. The conductive trace includes a pad and a terminal. The semiconductor device is mounted on the bump in a cavity in the bump, is electrically connected to the conductive trace and is thermally connected to the heat spreader. The bump extends into an opening in the first adhesive and is aligned with and spaced from an opening in the second adhesive. The base and the ledge extend laterally from the bump. The first adhesive is sandwiched between the base and the ledge, the second adhesive is sandwiched between the conductive trace and the ledge and the ledge is sandwiched between the adhesives. The conductive trace is located outside the cavity and provides signal routing between the pad and the terminal. | 04-19-2012 |
20120091494 | COMPOSITE LUMINESCENT MATERIAL FOR SOLID-STATE SOURCES OF WHITE LIGHT - A composite luminescent material for solid white light sources that include a light-emitting diode radiating in the 430-480 nm range and a mixture of at least two phosphors, one of which has yellow-orange luminescence in the 560-630 nm range, while the other one belongs to the group of aluminates of alkali-earth metals, activated by europium, different in that the second phosphor represents at least one light storage phosphor, virtually unexcitable by the light-emitting diode primary radiation and having a long afterglow. Cerium-activated yttrium-aluminate and/or terbium-aluminate garnets of various compositions, with the general formula (Ln) | 04-19-2012 |
20120091495 | LIGHT REFLECTING SUBSTRATE AND PROCESS FOR MANUFACTURE THEREOF - A light reflecting substrate comprises at least: an insulating layer and a metal layer disposed in contact with the insulating layer. The total reflectivity of light in the wavelength range of more than 320 nm and not more than 700 nm is not less than 50% and the total reflectivity of light in the wavelength range of 300 nm to 320 nm is not less than 60%. The light reflecting substrate further improves the emission power of the light-emitting device when used as the substrate therefor. | 04-19-2012 |
20120098000 | LIGHT EMITTING DIODE PACKAGE - An exemplary light emitting package includes a base, an LED chip mounted on the base, an encapsulant layer encapsulating the LED chip and a phosphor layer located above and separated from the LED chip. The phosphor layer includes a phosphor scattered portion and a clear portion without phosphor therein. An area of the phosphor scattered portion is smaller than the light emitting area of the encapsulant layer from which light emitted upwardly from the LED chip leaves the encapsulant layer. | 04-26-2012 |
20120098001 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - A light emitting diode (LED) package structure includes a substrate, at least one enclosure made of a transparent material, an LED, a first package material, and a second package material. The enclosure is disposed on a surface of the substrate, and forms a configuration area for disposing the LED therein. The first package material made of a transparent material is disposed in the configuration area and covers the LED. The second package material containing a fluorescent material covers the enclosure, the LED, and the first package material. | 04-26-2012 |
20120098002 | Organic light emitting device - An organic light emitting device includes first and second electrodes facing each other, and an emission layer disposed between the first and second electrodes. The emission layer is configured to display light having a first color with a first wavelength region. The emission layer includes a host material configured to display light having a second color with a second wavelength region, and the second wavelength region is shorter than the first wavelength region. The emission layer also includes first and second color dopant materials mixed within the host material. | 04-26-2012 |
20120098003 | LIGHT EMITTING DIODE PACKAGE - An exemplary light emitting diode (LED) package includes a substrate, an LED chip mounted on the substrate, and a wire. The LED chip includes a semiconductor structure and an electrode disposed on the semiconductor structure. The wire electrically connects the electrode of the LED chip to an electrical portion of the substrate. The wire has a first joint and a second joint connected to the substrate. The wire forms a first curved portion between the electrode and the first joint and a second curved portion between the first joint and the second joint. | 04-26-2012 |
20120098004 | LIGHT EMITTING DIODE PACKAGE - An LED package includes a substrate, an LED die and an encapsulation. The substrate includes a supporting surface and a protrusion extending from the supporting surface along a first direction. The protrusion includes a distal end portion extending along a second direction. The first direction and the second direction define a non-zero angle there between. The LED die is arranged on the supporting surface of the substrate. The encapsulation lies on the supporting surface and covers the LED die and the protrusion to increase a bonding connection between the encapsulation and the substrate. | 04-26-2012 |
20120098005 | LED PACKAGE - An exemplary encapsulation structure for encapsulating an LED chip includes a first encapsulation, a second encapsulation and a transparent resin layer with phosphorous compounds doped therein. The first encapsulation defines a receiving room for receiving the LED chip therein. The second encapsulation defines a receiving space for receiving the first encapsulation therein. The second encapsulation is separated from the first encapsulation to define a clearance between the first encapsulation and the second encapsulation. The transparent resin layer is filled in the clearance. The transparent resin layer has a uniform thickness. | 04-26-2012 |
20120098006 | LIGHT EMITTING DIODE PACKAGE WITH PHOTORESIST REFLECTOR AND METHOD OF MANUFACTURING - Optical emitters are fabricated by forming and shaping photoresist reflectors on a package wafer using lithography processes, and bonding Light-Emitting Diode (LED) dies to the package wafer. | 04-26-2012 |
20120098007 | LED UNIT HAVING ELECTROCHROMIC ELEMENT - An LED unit includes an LED and an electrochromic element mounted on the LED. The LED includes a base, a light emitting die mounted on the base, a pair of leads electrically connected to the die and an encapsulant sealing the die. The encapsulant has a first area and a second area around the first area. The first area contains yellow phosphor therein, and the second area contains red phosphor therein. The electrochromic element has an opening through which the first area of the encapsulant is exposed. The second area of the encapsulant is covered by the electrochromic element. The electrochromic element can change its color when being electrified, thereby changing the color temperature of the light output from the LED unit. | 04-26-2012 |
20120098008 | ORGANIC LIGHT EMITTING DIODE DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode device includes a substrate, a transparent electrode disposed on the substrate, an emission layer disposed on the transparent electrode, a reflecting electrode disposed on the emission layer, and a gate insulating layer interposed between the substrate and the transparent electrode, the gate insulating layer being in contact with the transparent electrode and including a first inorganic dielectric layer having a thickness of about 1000 Å or less. | 04-26-2012 |
20120098009 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor light emitting device includes: a light emission structure in which a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer are sequentially stacked; a first electrode formed on the first conductive semiconductor layer; an insulating layer formed on the second conductive semiconductor layer and made of a transparent material; a reflection unit formed on the insulating layer and reflecting light emitted from the active layer; a second electrode formed on the reflection unit; and a transparent electrode formed on the second conductive semiconductor layer, the transparent electrode being in contact with the insulating layer and the second electrode. | 04-26-2012 |
20120098010 | LIGHT EMITTING ELEMENT PACKAGE - A light emitting element package includes a substrate, a light emitting element, and a package member. The substrate includes a first solder pad and a second solder pad. The light emitting element is mounted on the substrate and includes a p-type electrode and an n-type electrode. The package member is configured for enveloping the light emitting element. A first electrode and a second electrode are formed on the package member. The first electrode and the second electrode of the package member are electrically coupled to the p-type electrode and the n-type electrode of the light emitting element. The first electrode and the second electrode of the package member are electrically coupled to the first solder pad and the second solder pad of the substrate. | 04-26-2012 |
20120098011 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting device is disclosed. An organic light emitting device according to one embodiment of the present invention comprises a reflection layer; an anode disposed on the reflection layer; a first stack disposed on the anode and comprising a first light emission layer; a charge generation layer disposed on the first stack; a second stack disposed on the charge generation layer and comprising a second light emission layer; and a cathode disposed on the second stack, the first light emission layer being disposed within about 120 to about 180 nm from a surface of the reflection layer and the second light emission layer being disposed within about 320 to about 380 nm from the surface of the reflection layer. | 04-26-2012 |
20120098012 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting device is disclosed. An organic light emitting device according to one embodiment of the present invention comprises an anode; a first stack disposed on the anode and incorporating a first light emission layer comprising blue dopants for one host; a charge generation layer disposed on the first stack; a second stack disposed on the charge generation layer and incorporating blue and yellow dopants for one host or blue, red, and green dopants for one host; and a cathode disposed on the second stack. | 04-26-2012 |
20120098013 | Light Emitting Device and Manufacturing Method of the Same - The present invention is directed to a light emitting device structured so as to increase the amount of light which is taken out in a certain direction after emitted from a light emitting element, and a method of manufacturing this light emitting device. An upper end portion of an insulating material | 04-26-2012 |
20120098014 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light-emitting device includes a semiconductor layer with a multi-layer structure including an active layer, and having a first surface and a second surface opposite to the first surface, a plurality of ITO pillars formed on the second surface of the semiconductor layer, the second surface being exposed partially, a metal layer formed on the second surface of the semiconductor layer, the metal layer filling a space between the adjacent ITO pillars and covers the ITO pillars, wherein the second surface of the semiconductor layer is exposed from the space between the adjacent ITO pillars, and the metal layer is formed on the exposed second surface. | 04-26-2012 |
20120098015 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT - An optoelectronic semiconductor component includes a housing main body and at least one optoelectronic semiconductor chip mounted on the housing main body. In operation, the optoelectronic semiconductor chip emits primary radiation including an ultraviolet radiation fraction. The semiconductor component also includes a filter medium that absorbs the ultraviolet radiation fraction and is located at least in part between the semiconductor chip and the housing main body and/or between the semiconductor chip and an optical component. | 04-26-2012 |
20120098016 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT AND METHOD FOR PRODUCING AN INORGANIC OPTOELECTRONIC SEMICONDUCTOR COMPONENT - An optoelectronic semiconductor component includes a carrier and at least one semiconductor layer sequence. The semiconductor layer sequence includes at least one active layer. The semiconductor layer sequence is furthermore mounted on the carrier. The semiconductor component furthermore includes a metal mirror located between the carrier and the semiconductor layer sequence. The carrier and the semiconductor layer sequence project laterally beyond the metal mirror. The metal mirror is laterally surrounded by a radiation-transmissive encapsulation layer. | 04-26-2012 |
20120098017 | LIGHT EMITTING MODULE, METHOD OF PRODUCING LIGHT-EMITTING MODULE, AND LIGHTING FIXTURE UNIT - In a light emitting module, each of a first light wavelength conversion member, a second light wavelength conversion member, and a third light wavelength conversion member converts the wavelength of the light emitted by a semiconductor light emitting element to emit the light within a wavelength range different from the others. Each of the first light wavelength conversion member, the second light wavelength conversion member, and the third light wavelength conversion member is formed into a plate shape and is laminated such that the light emitted by the semiconductor light emitting element passes through each of them in descending order of the average wavelength of the light whose wavelength has been converted. | 04-26-2012 |
20120098018 | LIGHT EMITTING DEVICE PACKAGE AND LIGHT UNIT HAVING THE SAME - Disclosed is an LED package. The LED package includes a package body, a semiconductor light emitting device on the package body and at least one of frames on the package body. At least one of the frames includes a bottom frame on the package body, and at least two sidewall frames extending from the bottom frame and inclined with respect to the bottom frame. | 04-26-2012 |
20120098019 | LIGHT EMITTING DIODE PACKAGE HAVING HEAT DISSIPATING SLUGS - A light emitting diode package having heat dissipating slugs is provided. The light emitting diode package comprises first and second heat dissipating slugs formed of a conductive material and spaced apart from each other; a package main body coupled to the first and second heat dissipating slugs to support the first and second heat dissipating slugs; and a light emitting diode die electrically connected to the first and second heat dissipating slugs, wherein the respective first and second heat dissipating slugs are exposed to the outside through lower and side surfaces of the package main body. As such, the first and second heat dissipating slugs can be used as external leads. | 04-26-2012 |
20120098020 | CERAMIC SUBSTRATE FOR MOUNTING A DEVICE, CERAMIC SUBSTRATE FOR MOUNTING AN LED, LED LAMP, HEADLIGHT AND ELECTRONIC PARTS - According to one embodiment, a ceramic substrate for mounting a device is provided. The ceramic substrate includes a through-hole and a recessed portion provided on at least one edge surface thereof. | 04-26-2012 |
20120104434 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided are a light emitting device and a method for manufacturing the same. The light emitting device comprises a first conductive type semiconductor layer, an active layer, a second conductive type semiconductor layer, and a light extraction layer. The active layer is formed on the first conductive type semiconductor layer. The second conductive type semiconductor layer is formed on the active layer. The light extraction layer is formed on the second conductive type semiconductor layer. The light extraction layer has a refractive index smaller than or equal to a refractive index of the second conductive type semiconductor layer. | 05-03-2012 |
20120104435 | REFRACTIVE INDEX TUNING OF WAFER LEVEL PACKAGE LEDS - Two or more molded ellipsoid lenses are formed on a packaged LED die by injecting a glue material into a mold over the LED die and curing the glue material. After curing, the refractive index of the lens in contact with the LED die is greater than the refractive index of the lens not directly contacting the LED die. At least one phosphor material is incorporated into the glue material for at least one of the lenses not directly contacting the LED die. The lens directly contacting the LED die may also include one or more phosphor material. A high refractive index coating may be applied between the LED die and the lens. | 05-03-2012 |
20120104436 | LIGHT EMITTING PACKAGE WITH A MECHANICAL LATCH - A surface mount light emitting device package with mechanical latching means for locking a lens on to the package is provided. The surface mount light emitting package may include an encapsulation layer or a lens, a lead frame, at least one lead, a body, a die, and a layer of transparent gel encapsulant material. The lead frame may include at least one protrusion which is bent upward to from at least one latch for engaging the lens. | 05-03-2012 |
20120104437 | OPTIC ASSEMBLY UTILIZING QUANTUM DOTS - An optic assembly is provided. The assembly includes a housing having an upstream end and a downstream end. An LED is positioned in the upstream end of the housing. The LED is configured to generate excitation light therefrom. The excitation light has a first wavelength. An optic is positioned in the downstream end of the housing. The optic is positioned remotely from the LED so that a cavity is formed between the LED and the optic. The excitation light generated from the LED passes downstream through the cavity to the optic. Quantum dots are positioned on the optic. The excitation light excites the quantum dots so that the quantum dots produce emitted light having a second wavelength that is different than the first wavelength of the excitation light. | 05-03-2012 |
20120104438 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - An LED package structure includes a substrate, a first electrical portion and a second electrical portion formed on the substrate, and an LED chip mounted on a first surface of the first electrical portion. The first and second electrical portions are electrically insulated from each other. The LED chip includes a first electrode connected with the first electrical portion and a second electrode connected with the second electrical portion through a connecting wire. The LED chip has a top surface for supporting the second electrode. The connecting wire has a highest point. A distance between the highest point and the top surface is less than a half of a distance between the first surface of the first electrical portion and the top surface of the LED chip. | 05-03-2012 |
20120104439 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes: a light emission structure including a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer; and a wavelength conversion layer formed on at least a portion of a light emission surface of the light emission structure, made of a light-transmissive material including phosphor particles, and having a void therein. A semiconductor light emitting device includes: a light emission structure including a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer; and a wavelength conversion layer formed on at least a portion of a light emission surface of the light emission structure, made of a light-transmissive material including phosphor particles or quantum dots, and having a void therein. | 05-03-2012 |
20120104440 | OPTOELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - An optoelectronic device comprising, a substrate and a first transition stack formed on the substrate comprising a first transition layer formed on the substrate having a hollow component formed inside the first transition layer, a second transition layer formed on the first transition layer, and a reflector rod formed inside the second transition layer. | 05-03-2012 |
20120104441 | METHOD OF MANUFACTURING COLOR FILTER SUBSTRATE, SEMI-TRANSMISSIVE LIQUID CRYSTAL DISPLAY USING THE SAME, AND MANUFACTURING METHOD THEREOF - A manufacturing method of a color filter substrate, a semi-transmissive LCD using the same, and a manufacturing method thereof are disclosed. In one embodiment, the manufacturing method of the color filter substrate includes preparing a first substrate which comprises a reflection region and a transmission region. Then, a color resist on the first substrate is formed. A mask, including a semi-transmission mask corresponding to the reflection region, is provided on the color resist. An exposure process is provided for the color resist with the mask to form a color filter layer on the first substrate. The color filter layer is formed by removing a portion of the color resist of the reflection region. | 05-03-2012 |
20120104442 | LED AND MANUFACTURING METHOD - An LED includes a substrate, an LED chip setting on the substrate and a reflection cup surrounding the LED chip on the substrate. The LED chip electrically connects with two electrodes setting on the substrate. The reflection cup is filled with an encapsulating material. A fluorescent layer is formed by heating the encapsulating material and deposits on an end of the encapsulation away from the LED chip. The fluorescent layer is used for converting light from the LED chip into a specific wavelength. | 05-03-2012 |
20120104443 | IIIOxNy ON SINGLE CRYSTAL SOI SUBSTRATE AND III n GROWTH PLATFORM - A silicon-on-insulator (SOI) substrate structure and method of fabrication including a single crystal silicon substrate, a layer of single crystal rare earth oxide formed on the substrate, a layer of engineered single crystal silicon formed on the layer of single crystal rare earth oxide, and a single crystal insulator layer of IIIO | 05-03-2012 |
20120104444 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes: first and second conductive type semiconductor layers; an active layer disposed between the first and second conductive type semiconductor layers; and first and second electrodes disposed on one surface of each of the first and second conductive type semiconductor layers, respectively, wherein at least one of the first and second electrodes includes a pad part and a finger part formed to extend from the pad part, and the end of the finger part has an annular shape. Because a phenomenon in which current is concentrated in a partial area of the finger part is minimized, tolerance to electrostatic discharge (ESD) can be strengthened and light extraction efficiency can be improved. | 05-03-2012 |
20120104445 | CHIP PACKAGE AND METHOD FOR FORMING THE SAME - An embodiment of the invention provides a chip package which includes: a substrate having a surface; a first conducting layer located on the surface; a second conducting layer located on the surface, wherein the first conducting layer and the second conducting layer are electrically insulated from each other; a first reflective layer conformally located on the first conducting layer and at least partially covering a side of the first conducting layer; a second reflective layer conformally located on the second conducting layer and at least partially covering a side of the second conducting layer; and a chip disposed on the surface of the substrate and having at least a first electrode and a second electrode, wherein the first electrode is electrically connected to the first conducting layer, and the second electrode is electrically connected to the second conducting layer. | 05-03-2012 |
20120104446 | METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE - A method for manufacturing a light emitting device, includes: forming a first multilayer body including a first substrate, a first semiconductor layer provided on the first substrate and having a light emitting layer, and a first metal layer provided on the first semiconductor layer; forming a second multilayer body including a second substrate having a thermal expansion coefficient different from a thermal expansion coefficient of the first substrate, and a second metal layer provided on the second substrate; a first bonding step configured to heat the first metal layer and the second metal layer being in contact with each other; removing the first substrate after the first bonding step; and a second bonding step configured to perform, after the removing, heating at a temperature higher than a temperature of the first bonding step. | 05-03-2012 |
20120104447 | LIGHT EMITTING DEVICE PACKAGE - Disclosed is a light emitting device package. The light emitting device package includes a substrate comprising a recess, a light emitting chip on the substrate and a first conductive layer electrically connected to the light emitting chip. And the first conductive layer includes at least one metal layer electrically connected to the light emitting chip on an outer circumference of the substrate. | 05-03-2012 |
20120104448 | LIGHT-EMITTING DEVICE - A semiconductor light-emitting device that is high in luminous efficiency and that emits light which is high in color rendering property includes a semiconductor light-emitting element that emits blue light; a green fluorescent substance that absorbs the blue light and emits green light; and an orange fluorescent substance that absorbs the blue light and emits orange light, fluorescence emitted by the green fluorescent substance and the orange fluorescent substance having an emission spectrum that has a peak wavelength of not less than 540 nm and not more than 565 nm and that satisfies the relation of 0.70>PI(90)/PI(MAX)>0.55, where PI(MAX) represents an emission intensity at the peak wavelength, and PI(90) represents an emission intensity at a wavelength 90 nm longer than the peak wavelength. | 05-03-2012 |
20120112218 | Light Emitting Diode with Polarized Light Emission - An apparatus for emitting polarized light and a method for fabricating such apparatus are provided. The apparatus includes a surface emission light emitting diode (LED), a first electrode, and a sub-wavelength metal grating (SWMG). The surface emission LED includes a first contact surface and a second contact surface. The first electrode is coupled to the first contact surface. The SWMG is formed on a surface of the surface emission LED. | 05-10-2012 |
20120112219 | Gradient Composite Material and Method of Manufacturing the Same - Method of manufacturing gradient composite material comprises steps of providing plural surface modified inorganic nanoparticles with functional groups or oligomers with functional groups; transferring the surface modified inorganic nanoparticles or oligomers with functional groups into an organic matrix to form a mixture; performing a photo polymerization step or a thermo-polymerization step for polymerizing and generating a gradient distribution of the surface modified inorganic nanoparticles or oligomers with functional groups in the mixture; and curing the mixture to solidify the organic matrix and form a structure with gradient composite, wherein the organic matrix is transferred into an organic polymer after curing. | 05-10-2012 |
20120112220 | LED-Based Light Source Utilizing Asymmetric Conductors - A light source includes LED dies that are flip-chip mounted on a flexible plastic substrate. The LED dies are attached to the substrate using an asymmetric conductor material with deformable conducting particles sandwiched between surface mount contacts on the LED dies and traces on the substrate. A diffusively reflective material containing light scattering particles is used instead of expensive reflective cups to reflect light upwards that is emitted sideways from the LED dies. The diffusively reflective material is dispensed over the top surface of the substrate and contacts the side surfaces of the dies. The light scattering particles are spheres of titanium dioxide suspended in silicone. The light source is manufactured in a reel-to-reel process in which the asymmetric conductor material and the diffusively reflective material are cured simultaneously. A silicone layer of molded lenses including phosphor particles is also added over the mounted LED dies in the reel-to-reel process. | 05-10-2012 |
20120112221 | LED PACKAGE STRUCTURE AND MANUFACTURING METHOD FOR THE SAME - The LED package structure of the invention includes a substrate ( | 05-10-2012 |
20120112222 | LED LIGHTING DEVICE - A LED lighting device includes a substrate defining a groove formed by a sidewall and a bottom, a LED chip received in the groove, a reflector attached to the side wall, a circuit protection module electrically connected with the LED chip, and a notch defined on the bottom for receiving the circuit protection module. | 05-10-2012 |
20120112223 | LED PACKAGE - An LED package includes a substrate, an LED chip, a transparent thermal insulation layer and an encapsulation including phosphor. The LED chip is arranged on the substrate and electrically connected to the substrate. The transparent thermal insulation layer is located between the LED package and the package layer whereby the phosphor is not affected by a high temperature generated by the LED chip when the LED chip is activated to generate light. | 05-10-2012 |
20120112224 | METHOD FOR PRODUCING A STRUCTURE WITH A TEXTURED EXTERNAL SURFACE, INTENDED FOR AN ORGANIC LIGHT EMITTING DIODE DEVICE, AND A STRUCTURE WITH A TEXTURED EXTERNAL SURFACE - A process for obtaining a structure having a textured external surface for an organic light-emitting device, which structure includes a mineral glass substrate having a surface which is provided with projections and depressions, the process including the deposition of an etching mask on the surface of the substrate and the etching of the surface of the substrate around the etching mask, and possible removal of the mask, wherein one of the steps of preparing the etching mask consists in forming a multitude of nodules randomly arranged on the surface of the substrate and made of a material possessing no affinity with the glass and wherein, after the etching step, the structure undergoes a moderating step in which the slopes of the projections of submicron height and width obtained by etching are moderated sufficiently to form the thus moderated textured external surface. | 05-10-2012 |
20120112225 | METHOD FOR PRODUCING AN ORGANIC LIGHT-EMITTING DIODE DEVICE HAVING A STRUCTURE WITH A TEXTURED SURFACE AND RESULTING OLED HAVING A STRUCTURE WITH A TEXTURED SURFACE - A process for manufacturing an organic light-emitting diode device bearing a structure having a textured outer surface including a substrate made of inorganic glass that forms the support of the organic light-emitting diode device, includes: manufacturing the structure having a textured outer surface including: vapor depositing, onto the substrate made of inorganic glass, a first dielectric layer of at least 300 nm in thickness at a temperature greater than or equal to 100° C. so as to form protrusions, depositing onto the first layer a second smoothing dielectric layer, having a refractive index greater than or equal to that of the first layer, and made of an essentially amorphous material so as to sufficiently smooth the protrusions and to form the textured outer surface, and depositing, directly onto the smoothing layer, an electrode in the form of layer(s), so as to form a surface that conforms substantially to the smoothed outer surface. | 05-10-2012 |
20120112226 | LIGHT-EMITTING DIODE AND METHOD FOR THE PRODUCTION OF A LIGHT-EMITTING DIODE - A light emitting diode includes a semiconductor body including an active region that produces radiation, a carrier body fastened to the semiconductor body on an upper side of the semiconductor body, the carrier body including a luminescence conversion material consisting of a ceramic luminescence conversion material, a mirror layer applied to the semiconductor body on an underside of the semiconductor body remote from the upper side, and two contact layers, a first contact layer of the contact layers connected electrically conductively to an n-conducting region of the semiconductor body and a second contact layer of the contact layers connected electrically conductively to a p-conducting region of the semiconductor body. | 05-10-2012 |
20120112227 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes an LED chip, which includes an n-type semiconductor layer, active layer, and p-type semiconductor layer stacked on a substrate. The LED chip further includes an anode electrode connected to the p-type semiconductor, and a cathode connected to the n-type semiconductor. The anode and cathode electrodes face a case with the LED chip mounted thereon. The case includes a base member including front and rear surfaces, and wirings including a front surface layer having anode and cathode pads formed at the front surface, a rear surface layer having anode and cathode mounting electrodes formed at the rear surface, an anode through wiring connecting the anode pad and the anode mounting electrode and passing through a portion of the base member, and a cathode through wirings connecting the cathode pad and the cathode mounting electrode and passing through a portion of the base member. | 05-10-2012 |
20120112228 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device includes: a substrate; a light emitting element disposed on the substrate; a wavelength conversion unit disposed on the substrate to cover at least an upper surface of the light emitting element; and a reflection unit formed to cover a side surface and a lower surface of the substrate and having a resin and a reflective filler dispersed in the resin. Light emitting devices having uniform characteristics can be obtained by minimizing a chromaticity distribution of white light with respect to the different light emitting devices. | 05-10-2012 |
20120112229 | LIGHT EMITTING DEVICE PACKAGE AND METHOD OF MANUFACTURING THE SAME - A light emitting device package includes: first and second electrodes, at least a portion of a lower surface thereof being exposed; a light emitting device disposed on an upper surface of at least one of the first and second electrodes; a reflection wall disposed on the upper surface of the first and second electrodes and surrounding the light emitting device to form a mounting part therein; and a fluorescent film disposed on the reflection wall to cover an upper portion of the mounting part. The mounting part is filled with air. | 05-10-2012 |
20120112230 | INTEGRATED ANTENNA DEVICE MODULE FOR GENERATING TERAHERTZ CONTINOUS WAVE AND FABRICATION METHOD THEREOF - The exemplary embodiments of the present invention include forming a photoconductor thin film on a front surface of a substrate; forming a photoconductor thin film pattern by patterning the photoconductor thin film; and forming a metal electrode on the photoconductor thin film pattern. | 05-10-2012 |
20120112231 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - Disclosed are a light emitting device and a light emitting device package. The light emitting device includes a light emitting structure including a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first and second conductive type semiconductor layers, an electrode on the first conductive type semiconductor layer, a reflective layer under the second conductive type semiconductor layer, a protective layer on an outer portion of the reflective layer, the protective layer including a first portion between the reflective layer and the second conductive layer, and a second portion that extends beyond the second conductive type semiconductor layer; and a light extraction structure including a compound semiconductor on the second portion of the protective layer. | 05-10-2012 |
20120112232 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed are a semiconductor light emitting device. The semiconductor light emitting device comprises a light emitting structure comprising a plurality of compound semiconductor layers, a passivation layer at the outside of the light emitting structure, a first electrode layer on the light emitting structure, and a second electrode layer under the light emitting structure. | 05-10-2012 |
20120112233 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Discussed is a semiconductor light emitting device. The semiconductor light emitting device includes a first conductive semiconductor layer, an active layer under the first conductive semiconductor layer, a second conductive semiconductor layer under the active layer, a second electrode layer under the second conductive semiconductor layer, and a transmissive conductive layer at least one part between the second conductive semiconductor layer and the second electrode layer. | 05-10-2012 |
20120112234 | ORGANIC EL PANEL - Each pixel includes a region where a lower reflection film is not present. In each pixel, there is a region where a microcavity structure is formed between a counter electrode and a lower reflection film and another region where the microcavity structure is not formed. The regions differentiated in cavity length can differently enhance the peak wavelength so as to improve the viewing angle dependence. Furthermore, in each of R, G, and B light emitting pixels, the area ratio of a region where the microcavity structure is present and another region where the microcavity structure is not present can be adjusted so as to eliminate the differences caused by the microcavity structure. | 05-10-2012 |
20120112235 | Luminous Device Having a Flexible Printed Circuit Board - A lighting device ( | 05-10-2012 |
20120112236 | LED CHIP ASSEMBLY, LED PACKAGE, AND MANUFACTURING METHOD OF LED PACKAGE - Provided is a highly reliable LED package with significantly improved heat radiating properties, manufacturing method of the LED package, and an LED chip assembly used in the LED package. The LED package is characterized in that the LED chip assembly ( | 05-10-2012 |
20120119241 | Etendue and Light Extraction System and Method - A system and method for displacing the etendue value of light emitted as measured in a plane at an emission surface of a light emitting device to a second plane at determined height above the emission surface using a light coupling layer. The system and method increases light output or extraction of generated light from the light emitting device through a light coupling layer. | 05-17-2012 |
20120119242 | LIGHT-EMITTING DEVICE - According to one embodiment, a light emitting device includes a support body, a first light emitting portion, a second light emitting portion, and a second reflector. The support body includes a first reflector. The first light emitting portion and the second light emitting portion are provided on the support body and include a light emitting layer. Downward directed light of emission light from the light emitting layer is capable of being reflected upward by the first reflector. The second reflector is interposed between the first light emitting portion and the second light emitting portion, provided on the support body, has a cross-sectional shape expanding downward, and includes a side surface metal layer provided on a side surface of the second reflector. | 05-17-2012 |
20120119243 | HIGH EFFICIENCY LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - Exemplary embodiments of the present invention relate to a high-efficiency light emitting diode (LED). The LED according to an exemplary embodiment includes a substrate, a semiconductor stack arranged on the substrate, wherein the semiconductor stack has a p-type semiconductor layer, an active layer and an n-type semiconductor layer, a first metal layer interposed between the substrate and the semiconductor stack, the first metal layer ohmic-contacted with the semiconductor stack, a first electrode pad arranged on the semiconductor stack, an electrode extension extending from the first electrode pad, wherein the electrode extension has a contact region contacting the n-type semiconductor layer, a first insulating layer interposed between the substrate and the semiconductor stack, wherein the first insulating layer covers a surface region of the p-type semiconductor layer under the contact region of the electrode extension, and a second insulating layer interposed between the first electrode pad and the semiconductor stack. | 05-17-2012 |
20120119244 | LED PACKAGE AND METHOD FOR MANUFACTURING THE SAME - An LED package includes a substrate, an LED chip, and an encapsulation. The substrate includes a first surface. The LED chip is mounted on the first surface of the substrate. The encapsulation covers the LED chip. The encapsulation includes a transparent main body and a number of carbon nanotubes distributed in the transparent main body; the carbon nanotubes are arranged substantially extending along a same direction whereby light generated by the LED chip is polarized prior to radiation out of the encapsulation. | 05-17-2012 |
20120119245 | LIGHT-EMITTING DEVICE - Disclosed is a light-emitting device comprising: a carrier comprising: a first side and a second side; a semiconductor light-emitting stack layer on the first side of the carrier, the semiconductor light-emitting stack layer comprising a first conductivity type semiconductor layer, an active layer, and a second conductivity type semiconductor layer ; and a first electrode structure electrically coupled to the second conductivity type semiconductor layer, the first electrode structure comprising: a main electrode surrounding the semiconductor light-emitting stack layer; an extending electrode extending from the main electrode onto the second conductivity type semiconductor layer; and an electrode pad coupling to the main electrode. | 05-17-2012 |
20120126262 | ETCHING GROWTH LAYERS OF LIGHT EMITTING DEVICES TO REDUCE LEAKAGE CURRENT - The present disclosure relates to methods for fabricating LEDs by patterning and etching an n-doped epitaxial layer to form regions of roughened surface of the n-doped layer and mesa structures adjacent to the roughened surface regions before depositing an active layer and the rest of the epitaxial layers on the mesa structures. The method includes growing epitaxial layers of an LED including an un-doped layer and an n-doped layer on a wafer of growth substrate. The method also includes patterning the n-doped layer to form a first region of the n-doped layer and a mesa region of the n-doped layer adjacent to the first region. The method further includes etching the first region of the n-doped layer to create a roughened surface. The method further includes growing additional epitaxial layers of the LED including an active layer and a p-doped layer on the mesa region of the n-doped layer. | 05-24-2012 |
20120126263 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic electroluminescence device and a method of manufacturing the same are provided. The organic electroluminescence device is suitable for being configured on a substrate. The organic electroluminescence device includes a first electrode, a first doped carrier transporting layer, a light-emitting layer, a second doped carrier transporting layer, and a second electrode. The first electrode is configured on the substrate. The first doped carrier transporting layer is configured on the first electrode. The light-emitting layer is configured on the first doped carrier transporting layer. The second doped carrier transporting layer is configured on the light-emitting layer and has a first surface in contact with the light-emitting layer and a second surface opposite to the first surface. The first surface is substantially a planar surface, and the second surface is a rough surface having a plurality of micro-protrusions. The second electrode is configured on the second surface. | 05-24-2012 |
20120126264 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - An exemplary light emitting diode (LED) package includes a substrate having a first electrical portion and a second electrical portion formed thereon, two antioxidation layers formed on and electrically connected to the first electrical portion and the second electrical portion, respectively, and an LED chip disposed on the substrate and electrically connected to the two antioxidation layers. | 05-24-2012 |
20120126265 | LED PACKAGE - An exemplary LED package includes a substrate, an electric layer formed on the substrate, an LED chip mounted on the substrate and electrically connected with the electric layer, a first fluorescent layer and a second fluorescent layer. The first fluorescent encloses the LED chip and includes first phosphorous compounds. The second fluorescent covers the first fluorescent layer and includes second phosphorous compounds different from the first phosphorous compounds. The second fluorescent layer is detachably mounted at an outside of the first fluorescent layer. | 05-24-2012 |
20120126266 | ILLUMINATION APPARATUS - According to one embodiment, an illumination apparatus includes an LED (Light Emitting Diode) module, a light guide plate, and a support body. The support body supports the LED module and the light guide plate. A reflective surface of the support body is provided between a portion supporting the LED module and a portion supporting the light guide plate. The reflective surface is reflective with respect to the light emitted from the LED package. The LED module is tilted relative to the reflective surface with the LED package mounting surface being toward the reflective surface. An angle between the LED module and the reflective surface is less than 90°. | 05-24-2012 |
20120126267 | LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - A method for manufacturing a light emitting diode (LED) package is provided. The method includes preparing a package body including a first lead frame formed with a cavity and inserted on one side of a bottom surface of the cavity and a second lead frame inserted on the other side, mounting an LED chip on the bottom surface and electrically connecting the LED chip with the first lead frame and the second lead frame, forming a molding portion by a molding resin in the cavity, connecting, to the package body, a first mold corresponding to the molding portion and including a through hole having an inner surface linearly or non-linearly inclined, connecting a second mold to an upper surface of the first mold, forming a lens portion on the molding portion by a transparent resin, and separating the first mold and the second mold from the package body. | 05-24-2012 |
20120126268 | LIGHTING DEVICE - A lighting device is provided with a structure body which has an inner surface including a region with a negative Fresnel lens shape and a high refractive index material layer which is closely in contact with the inner surface. The high refractive index material layer has a Fresnel lens shape in a region closely in contact with the inner surface, and a plane light-emitting body is provided over the structure body with the high refractive index material layer interposed therebetween. The high refractive index material layer is provided so as to fill at least the negative Fresnel lens shape of the structure body and thus has a surface including the region with the Fresnel lens shape at the interface with the structure body. | 05-24-2012 |
20120126269 | LIGHT EMITTING DEVICE, MANUFACTURING METHOD THEREOF, AND OPTICAL DEVICE - The present invention provides a light emitting device which is capable of enhancing the radiant intensity on a single direction. The light emitting device comprises a substrate, a lens bonded to the substrate, and an LED chip bonded to the substrate and exposed in a gap clipped between the substrate and the lens, wherein the lens has a light output surface which bulges in a direction that is defined from the substrate toward the LED chip and is contained in a thickness direction of the substrate to transmit the light emitted from the LED chip. | 05-24-2012 |
20120126270 | Organic Optical Device and Protective Component of Organic Optical Device - An organic optical device which can suppress deterioration due to moisture or an impurity is provided. An organic optical device includes a supporting body, a functional layer provided over the supporting body, and a light-emitting body containing an organic compound provided over the functional layer. The functional layer includes an insulating film containing gallium or aluminum, zinc, and oxygen. The supporting body and the functional layer each have a property of transmitting light with a wavelength of greater than or equal to 400 nm and less than or equal to 700 nm. By using the insulating film containing gallium or aluminum, zinc, and oxygen as a protective film, entry of moisture or an impurity into an organic compound or a metal material can be suppressed. | 05-24-2012 |
20120126271 | LIGHT-EMITTING DEVICE HAVING A GAIN REGION AND A REFLECTOR - A light-emitting device has a first cladding layer, an active layer formed above the first cladding layer, a second cladding layer formed above the active layer, a gain region, and a reflecting part. The active layer has first and second side surfaces parallel to each other. The gain region has a first end surface disposed on the first side surface. The gain region also has a second end surface disposed inside from the second side surface and angled relative to the second side surface. The second end surface, the gain region and the first end surface are provided in a first normal direction relative to the second end surface. The reflecting part is disposed next to the second end surface. | 05-24-2012 |
20120126272 | LIGHT-EMITTING ELEMENT AND DISPLAY DEVICE USING SAME - A light-emitting element that has an improved light-extraction efficiency and an improved color purity of an emitted light. A light-emitting element includes a reflective electrode, a transparent electrode, a light-emitting layer, a functional layer, and a color filter. An optical film thickness of the functional layer is from approximately 218 nm to approximately 238 nm for a light emitting element that emits a blue light. An optical film thickness of the functional layer is from approximately 384 nm to approximately 400 nm for a light emitting element that emits a red light. | 05-24-2012 |
20120126273 | OLED SUBSTRATE CONSISTING OF TRANSPARENT CONDUCTIVE OXIDE (TCO) AND ANTI-IRIDESCENT UNDERCOAT - A light-emitting devices and methods for forming light-emitting devices are provided. The device comprises of a substrate having a first refractive index, a transparent electrode that is coupled to an organic layer, where the transparent electrode has a second refractive index different from the first refractive index. An undercoat layer is selected that has a third refractive index to substantially match the first refractive index to the second refractive index. The undercoat layer is selected such that it has a capacity to reduce root mean square roughness of the transparent electrode film deposited. The undercoat layer is selected to improve electrical properties of the transparent electrode layer. The undercoat layer is provided between the substrate and the transparent electrode. | 05-24-2012 |
20120126274 | OPTICAL COMPOSITION - The invention relates to a composition comprising a binder material and nanoparticles having an average particle size of 100 nm or less having a first refractive index of at least 1.65 in respect of light of a first wavelength, and a second refractive index in the range of 1.60-2.2 in respect of light of a second wavelength, wherein said first refractive index is higher than said second refractive index, and wherein the first and second refractive indices may be tuned by adjusting the volume ratio of the nanoparticles to the binder material. The composition may improve light extraction when used for bonding a ceramic member to an LED, and/or may reduce the amount of light that is directed back towards the LED. | 05-24-2012 |
20120126275 | LIGHT-EMITTING DIODE WITH COMPENSATING CONVERSION ELEMENT AND CORRESPONDING CONVERSION ELEMENT - A light-emitting diode includes a light-emitting diode chip which emits primary radiation in a spectral range of blue light during operation; a conversion element including a first phosphor and a second phosphor which absorbs part of the primary radiation and re-emits secondary radiation, wherein the first phosphor has, in an absorption wavelength range (Δλ | 05-24-2012 |
20120126276 | LIGHT EMITTING ELEMENT AND LIGHT EMITTING DEVICE - A light emitting element and a light emitting device for which light extraction efficiency is enhanced are provided. | 05-24-2012 |
20120132941 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - The present invention provides a light emitting device and a method for manufacturing a light emitting device. The light emitting device includes a base, an LED inversely mounted on the base. The LED includes an LED chip connected to the base and a buffer layer located on the LED. The buffer layer includes a plurality of depressions with complementary pyramid structure on a surface of the buffer layer not face the LED, the surface being a light-exiting surface of the LED. The buffer layer is made from silicon carbide. The light emitting device has a large area of the light-exiting surface and provides a reflecting film on a base, thus improving the luminous efficiency of the light emitting device. Inversely mounting mode is adopt, which is easy to implement. | 05-31-2012 |
20120132942 | LIGHT EMITTING DIODE PACKAGE - An exemplary LED package includes first and second electrodes, an LED chip and two electrically conductive wires. The first electrode has a top surface and an opposite bottom surface. A recess is defined in the top surface of the first electrode. The second electrode has a top surface and an opposite bottom surface. A recess is defined in the top surface of the second electrode. The LED chip has a bottom surface attached to the top surface of the first electrode, and a top surface on which a first pad and a second pad are formed. One of the electrically conductive wires has an end connecting to the first pad and an opposite end joining with a bottom of the recess of the first electrode. The other has an end connecting to the second pad and an opposite end joining with a bottom of the recess of the second electrode. | 05-31-2012 |
20120132943 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes an n-type semiconductor layer, an electrode, a p-type semiconductor layer and a light emitting layer. The p-type semiconductor layer is provided between the n-type semiconductor layer and the electrode and includes a p-side contact layer contacting the electrode. The light emitting layer is provided between the n-type and the p-type semiconductor layers. The p-side contact layer includes a flat part having a plane perpendicular to a first direction from the n-type semiconductor layer toward the p-type semiconductor layer and multiple protruding parts protruding from the flat part toward the electrode. A height of the multiple protruding parts along the first direction is smaller than one-fourth of a dominant wavelength of light emitted from the light emitting layer. A density of the multiple protruding parts in the plane is 5×10 | 05-31-2012 |
20120132944 | LIGHT-EMITTING DEVICE, LIGHT MIXING DEVICE AND MANUFACTURING METHODS THEREOF - Disclosed is a light-emitting device comprising: a carrier; a light-emitting element disposed on the carrier; a first light guide layer covering the light-emitting element, and disposed on the carrier; a wavelength conversion and light guide layer covering the first light guide layer and the light-emitting element, and disposed on the carrier; and a low refractive index layer disposed between the first light guide layer and the wavelength conversion and light guide layer; wherein the first light guide layer comprises a gradient refractive index, the wavelength conversion and light guide layer comprises a dome shape structure and is used to convert a wavelength of light emitted from the light-emitting element and transmit light, and the low refractive index layer is used to reflect light from the wavelength conversion and light guide layer. | 05-31-2012 |
20120132945 | OPTOELECTRONIC SEMICONDUCTOR CHIP AND METHOD FOR PRODUCING AN OPTOELECTRONIC SEMICONDUCTOR CHIP - An optoelectronic semiconductor chip includes a semiconductor layer sequence. The semiconductor layer sequence contains at least one active layer for generating primary radiation. In addition, the semiconductor layer sequence includes a plurality of conversion layers, the conversion layers being designed to absorb the primary radiation at least partially and to convert it into secondary radiation of a longer wavelength than the primary radiation. Furthermore the semiconductor layer sequence comprises a roughening which extends at least into the conversion layers. | 05-31-2012 |
20120132946 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE INCLUDING THE SAME - A light emitting device is disclosed. The light emitting device includes a first electrode and a second electrode, which have different areas, thereby achieving enhanced bonding reliability. | 05-31-2012 |
20120132947 | LIGHT-EMITTING DIODE AND METHOD FOR PRODUCING A LIGHT-EMITTING DIODE - A light-emitting diode includes a carrier having a mounting surface; at least one light-emitting diode chip fixed to the mounting surface; and a reflective element provided for reflecting electromagnetic radiation, wherein the reflecting element is fixed to the carrier and includes porous polytetrafluoroethylene. | 05-31-2012 |
20120138981 | Light-Emitting Diode Apparatus and Method for Making the Same - A light-emitting diode apparatus includes a light-emitting diode, a first package layer provided over the light-emitting diode, and a second package layer provided over the first package layer. The first package layer is dosed with phosphor. The second package layer is not dosed with any phosphor. The second package layer is formed with a textured light-emitting surface. | 06-07-2012 |
20120138982 | LIGHT-EMITTING DIODE DEVICE - A light-emitting diode (LED) device. In one embodiment, the LED device includes a heat dissipation bulk, a first electrode pad, a second electrode pad and at least one LED chip. The heat dissipation bulk includes at least two concaves. The first electrode pad and the second electrode pad are respectively disposed in the concaves and are electrically isolated from each other. The LED chip is embedded into the heat dissipation bulk, and the heat dissipation bulk electrically isolates the LED chip, the first electrode pad and the second electrode pad. The LED chip includes a first electrode and a second electrode of different conductivity types, and the first electrode and the second electrode are electrically connected to the first electrode pad and the second electrode pad respectively. | 06-07-2012 |
20120138983 | LIGHT EMITTING DIODE PACKAGE - An LED package includes a substrate, a blue LED chip, an encapsulant and a fluorescent layer. The blue LED chip is arranged on the substrate. The encapsulant covers the blue LED chip. The fluorescent layer is arranged on a top surface of the encapsulant. The fluorescent layer includes a first fluorescent area above the blue LED chip and a second fluorescent area encircling the first fluorescent area. The first fluorescent area includes red fluorescent substance and green fluorescent substance mixed therein. The second fluorescent area includes yellow fluorescent substance mixed therein. | 06-07-2012 |
20120138984 | Semiconductor light emitting element - A semiconductor light emitting element includes a semiconductor multilayer structure including a first conductive type layer, a second conductive type layer, and a light emitting layer sandwiched between the first conductive type layer and the second conductive type layer, and a reflecting layer formed on the second conductive type layer for reflecting the light emitted from the light emitting layer. The light is extracted in a direction from the light emitting layer toward the first conductive type layer. The first conductive type layer includes a concavo-convex region on a surface thereof not opposite to the light emitting layer, for changing a path of light, and at least a part of the reflecting layer is formed extending to right above an edge of the concavo-convex region. | 06-07-2012 |
20120138985 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes a first semiconductor layer, a second semiconductor layer and a light emitting part. The first semiconductor layer includes an n-type semiconductor layer. The second semiconductor layer includes a p-type semiconductor layer. The light emitting part is provided between the first semiconductor layer and the second semiconductor layer, and includes a plurality of barrier layers and a well layer provided between the plurality of barrier layers. The first semiconductor layer has a first irregularity and a second irregularity. The first irregularity is provided on a first major surface of the first semiconductor layer on an opposite side to the light emitting part. The second irregularity is provided on a bottom face and a top face of the first irregularity, and has a level difference smaller than a level difference between the bottom face and the top face. | 06-07-2012 |
20120138986 | METHOD FOR FABRICATION OF (AL,IN,GA) NITRIDE BASED VERTICAL LIGHT EMITTING DIODES WITH ENHANCED CURRENT SPREADING OF N-TYPE ELECTRODE - A method of fabricating an (Al, In, Ga)N based optoelectronic device, comprising forming an n-type ohmic contact on an (Al, In, Ga)N surface of the device, wherein the surface comprises an Nitrogen face (N-face) and a N-rich face of the (Al, In, Ga)N, the n-type contact is on the N-face and the N-rich face, and the current spreading of the n-type ohmic contact is enhanced by a combination of a lower and a higher contact resistance on the surface. | 06-07-2012 |
20120138987 | OPTICAL PLATFORM TO ENABLE EFFICIENT LED EMISSION - An integrated multi-layer apparatus and method of producing the same is disclosed. The apparatus comprises an LED, a beam shaping layer, and a refracting layer between the beam shaping layer from the LED. The refracting layer may have an index of refraction lower than the index of refraction of the LED and the beam shaping layer. | 06-07-2012 |
20120138988 | LIGHT EMITTING DEVICE PACKAGE AND MANUFACTURING METHOD THEREOF - A light emitting device (LED) package and a manufacturing method thereof are provided. The LED package includes an LED including a first electrode pad and a second electrode pad disposed on one surface thereof; a bonding insulating pattern layer configured to expose the first electrode pad and the second electrode pad; a substrate including a via hole bored from a first surface to a second surface and a wiring metal layer formed on an inner surface of the via hole to extend to a part of the second surface; and a bonding metal pattern layer bonded to the wiring metal layer exposed through the via hole at the first surface of the substrate and also bonded to the first electrode pad and the second electrode pad. | 06-07-2012 |
20120138989 | LIGHT EMITTING DEVICE PACKAGE AND METHOD OF MANUFACTURING THE SAME - A light emitting device package includes a plurality of lead frames separated from one another; at least one light emitting device provided with a wire bonding pad attached to a lower surface thereof opposite an upper light emission surface thereof, and mounted on the lead frames such that the wire bonding pad is positioned in a space between the lead frames; a bonding wire electrically connecting the wire bonding pad to the lead frame through the space between the lead frames; and a mold part encapsulating the lead frames, the light emitting device and the bonding wire, and having a reflection groove formed in an upper surface thereof to expose the light emission surface therethrough and a pad groove formed in a bottom surface thereof to expose a portion of the lead frame so as to form a solder pad thereon. | 06-07-2012 |
20120138990 | METAL SUBSTRATE AND LIGHT SOURCE DEVICE - The invention provides a metal substrate and a light source device ensuring that a semiconductor chip working as a light source can be firmly joined by using a metal joining material, such that heat generated in the mounted semiconductor chip can be efficiently dissipated through a metal plate. The metal substrate includes a heat dissipating metal plate made of a metal except for Au, an insulating resin-made white film stacked on a part of the heat dissipating metal plate, and a light source mounting surface-forming layer stacked on another part of the heat dissipating metal plate. The metal substrate is such that the light source mounting surface-forming layer is a metal layer directly contacting the heat dissipating metal plate, and the light source mounting surface is a surface of an Au layer which is the outermost layer of the light source mounting surface-forming layer. | 06-07-2012 |
20120138991 | HIGH-EFFICIENCY LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - This invention provides a high-efficiency light-emitting device and the manufacturing method thereof The high-efficiency light-emitting device includes a substrate; a reflective layer; a bonding layer; a first semiconductor layer; an active layer; and a second semiconductor layer formed on the active layer. The second semiconductor layer includes a first surface having a first lower region and a first higher region. | 06-07-2012 |
20120138992 | METHOD FOR PREPARING PHOSPHOR AND LIGHT EMITTING DEVICE - A method for preparing a phosphor includes: dissolving at least one metal as a raw material of a desired phosphor in liquid ammonia to form a metal-amide type precursor; gathering the metal-amide type precursor; and firing the precursor to form a desired phosphor. | 06-07-2012 |
20120138993 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device is disclosed. The light emitting device includes a first conductive type semiconductor layer, an active layer disposed on the first conductive type semiconductor layer, a tunnel junction layer comprising a second conductive type nitride semiconductor layer and a first conductive type nitride semiconductor layer disposed on the active layer, wherein the first conductive type nitride semiconductor layer and the second conductive type nitride semiconductor layer are PN junctioned, a first electrode disposed on the first conductive type semiconductor layer, and a second electrode disposed on the first conductive type nitride semiconductor layer, wherein a portion of the second electrode is in schottky contact with the second conductive type nitride semiconductor layer through the first conductive type nitride semiconductor layer. | 06-07-2012 |
20120138994 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHT UNIT - A light emitting device according to an embodiment of the present invention includes a first conductive semiconductor layer providing a roughness on a upper surface thereof and including a PEC etching control layer; an active layer under the first conductive semiconductor layer; a second conductive semiconductor layer under the active layer; a reflective electrode electrically connected to the second conductive semiconductor layer; and a first electrode electrically connected to the first conductive semiconductor layer. | 06-07-2012 |
20120138995 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate, a first conductive type semiconductor layer disposed on the substrate, an active layer disposed on one part of the first conductive type semiconductor layer, a second conductive type semiconductor layer disposed on the active layer, a first electrode disposed on the second conductive type semiconductor layer, and a second electrode disposed on the other part of the first conductive type semiconductor layer, wherein a trench is formed at a portion of the second conductive type semiconductor layer, the active layer or the first conductive type semiconductor layer so that the trench is disposed under the first electrode. | 06-07-2012 |
20120138996 | Semiconductor Light Emitting Devices and Submounts - A submount for a semiconductor light emitting device includes a semiconductor substrate having a cavity therein configured to receive the light emitting device. A first bond pad is positioned in the cavity to couple to a first node of a light emitting device received in the cavity. A second bond pad is positioned in the cavity to couple to a second node of a light emitting device positioned therein. Light emitting devices including a solid wavelength conversion member and methods for forming the same are also provided. | 06-07-2012 |
20120138997 | WHITE COLOR REFLECTING MATERIAL AND PROCESS FOR PRODUCTION THEREOF - A general-use white color reflecting material, and a process for production thereof are provided. The white color reflecting material, without troublesome surface treatment such as formation of a reflective layer by plating, is capable of reflecting a near-ultraviolet ray of a wavelength region of 380 nm or longer or a near-infrared ray sufficiently without light leakage; does not become yellow even when exposed to near-ultraviolet rays; has excellent lightfastness, heat resistance, and weatherability; has high mechanical strength and chemical stability; is capable of maintaining a high degree of whiteness; and is easily moldable at a low cost. Further a white color reflecting material used as an ink composition for producing the white color reflecting material in a film shape is also provided. The white color reflecting material comprises; a silicone resin or silicone rubber formed from titanium oxide-containing silicone composition, in which anatase-type or rutile-type titanium oxide particles are dispersed. | 06-07-2012 |
20120138998 | Light-Emitting Device - A light-emitting device ( | 06-07-2012 |
20120138999 | SEMICONDUCTOR LIGHT- EMITTING ELEMENT, SEMICONDUCTOR LIGHT- EMITTING DEVICE, METHOD FOR PRODUCING SEMICONDUCTOR LIGHT- EMITTING ELEMENT, METHOD FOR PRODUCING SEMICONDUCTOR LIGHT- EMITTING DEVICE, ILLUMINATION DEVICE USING SEMICONDUCTOR LIGHT-EMITTING DEVICE, AND ELECTRONIC APPARATUS - The disclosed semiconductor light-emitting element is configured from layering an n-type semiconductor layer, a light-emitting layer, and a p-type semiconductor layer ( | 06-07-2012 |
20120146069 | Oxide Based LED BEOL Integration - A light emitting diode (LED) structure and method for making a light emitting diode are disclosed. The structure comprises deep trench metal electrodes between which electroluminescent material is disposed on the sidewalls of the electrodes, forming a series of luminescent diode elements stacked horizontally on a substrate. | 06-14-2012 |
20120146070 | LIGHT EMITTING CHIP AND METHOD FOR MANUFACTURING THE SAME - A light emitting chip includes a substrate, a heat conducting layer formed on the substrate, a protective layer formed on the heat conducting layer, a light emitting structure and a connecting layer connecting the protective layer with the light emitting structure. The heat conducting layer includes a plurality of horizontally grown carbon nanotube islands. The light emitting structure includes a first semiconductor layer, a light emitting layer and a second semiconductor layer. A first transparent conductive layer and a current conducting layer are sandwiched between the first semiconductor layer and the connecting layer. A second transparent conductive layer is formed on the second semiconductor layer. | 06-14-2012 |
20120146071 | LIGHT EMITTING CHIP AND METHOD FOR MANUFACTURING THE SAME - A light emitting chip includes a substrate, a heat conducting layer formed on the substrate, a light emitting structure and a connecting layer connecting the heat conducting layer with the light emitting structure. The heat conducting layer includes a plurality of spaced catalyst areas on the substrate and a plurality of carbon nanotube islands vertically grown from the catalyst areas. The light emitting structure includes a first semiconductor layer, a light emitting layer and a second semiconductor layer. A first transparent conductive layer and a current conducting layer are sandwiched between the first semiconductor layer and the connecting layer. A second transparent conductive layer is formed on the second semiconductor layer. | 06-14-2012 |
20120146072 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - According to one embodiment, a semiconductor light emitting element includes a light emitting layer, a current spreading layer of a first conductivity type, and a pad electrode. The light emitting layer is capable of emitting light. The current spreading layer has a first surface and a second surface. The light emitting layer is disposed on a side of the first surface. A light extraction surface having convex structures of triangle cross-sectional shape and a flat surface which is a crystal growth plane are included in the second surface. The pad electrode is provided on the flat surface. One base angle of the convex structure is 90 degrees or more. | 06-14-2012 |
20120146073 | NIGHT VISION IMAGING SYSTEM (NVIS) COMPATIBLE LIGHT EMITTING DIODE - The present disclosure is directed to a LED assembly that is compatible for use with a night vision imaging system. Such LEDs may emit energy between 400 and 600 nm of the electromagnetic spectrum while limiting energy emissions between 600 and 1200 nanometers. Near infrared photochemistry is incorporated directly into the lens or encapsulant of an LED with an opaque package that limits transmission of visible and near infrared energy. | 06-14-2012 |
20120146074 | OPTICAL DEVICE - According to one embodiment, an optical device includes a lead, an optical element, and a sealing layer. The optical element is provided on the lead. The sealing layer is provided so as to cover the optical element. An upper surface of the sealing layer has a central portion including an optical axis of the optical element, a protrusion including an inner side surface surrounding the central portion and an outer side surface facing outward, and a connecting portion provided below the inner side surface and between the inner side surface and the central portion. The connecting portion includes a rounded portion on at least one of the inner side surface side and the central portion side. The outer side surface of the protrusion has average value of gradient angle larger than average value of gradient angle of a surface of the central portion. | 06-14-2012 |
20120146075 | Semiconductor light emitting element - A semiconductor light emitting element includes a semiconductor multilayer structure including a first conductive type layer, a second conductive type layer and a light emitting layer sandwiched between the first conductive type layer and the second conductive type layer, a first transparent electrode formed on the second conductive type layer, a reflecting layer formed on the first transparent electrode, and including a smaller area than the first transparent electrode, a second transparent electrode formed on the first transparent electrode so as to cover the reflecting layer, and a pad electrode formed on the second transparent electrode and in a region above the reflecting layer. | 06-14-2012 |
20120146076 | CONVERSION MEDIUM BODY, OPTOELECTRONIC SEMICONDUCTOR CHIP AND METHOD OF PRODUCING AN OPTOELECTRONIC SEMICONDUCTOR CHIP - A method of producing an optoelectronic semiconductor chip includes providing a semiconductor layer sequence with at least one active layer, providing a one-piece conversion medium body, wherein a matrix material is incompletely crosslinked and/or cured, and wherein the conversion medium body exhibits at room temperature a hardness of Shore A 0 to Shore A 35 and/or a viscosity of 10 Pa·s to 150 Pa·s, placing the conversion medium body onto the semiconductor layer sequence such that they are in direct contact with one another, and curing the conversion medium body wherein after curing the hardness of the conversion medium body is Shore A 30 to Shore D 80. | 06-14-2012 |
20120146077 | LIGHT EMITTING DEVICE - A light emitting device | 06-14-2012 |
20120146078 | High Efficiency Conversion LED - A conversion LED with a chip which emits primary blue radiation, and a layer containing luminescent substance upstream of the chip which converts at least part of the primary radiation of the chip into secondary radiation, wherein a first garnet A3B5O12:Ce yellow-green emitting luminescent substance and a second nitride silicate M2X5Y8:D orange-red emitting luminescent substance is used, wherein the peak wavelength of the primary radiation is in the range of 430 to 450 nm, in particular of up to 445 nm, while the first luminescent substance is a garnet with the cation A=Lu or a mixture of Lu, Y with up a Y fraction of up to 30%, and wherein B has fractions of both Al and Ga, while the second luminescent substance is a nitride silicate which contains both Ba and Sr as cation M, and in which the doping consists of Eu, wherein the second luminescent substance contains 35 to 75 mol.-% Ba for the component M, remainder is Sr, where X=Si and Y=N. | 06-14-2012 |
20120146079 | Conversion LED with High Color Rendition Index - A conversion LED comprising a chip which emits primary radiation and, positioned upstream of the chip, a luminescent substance-containing layer which converts at least some of the primary radiation of the chip into secondary radiation, wherein a first yellow-green emitting luminescent substance of the A3B5O12:Ce garnet type and a second orange-red emitting luminescent substance of the MAlSiN3:Eu calsine type is used, wherein the peak wavelength of the primary radiation lies in the 435 to 455 nm range, the first luminescent substance being a garnet having essentially the cation A=Lu or Lu in combination with Y, and B simultaneously having fractions of Al and Ga, while the second luminescent substance is of the basic MAlSiN3:Eu type containing Ca as M with a fraction of at least 80%, in particular at least 90%, preferably at least 95%, where M is Ca alone or predominantly Ca and the remainder of M may be Sr, Ba, Mg, Li or Cu, in each case alone or in combination, and wherein some of the Al up to 20%, preferably at most 5%, can be replaced by B, O, F, Cl, alone or in combination. | 06-14-2012 |
20120146080 | LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - A light emitting device package includes substrate; first and second conduction members on the substrate; a light emitting diode on the substrate, the light emitting diode being electrically connected with the first and second conduction members; and a phosphor layer on the light emitting diode. | 06-14-2012 |
20120146081 | GaN COMPOUND SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD OF MANUFACTURING THE SAME - The present invention relates to a gallium nitride (GaN) compound semiconductor light emitting element (LED) and a method of manufacturing the same. The present invention provides a vertical GaN LED capable of improving the characteristics of a horizontal LED by means of a metallic protective film layer and a metallic support layer. According to the present invention, a metallic protective film layer with a thickness of at least 10 microns may be formed on the lateral and/or bottom sides of the vertical GaN LED. Further, a metallic substrate may be used instead of a sapphire substrate. A metallic support layer may be formed to protect the element from being distorted or damaged. Furthermore, a P-type electrode may be partially formed on a P—GaN layer in a mesh form. | 06-14-2012 |
20120146082 | LIGHT EMITTING PACKAGE - The present invention discloses a light emitting package, comprising: a base; a light emitting device on the base; an electrical circuit layer electrically connected to the light emitting device; a gold layer on the electrical circuit layer; a wire electrically connected between the light emitting device and the gold layer; a screen member having an opening and disposed on the base adjacent to the light emitting device; and a lens covering the light emitting device, wherein a bottom surface of the screen member is positioned higher than the light emitting device, and wherein an entire uppermost surface of the screen member is in contact with the lens. | 06-14-2012 |
20120146083 | VERTICAL LED WITH CURRENT-GUIDING STRUCTURE - Techniques for controlling current flow in semiconductor devices, such as LEDs are provided. For some embodiments, a current-guiding structure may be provided including adjacent high and low contact areas. For some embodiments, a second current path (in addition to a current path between an n-contact pad and a substrate) may be provided. For some embodiments, both a current-guiding structure and second current path may be provided. | 06-14-2012 |
20120153323 | Photolithographic led fabrication using phase-shift mask - Photolithographic methods of forming a roughened surface for an LED to improve LED light emission efficiency are disclosed. The methods include photolithographically imaging a phase-shift mask pattern onto a photoresist layer of a substrate to form therein a periodic array of photoresist features. The roughened substrate surface is created by processing the exposed photoresist layer to form a periodic array of substrate posts in the substrate surface. A p-n junction multilayer structure is then formed atop the roughened substrate surface to form the LED. The periodic array of substrate posts serve as scatter sites that improve the LED light emission efficiency as compared to the LED having no roughened substrate surface. The use of the phase-shift mask enables the use of affordable photolithographic imaging at a depth of focus suitable for non-flat LED substrates while also providing the needed resolution to form the substrate posts. | 06-21-2012 |
20120153324 | MULTILAYER-DOPED ORGANIC LIGHT EMITTING DIODE STRUCTURE - A multilayer-doped OLED structure comprises a substrate, an anode layer, a hole transport layer, a multilayer-doped organic light emitting layer, an electron transport layer, an electron injection layer and a metallic cathode layer. The multilayer-doped organic light emitting layer functions as a lighting source. The multilayer-doped organic light emitting layer is fabricated by a plurality of film deposition and doping processes. Thereby, the multilayer-doped organic light emitting layer has better quantum effect to improve luminous efficiency and illumination of OLED. | 06-21-2012 |
20120153325 | Integrated Reflector and Thermal Spreader and Thermal Spray Fabrication Method - A low-cost integrated reflector and heat spreader for high-density high power solid-state (e.g., LED) lighting arrays includes a base structure onto which is applied a sacrificial material. A relatively thick thermal spray coating is applied over the base structure and sacrificial material. The sacrificial material is removed. A channel(s) is thereby provided within the thermal spray coating layer and in physical contact with the base structure. The channel may be filled with a cooling fluid. A pulsating heat pipe heat spreader may thereby be provided. A reflective material may be provided either over another surface of the base structure or alternatively over the thermal spray coating layer to provide a surface for reflecting and directing light emitted from a solid state light source that may be secured to the integrated reflector and heat spreader. | 06-21-2012 |
20120153326 | LIGHT EMITTING DIODE PACKAGE - An exemplary light emitting diode (LED) package includes a substrate, an electrical member formed on the substrate, an LED chip mounted on the substrate and electrically connected to the electrical member, and a heat-dissipating member formed on the electrical member. The heat-dissipating member helps the LED chip to dissipate heat generated thereby when the LED chip is in operation. | 06-21-2012 |
20120153327 | SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME - A light-emitting device package including a lead frame formed of a metal and on which a light-emitting device chip is mounted; and a mold frame coupled to the lead frame by injection molding. The lead frame includes: a mounting portion on which the light-emitting device chip is mounted; and first and second connection portions that are disposed on two sides of the mounting portion in a first direction and connected to the light-emitting device chip by wire bonding, wherein the first connection portion is stepped with respect to the mounting portion, and a stepped amount is less than a material thickness of the lead frame. | 06-21-2012 |
20120153328 | LIGHT-EMITTING DEVICE, LIGHT-EMITTING DEVICE PACKAGE, METHOD OF MANUFACTURING LIGHT-EMITTING DEVICE, AND METHOD OF PACKAGING LIGHT-EMITTING DEVICE - A light-emitting device including a phosphor layer, a light-emitting device package employing the light-emitting device, a method of manufacturing the light-emitting device, and a method of packaging the light-emitting device. The light-emitting device includes: a light-transmissive substrate having a top surface, a bottom surface, and side surfaces; a light-emitting unit formed on the top surface of the light-transmissive substrate; and a phosphor layer covering all the side surfaces of the light-transmissive substrate. According to the present invention, chromaticity inferiorities of light emitted from side surfaces of a substrate may be reduced. | 06-21-2012 |
20120153329 | WAFER SUBSTRATE BONDING STRUCTURE AND LIGHT EMITTING DEVICE COMPRISING THE SAME - A wafer substrate bonding structure may be provided that includes: a first substrate; and a conductive thin film which is disposed on the first substrate and includes a resin and conductive corpuscles included in the resin. | 06-21-2012 |
20120153330 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THEREOF - A light emitting device and a method of manufacturing thereof are disclosed. The light emitting device includes a light emitting element having first and second main surfaces opposed to each other; a wavelength converting part formed on the first main surface of the light emitting element; first and second terminals formed on the second main surface of the light emitting element; and a reflecting part formed to cover at least sides of the light emitting element and sides of the wavelength converting part. The light emitting device in which the color dispersion of white light is minimized with respect to the emitting direction of light, whereby the white light exhibits uniform characteristics and further, light emitting efficiency is improved is obtained. | 06-21-2012 |
20120153331 | LIGHT-EMITTING DEVICE - A light-emitting device includes a light-emitting layer and a fine structure interposed between the light-emitting layer and a substrate, wherein the fine structure includes a laminate of a first fine substructure and a second fine substructure, the first and second fine substructures each includes a first member and second members disposed in the first member, the second members having a refractive index different from the refractive index of the first member and being periodically arranged in a direction parallel to a surface of the substrate, and the second members of the first fine substructure and the second members of the second fine substructure have different arrangement periods. | 06-21-2012 |
20120153332 | EPITAXIAL STRUCTURE OF AN LED AND MANUFACTURING METHOD THEREOF - An epitaxial structure of a light emitting diode (LED) includes a substrate, an epitaxial layer, and a light capturing microstructure. The substrate has a top surface. The epitaxial layer is grown on the top surface of the substrate and has a P-type semiconductor layer, an active layer, and an N-type semiconductor layer in sequence. The light capturing microstructure is positioned on an upper portion of the epitaxial layer which is distant from the substrate. A manufacturing method of an epitaxial structure of an LED is also disclosed. The light capturing microstructure includes at least a concave and an insulating material filled in the at least a concave. | 06-21-2012 |
20120153333 | Light-Emitting Device and Lighting Device - A highly reliable light-emitting device which includes an organic EL element and is lightweight is provided. The light-emitting device includes a first organic resin layer; a first glass layer over the first organic resin layer; a light-emitting element over the first glass layer; a second glass layer over the light-emitting element; and a second organic resin layer over the second glass layer. The first organic resin layer and the first glass layer each have a property of transmitting visible light. The thickness of the first glass layer and the thickness of the second glass layer are independently greater than or equal to 25 μ and less than or equal to 100 μ. The light-emitting element includes a first electrode having a property of transmitting visible light, a layer containing a light-emitting organic compound, and a second electrode stacked in this order from the first glass layer side. | 06-21-2012 |
20120153334 | LED PACKAGE - A light emitting diode (LED) package is provided. The LED package includes an LED, a plurality of lead frames electrically connected with the LED, a package body having a receiving groove exposed to receive the LED therein and including a plurality of supporting units provided to project from an inner side surface of the receiving groove, and a filling member having an engaging groove engaged with the supporting unit at a circumference of a side surface thereof, and included inside the receiving groove. | 06-21-2012 |
20120153335 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor light-emitting device according to an embodiment includes a lead frame, an LED chip, a transparent resin, and a resin housing. The transparent resin coats the LED chip and the top surface of the lead frame. In addition, the transparent resin is filled in the space between the first lead frame and the second lead frame, and a part of the transparent resin is exposed to the bottom surface of the lead frame. The resin housing is provided over the lead frame. The resin housing includes an upper part coating a top surface of the transparent resin, side-surface parts coating side surfaces of the transparent resin, and an opening through which one of the side surfaces of the transparent resin is exposed. | 06-21-2012 |
20120153336 | DIODE AND PROCESS FOR MAKING AN ORGANIC LIGHT-EMITTING DIODE WITH A SUBSTRATE PLANARISATION LAYER - An organic light-emitting diode (OLED) on a transparent substrate includes a microcavity formed between a reflecting cathode and semi-reflecting anode. The microcavity includes multiple organic layers with at least one light-emitting layer. The OLED is characterized by a transparent planarization layer between the substrate and an upper metallic layer forming the OLED semitransparent anode. A process for making such an OLED is also described. | 06-21-2012 |
20120153337 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - The light emitting device package includes a body provided with a cavity, a first lead frame mounted on the body, a second lead frame mounted on the body and separated from the first lead frame, and a light emitting device mounted in the cavity and disposed between the first lead frame and the second lead frame, the light emitting device is formed by sequentially stacking a first conductivity-type semiconductor layer, an active layer and a second conductivity-type semiconductor layer, the sequentially stacking direction of the first conductivity-type semiconductor layer, the active layer and the second conductivity-type semiconductor layer is parallel with the bottom surface of the cavity, the first lead frame includes a first connection part electrically connected to the first conductivity-type semiconductor layer, and the second lead frame includes a second connection part electrically connected to the second conductivity-type semiconductor layer. | 06-21-2012 |
20120161175 | VERTICAL STRUCTURE LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - A vertical structure light emitting diode (LED) and a method of manufacturing the same are disclosed. The vertical structure LED includes a metal layer as an electrode; a number of luminescent layers formed on the metal layer for providing light beams; a spreading layer formed on the luminescent layers; a medium layer provided on the spreading layer, having an opening formed therethrough to expose the spreading layer and a roughed surface. The spreading layer facilitates diffusion of current produced by the electrode. | 06-28-2012 |
20120161176 | LIGHT EMITTING DIODE CHIP AND METHOD OF FABRICATING THE SAME - Exemplary embodiments of the present invention provide light emitting diode (LED) chips and a method of fabricating the same. An LED chip according to an exemplary embodiment includes a substrate; a light emitting structure arranged on the substrate, and an alternating lamination bottom structure arranged under the substrate. The alternating lamination bottom structure includes a plurality of dielectric pairs, each of the dielectric pairs including a first material layer having a first refractive index and a second material layer having a second refractive index, the first refractive index being greater than the second refractive index. | 06-28-2012 |
20120161177 | METHOD OF BONDING METAL AND GLASS USING OPTICAL CONTACT BONDING, METHOD OF MANUFACTURING DISPLAY APPARATUS USING THE METHOD OF BONDING, AND DISPLAY APPARATUS MANUFACTURED BY THE METHOD OF BONDING - A method of bonding metal and glass using an optical contact bonding includes depositing an optical contact bonding medium on a surface of a metal substrate; and bonding the metal substrate on which the optical contact bonding medium is formed to a glass substrate using optical contact bonding. | 06-28-2012 |
20120161178 | LED PACKAGE AND CHIP CARRIER THEREOF - An LED package includes a chip carrier, an LED chip, and an encapsulation. The chip carrier includes a first surface, a second surface opposite to the first surface, and a side surface interconnecting the first surface and the second surface. The chip carrier includes an insulator defining two holes, and two electrodes. Each electrode includes a first contact end exposed on the first surface and separated from the side surface by the insulator, a second contact end exposed on the second surface, and a connecting portion connecting the first contact end to the second contact end; the connecting portion has a bent part received in the hole. The LED chip is mounted on the first surface of the chip carrier. The encapsulation covers the LED chip. | 06-28-2012 |
20120161179 | LIGHT EMITTING DIODE PACKAGE - An LED package includes a base, an LED die arranged on the base, an encapsulation sealing the LED die, and a light wavelength converting layer arranged on a light path of the LED die. The light wavelength converting layer includes a plurality of first areas comprising red fluorescent powder, a plurality of second areas comprising green fluorescent powder and a plurality of third areas comprising blue fluorescent powder. The first, second and third areas are aligned along a first direction and a second direction perpendicular to the first direction. Each two neighboring areas on the first direction have different colors. Each two neighboring areas on the second direction also have different colors. | 06-28-2012 |
20120161180 | LED PACKAGE - According to one embodiment, an LED package includes a first lead frame and a second lead frame, an LED chip and a resin body. The resin body covers the LED chip and the top face, a part of the bottom face and a part of the end face, of each of the first and the second lead frames, and exposes the remaining part of the bottom face and the remaining part of the end face. The resin body includes a first part and a second part. The first part is disposed between the top face of the LED chip and a region immediately above the LED chip of the top face of the resin body and transmits light emitted by the LED chip. The second part surrounds the first part and has a transmittance of the light lower than a transmittance in the first part. | 06-28-2012 |
20120161181 | LIGHT EMITTING DEVICE PACKAGE AND METHOD OF MANUFACTURING THE SAME - Provided is a light emitting device package including: a plurality of lead frames disposed to be separated from one another; at least one light emitting device mounted on the lead frames and electrically connected to the lead frames through a bonding wire provided on a wire bonding pad, the wire bonding pad being disposed on the same surface as a light emission surface provided as an upper surface of the light emitting device; a body part formed to encapsulate and support the wire bonding pad, the bonding wire, the light emitting device and the lead frames, and having a reflective groove formed in an upper surface thereof to expose the light emission surface to the outside therethrough; and a lens part disposed on the body part, to cover the light emitting device. | 06-28-2012 |
20120161182 | DISPLAY DEVICE - Provided is a display device which includes a front plate, a light emitting layer, a scattering layer and an excitation source. The front plate is formed by medium which is transparent to light of a wavelength in a visible range. An excitation source has a mechanism to excite a light emitting layer. The light emitting layer includes a light emitting medium. A scattering layer is provided on the back side of the light emitting layer which scatters at least a part of light produced by the light emitting layer and has an effective refractive index higher than that of the light emitting layer. | 06-28-2012 |
20120161183 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element comprises a semiconductor laminate including a p-type semiconductor layer, an active layer and an n-type semiconductor layer which are sequentially laminated; and a conductive support substrate joined to the p-type semiconductor layer side of the semiconductor laminate. The semiconductor laminate is divided into at least two semiconductor regions by a trench penetrating the p-type semiconductor layer, the active layer and the n-type semiconductor layer. | 06-28-2012 |
20120161184 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device comprises a semiconductor light emitting element comprising a semiconductor laminate including a p-type semiconductor layer, an active layer and an n-type semiconductor layer which are sequentially laminated, and a conductive support substrate joined to the p-type semiconductor layer side of the semiconductor laminate. The semiconductor laminate is divided into at least two semiconductor regions by a trench. The semiconductor light emitting device further comprises a first transparent sealing resin covering at least a portion of the semiconductor light emitting element, the first transparent sealing resin comprising a plurality of first fluorescent particles, each of the first fluorescent particles having an individual average particle diameter. A width of the trench is smaller than an overall average of the individual average particle diameters of the first fluorescent particles. | 06-28-2012 |
20120161185 | LIGHT EMITTING DIODES - A light emitting device comprises first and second semiconductor layers ( | 06-28-2012 |
20120161186 | LIGHT-EMITTING DEVICE - A light-emitting device includes a substrate mounted with a light-emitting element, and a frame disposed on the substrate. The frame includes a first frame portion disposed on the substrate and surrounding the light-emitting element, and having an inner wall surface substantially perpendicular to an upper surface of the substrate, an upper end of the inner wall surface being positioned at a level higher than that of an upper surface of the light-emitting element, and a second frame portion surrounding the inner wall surface of the first frame portion when viewed in a plan view, and having an inner periphery which is so shaped as to extend at an outward incline from a lower end of the inner periphery to an upper thereof. The light-emitting device includes a wavelength converter supported on the frame and opposed to the substrate with a gap. | 06-28-2012 |
20120161187 | Grown Photonic Crystals in Semiconductor Light Emitting Devices - A photonic crystal is grown within a semiconductor structure, such as a III-nitride structure, which includes a light emitting region disposed between an n-type region and a p-type region. The photonic crystal may be multiple regions of semiconductor material separated by a material having a different refractive index than the semiconductor material. For example, the photonic crystal may be posts of semiconductor material grown in the structure and separated by air gaps or regions of masking material. Growing the photonic crystal, rather than etching a photonic crystal into an already-grown semiconductor layer, avoids damage caused by etching which may reduce efficiency, and provides uninterrupted, planar surfaces on which to form electric contacts. | 06-28-2012 |
20120161188 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM INCLUDING THE SAME - Provided are a light emitting device, a light emitting device package, and a lighting system including the light emitting device and the light emitting device package. The light emitting device includes a light emitting structure, a dielectric, a second electrode layer, a semiconductor region, and a first electrode. The light emitting device includes a plurality of semiconductor layers that form a heterojunction that produces light and a homojunction that protects the device from a reverse current. | 06-28-2012 |
20120161189 | LIGHT-EMITTING DIODE DIE PACKAGE AND METHOD FOR PRODUCING SAME - The present invention relates to a light-emitting diode die package having an LED die and an accommodating housing. The LED die has a first doped layer doped with a p- or n-type dopant and a second doped layer doped with a different dopant from that doped in the first doped layer. Each of the first and second doped layers has an electrode-forming surface formed with an electrode, on which an insulation layer is formed. The insulation layer is formed with exposure holes for exposing the electrodes corresponding thereto. Each of the exposure holes is formed inside with an electrically conductive linker. The accommodating housing has an open end through which an accommodating space is accessible. The LED die is positioned within the accommodating space in such a manner that the electrically conductive linker protrudes outwardly from the accommodating space. | 06-28-2012 |
20120168793 | LIGHT EMITTING CHIP AND METHOD FOR MANUFACTURING THE SAME - A light emitting chip includes a substrate, an epitaxial structure comprising a first semiconductor layer, a light emitting layer and a second semiconductor layer, a current conducting structure formed on a bottom side of the first semiconductor layer of the epitaxial structure, and heat conducting protrusions formed on a top side of the substrate. Each of the heat conducting protrusions includes a carbon nanotube layer vertically grown thereon. The heat conducting protrusions are embedded into the current conducting structure to thermally connect with the first semiconductor layer. A method for manufacturing the light emitting chip is also disclosed. | 07-05-2012 |
20120168794 | LIGHT-EMITTING DIODE STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting diode (LED) structure and a method for manufacturing the same. In one embodiment, the LED structure includes a carrying component, an LED chip, a first conductivity type electrode and a second conductivity type electrode. The carrying component includes a carrier, a sidewall disposed on the carrier and forms a carrying tank. The LED chip is fixed within the carrying tank and includes a first conductivity type semiconductor layer having a first region and a second region, an active layer and a second conductivity type semiconductor layer stacked in sequence. The LED chip further includes a second conductive finger disposed on the second semiconductor layer in the first region, and a first conductive finger disposed on the first semiconductor layer in the second region. The first electrode extends on the sidewall and the first conductive finger. The second electrode extends on the sidewall and the second conductive finger. | 07-05-2012 |
20120168795 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING SAME - A light emitting diode (LED) package and the manufacturing method thereof are provided. The LED package comprises a substrate, at least one LED die, a lens and an in-mold decoration film, wherein the LED die is fixed on the substrate; the lens is convexly molded on the substrate to encapsulate the LED die; and the in-mold decoration film has at least one phosphor layer disposed on the lens and a surface treatment layer disposed on the phosphor layer. | 07-05-2012 |
20120168796 | Light emitting diode display - An organic light emitting diode (OLED) display includes a substrate, a pixel electrode on the substrate, an organic light emitting member on the pixel electrode, a common electrode on the organic light emitting member, a thin film encapsulation member covering the common electrode, a black matrix on the thin film encapsulation member, and an upper protection film on the black matrix. The black matrix has a color filter at a location corresponding to the organic light emitting member. A sum of a thickness of the color filter and a distance between the color filter and the organic light emitting member is smaller than a width of the organic light emitting member. | 07-05-2012 |
20120168797 | LIGHT EMITTING DIODE CHIP AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a light emitting diode chip, comprising steps: providing a substrate with a first patterned blocking layer formed thereon; growing a first n-type semiconductor layer on the substrate between the constituting parts of first patterned blocking layer, and stopping the growth of the first n-type semiconductor layer before the first n-type semiconductor layer completely covers the first patterned blocking layer; removing the first patterned blocking layer, whereby a plurality of first holes are formed at position where the first patterned blocking layer is originally existed; continuing the growth of the first n-type semiconductor layer until the first holes are completely covered by the first n-type semiconductor layer; and forming an active layer and a p-type current blocking layer on the first n-type semiconductor layer successively. | 07-05-2012 |
20120168798 | LED PACKAGE - A light emitting device (LED) package is disclosed. The light emitting device package includes a light emitting device, a substrate on which the light emitting device is mounted in plural; and a lens mounted on the substrate so as to cover and seal the light emitting device and having an accommodating groove formed in a lower surface thereof contacting the substrate, the accommodating groove accommodating the light emitting device, and a concave portion formed in an upper surface thereof in such a manner as to be disposed at a position corresponding to the light emitting device, wherein the concave portion has a radius of curvature on an optical axis of the lens and is formed to be depressed from the upper surface to the lower surface. | 07-05-2012 |
20120168799 | LED LAMPS - A high power LED lamp has a GaN chip placed over an AlGaInP chip. A reflector is placed between the two chips. Each of the chips has trenches diverting light for output. The chip pair can be arranged to produce white light having a spectral distribution in the red to blue region that is close to that of daylight. Also, the chip pair can be used to provide an RGB lamp or a red-amber-green traffic lamp. The active regions of both chips can be less than 50 microns away from a heat sink. | 07-05-2012 |
20120168800 | LEAD FRAME FOR OPTICAL SEMICONDUCTOR DEVICE, METHOD OF PRODUCING THE SAME, AND OPTICAL SEMICONDUCTOR DEVICE - A lead frame for an optical semiconductor device, having a reflection layer ( | 07-05-2012 |
20120168801 | LIGHT EMITTING DEVICE AND PACKAGE STRUCTURE THEREOF - A light-emitting device package structure includes a carrier, at least one light-emitting device and a magnetic element. The magnetic element aids in enhancing overall luminous output efficiency. | 07-05-2012 |
20120168802 | WAFER FOR LED MOUNTING, METHOD FOR MANUFACTURING SAME, AND LED-MOUNTED STRUCTURE USING THE WAFER - Provided is a wafer for LED mounting having a small difference in thermal expansion coefficient from an LED and having excellent heat conductivity, a method for manufacturing the wafer for LED mounting, and an LED-mounted structure manufactured by using the wafer for LED mounting. The wafer for LED mounting ( | 07-05-2012 |
20120168803 | SEMICONDUCTOR LIGHT-EMITTING DIODE AND A PRODUCTION METHOD THEREFOR - Provided is a semiconductor light-emitting diode including a semiconductor layer having a light-emitting structure; and an ohmic electrode incorporating a nanodot layer, a contact layer, a diffusion-preventing layer and a capping layer on the semiconductor layer. The nanodot layer is formed on the N-polar surface of the semiconductor layer and is formed from a substance comprising at least one of Ag, Al and Au. Also provided is a production method therefor. In the ohmic electrode which has the multi-layer structure comprising the nanodot layer/contact layer/diffusion-preventing layer/capping layer in the semiconductor light-emitting diode of this type, the nanodot layer constitutes the N-polar surface of a nitride semiconductor and improves the charge-injection characteristics such that outstanding ohmic characteristics can be obtained. | 07-05-2012 |
20120168804 | Light Emitting Diode Package and Fabrication Method Thereof - A light emitting diode package and a fabrication method thereof are provided. The light emitting diode package comprises a lead frame, having a frame body and a conductive layer covering the frame body. A reflector has a first portion and a second portion sandwiching the lead frame, wherein the first portion has a depression to expose the lead frame, and a light emitting diode chip is disposed on the lead frame in the depression. The fabrication method comprises forming a frame body and forming a conductive layer covering the frame body to form a lead frame. A first portion and a second portion of a reflector are formed to sandwich the lead frame, wherein the first portion has a depression to expose the lead frame. A light emitting diode chip is disposed on the lead frame in the depression. | 07-05-2012 |
20120168805 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device including a bonding layer; a barrier layer on the bonding layer; an adhesion layer on the barrier layer, in which the adhesion layer includes Pd, Au, and Sn; a reflective layer on the adhesion layer, in which the reflective layer includes Ag; an ohmic contact layer on the reflective layer, in which the ohmic contact layer includes Pt and Ag; a light emitting structure layer on the ohmic contact layer; and a passivation layer includes an insulating material on a side surface and a top surface of the light emitting structure layer. | 07-05-2012 |
20120168806 | Optical Semiconductor Device having Pre-Molded Leadframe with Window and Method Therefor - A semiconductor device is made by providing a semiconductor die having an optically active area, providing a leadframe or pre-molded laminated substrate having a plurality of contact pads and a light transmitting material disposed between the contact pads, attaching the semiconductor die to the leadframe so that the optically active area is aligned with the light transmitting material to provide a light transmission path to the optically active area, and disposing an underfill material between the semiconductor die and leadframe. The light transmitting material includes an elevated area to prevent the underfill material from blocking the light transmission path. The elevated area includes a dam surrounding the light transmission path, an adhesive ring, or the light transmission path itself can be the elevated area. An adhesive ring can be disposed on the dam. A filler material can be disposed between the light transmitting material and contact pads. | 07-05-2012 |
20120175652 | METHOD AND APPARATUS FOR IMPROVED SINGULATION OF LIGHT EMITTING DEVICES - The present invention is a system and method for laser-assisted singulation of light emitting electronic devices manufactured on a substrate, having a processing surface and a depth extending from the processing surface. It includes providing a laser processing system having a picosecond laser having controllable parameters; controlling the laser parameters to form light pulses from the picosecond laser, to form a modified region having a depth which spans about 50% of the depth and substantially including the processing surface of the substrate and having a width less than about 5% of the region depth; and, singulating the substrate by applying mechanical stress to the substrate thereby cleaving the substrate into said light emitting electronic devices having sidewalls formed at least partially in cooperation with the linear modified regions. | 07-12-2012 |
20120175653 | LED CONNECTOR ASSEMBLY - An LED connector assembly includes a housing having a cavity formed therein. A connector interface is positioned on the housing to receive electrical wiring from a power source. An LED package is provided having at least one LED die coupled thereto. The LED package is removably received in the cavity of the housing and retained using features in the LED package and housing. The LED package is electrically coupled to the connector interface to provide power to the at least one LED die. | 07-12-2012 |
20120175654 | LIGHT EMITTING DEVICE HAVING AUTO-CLONING PHOTONIC CRYSTAL STRUCTURES AND MANUFACTURING METHOD THEREOF - A light emitting device having auto-cloning photonic crystal structures comprises a substrate, a first semiconductor layer, an active emitting layer, a second semiconductor layer and a saw-toothed multilayer film comprising auto-cloning photonic crystal structures. The saw-toothed multilayer film provides a high reflection interface and a diffraction mechanism to prevent total internal reflection and enhance light extraction efficiency. The manufacturing methods of the light emitting device having auto-cloning photonic crystal structures are also presented. | 07-12-2012 |
20120175655 | LIGHT EMITTING DIODE CUP LAMP - A light emitting diode (LED) cup lamp including a base, an LED light source and a light guiding device is disclosed. The LED light source is disposed on the base. The light guiding device is disposed above the LED light source. The light guiding device has a light guiding region facing the LED light source. After the light emitted from the LED light source is guided through the light guiding region, the light is further guided by other parts of the light guiding device so that the light is emitted towards the exterior of the LED cup lamp. | 07-12-2012 |
20120175656 | LIGHT EMITTING DIODE PACKAGE - A light emitting diode package includes a base, a chip mounted on the base, and an encapsulant layer encapsulating the chip. The encapsulant layer includes a light exit face for light generated generated by the chip transmitting through. A plurality of microstructures are formed on the light exit face. Distribution of the microstructures has the following characters: a density of the microstructures is inversely proportional to a light intensity of the light at the light exit face; and a size of the microstructures is inversely proportional to the light intensity of the light at the light exit face. | 07-12-2012 |
20120175657 | LIGHT-EMITTING DIODE LAMP WITH AN IMPROVED LEADFRAME - A leadframe includes two spaced apart conductive legs, each of which includes a base section, and a first extension section extending from a bottom end of the base section in a direction away from the other one of the conductive legs. At least one of the conductive legs further includes a second extension section that extends from a top end of the base section thereof in the same direction as the first extension section for fixing the light-emitting diode chip. The heat generated by the light-emitting diode chip can be dissipated through a shortest heat-dissipating route, thereby increasing the heat-dissipating rate. | 07-12-2012 |
20120175658 | PHOSPHOR PARTICLES, MAKING METHOD, AND LIGHT-EMITTING DIODE - Phosphor particles are provided in the form of spherical polycrystalline secondary particles consisting of a multiplicity of primary particles, including a garnet phase having the compositional formula: (A | 07-12-2012 |
20120175659 | LIGHT EMITTING DEVICE - A light emitting device having a plastic substrate is capable of preventing the substrate from deterioration with the transmission of oxygen or moisture content. The light emitting device has light emitting elements formed between a lamination layer and an inorganic compound layer that transmits visual light, where the lamination layer is constructed of one unit or two or more units, and each unit is a laminated structure of a metal layer and an organic compound layer. Alternatively, each unit is a laminated structure of a metal layer and an organic compound layer, wherein the inorganic compound layer is formed so as to cover the end face of the lamination layer. In the present invention, the lamination layer is formed on the primary surface of the plastic substrate, so that a flexible substrate structure can be obtained. | 07-12-2012 |
20120175660 | LIGHT-REFLECTIVE CONDUCTIVE PARTICLE, ANISOTROPIC CONDUCTIVE ADHESIVE AND LIGHT-EMITTING DEVICE - A light-reflective conductive particle for an anisotropic conductive adhesive used for anisotropic conductive connection of a light-emitting element to a wiring board includes a core particle coated with a metal material and a light-reflecting layer formed from light-reflective inorganic particles having a refractive index of 1.52 or more on a surface of the core particle. Examples of the light-reflective inorganic particles having a refractive index of 1.52 or more include titanium oxide particles, zinc oxide particles, or aluminum oxide particles. | 07-12-2012 |
20120175661 | SEMICONDUCTOR LIGHT EMITTING PACKAGE AND METHOD OF MANUFACTURING THE SAME - A semiconductor light emitting package includes a substrate, an encapsulating material, a semiconductor light emitting chip disposed on the substrate, wires; and an integrated glass-fluorescent powder compound light-emitting structure. The encapsulating material and the integrated glass-fluorescent powder compound light-emitting structure are packaged on the semiconductor light emitting chip, the integrated glass-fluorescent powder compound light-emitting structure is coated on the encapsulating material. The semiconductor light-emitting package has a large light-emitting area, high uniformity which can effectively avoid “halo” phenomenon, and long working life. The present invention also relates to a method for manufacturing semiconductor light emitting package, which can be implemented at low temperature and improve the reliability and the stability of the light-emitting property of the compound light-emitting structure. | 07-12-2012 |
20120181557 | ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME - The disclosed technology is in connection with an array substrate of a thin film transistor liquid crystal display (TFT-LCD) and a method for manufacturing the same, and the array substrate comprises: a base substrate; a gate line and a data line forming on the base substrate and defining a pixel region, a pixel electrode, a thin film transistor and a common electrode are formed in the pixel region; a black matrix made of conductive thin film material, the black matrix is electrically connected with the common electrode. | 07-19-2012 |
20120181558 | WAFER LEVEL LIGHT-EMITTING DEVICE PACKAGE AND METHOD OF MANUFACTURING THE SAME - A wafer level light-emitting device package may include a polymer layer that bonds a light-emitting structure to a package substrate, and the polymer layer and the package substrate may include a plurality of via holes. Also, a method of manufacturing the wafer level light-emitting device package may include forming the polymer layer on the light-emitting structure, bonding the package substrate onto the polymer layer by applying heat and pressure, and forming a plurality of via holes in the polymer layer and the package substrate. | 07-19-2012 |
20120181559 | LIGHT-EMITTING DEVICE PACKAGE - A light-emitting device package including: a package main body including a cavity and a lead frame including a mounting portion disposed in the cavity and a plurality of terminal portions; a light-emitting device chip mounted on the mounting portion; a plurality of bonding wires for electrically connecting the plurality of terminal portions and the light-emitting device chip; a light-transmitting encapsulation layer filled in the cavity; and a light-transmitting cap member disposed in the cavity and blocking the encapsulation layer to contact the plurality of bonding wires. | 07-19-2012 |
20120181560 | LED WIRING BOARD, LIGHT EMITTING MODULE, METHOD FOR MANUFACTURING LED WIRING BOARD AND METHOD FOR MANUFACTURING LIGHT EMITTING MODULE - An LED wiring board includes an insulator layer, a conductor layer (a wiring pattern layer) formed on the insulator layer, and a white reflective film which is formed on the insulator layer and which includes a white colorant and a binder thereof. The conductor layer includes a first wiring pattern and a second wiring pattern, and the white reflective film has a portion which is between the first wiring pattern and the second wiring pattern and which is thinner than both of the first wiring pattern and the second wiring pattern. | 07-19-2012 |
20120181561 | LIGHT-EMITTING ELEMENT - A light-emitting element, a light-emitting element unit and a light-emitting element package are provided, which are each reduced in reflection loss and intra-film light absorption by suppressing multiple light reflection in a transparent electrode layer and hence have higher luminance. The light-emitting element | 07-19-2012 |
20120181562 | PACKAGE HAVING A LIGHT-EMITTING ELEMENT AND METHOD OF FABRICATING THE SAME - A package includes at least a chip encapsulated by an encapsulant. Conductive bumps are disposed on a first surface of the chip, for a circuit board to be disposed thereon. A phosphor layer is formed on a second surface of the chip opposing the first surface. The package further comprises a light-pervious mask that covers the phosphor layer. Since the phosphor layer and the light-pervious mask are directly formed on the chip, the chip is prevented from being disposed in the groove of the substrate. As a result, the wet etching process is omitted, and the fabrication cost is reduced. A method of fabricating the package is also provided. | 07-19-2012 |
20120181563 | LIGHT-EMITTING DEVICE - The present application is to provide a light-emitting device comprising a metal reflective layer; a first transparent conductive oxide layer having a first refractive index; a second transparent conductive oxide layer having a second refractive index different from the first refractive index, and being between the metal reflective layer and the first transparent conductive oxide layer; and a light-emitting stack layer electrically connected to the second transparent conductive oxide layer substantially through the first transparent conductive layer; wherein there is no light absorbing material between the metal reflective layer and the first transparent conductive oxide layer. | 07-19-2012 |
20120181564 | Phosphor Coating Method for Fabricating Light Emitting Semiconductor Device and Applications Thereof - In one aspect, a light emitting unit comprises: a first semiconductor layer having a first electric property; a second semiconductor layer having a second electric property disposed over the first semiconductor layer; an active layer disposed between the first semiconductor layer and the second semiconductor layer; a first electrode disposed on the second semiconductor layer; a second electrode disposed under the first semiconductor layer; and a phosphor layer disposed on the first semiconductor layer. The phosphor layer covers the active layer and the second semiconductor layer. The first electrode is exposed out of the phosphor layer. | 07-19-2012 |
20120181565 | LED WITH REMOTE PHOSPHOR LAYER AND REFLECTIVE SUBMOUNT - A light emitting device comprises a flip-chip light emitting diode (LED) die mounted on a submount. The top surface of the submount has a reflective layer. Over the LED die is molded a hemispherical first transparent layer. A low index of refraction layer is then provided over the first transparent layer to provide TIR of phosphor light. A hemispherical phosphor layer is then provided over the low index layer. A lens is then molded over the phosphor layer. The reflection achieved by the reflective submount layer, combined with the TIR at the interface of the high index phosphor layer and the underlying low index layer, greatly improves the efficiency of the lamp. Other material may be used. The low index layer may be an air gap or a molded layer. Instead of a low index layer, a distributed Bragg reflector may be sputtered over the first transparent layer. | 07-19-2012 |
20120181566 | YELLOW LIGHT AFTERGLOW MATERIAL AND PREPARATION METHOD THEREOF AS WELL AS LED ILLUMINATING DEVICE USING SAME - The invention relates to a yellow light afterglow material and a preparation method thereof as well as an LED illuminating device using the same. The yellow light afterglow material comprises the chemical formula of aY | 07-19-2012 |
20120181567 | LED LIGHTING DEVICE - In an LED lighting device of the present invention, the reflecting surface of the light guide body is formed of division lines and a connection line connecting between the division lines. Each division line divides a cross section line of a virtual reflecting surface crossing projection lines. Each projection line is radially drawn at a selected angle from a selected point of a given distance away from a center point of the LED element toward the cross section line of the virtual reflecting surface of the light guide body. Each division line is adjusted by similar amplification or similar contraction so as to be contained within the thickness line with its length large enough to receive all the incoming light. | 07-19-2012 |
20120187432 | LED Platform with Membrane - An LED package comprises:
| 07-26-2012 |
20120187433 | STRUCTURE OF LIGHT SOURCE MODULE AND MANUFACTURING METHOD THEREOF - A circuit substrate and at least one light-emitting diode (LED) chip are adhered to a heatsink substrate in sequence, and then a packaging material is formed on the LED chip. The circuit substrate has at least one through hole, and the LED chip is buried in the through hole on the circuit substrate so that the LED chip is in direct contact with the heatsink substrate, so as to reduce the thermal resistance between the LED chip and the heatsink substrate, thus effectively dissipating the heat energy of the LED chip through the heatsink substrate. | 07-26-2012 |
20120187434 | Surface-Mount LED with Optical Lens - The present invention discloses a surface-mount LED with optical lens, comprising a surface-mount LED with a flat plane on the top thereof and an optical lens packaged on said flat plane. The present invention decreases the light emitting angle and increase the luminosity of the LED through the light convergence effect by the optical lens; the present invention also can effectively enhance the usage of the side light rays through the light reflection effect of the cylinder, no extra reflection cup is required; under the condition of the same luminance being provided, less LEDs are used according to the present invention, thereby saving energy and reducing cost. | 07-26-2012 |
20120187435 | METHOD FOR MANUFACTURING A STRUCTURE WITH A TEXTURED SURFACE AS A MOUNTING FOR AN ORGANIC LIGHT-EMITTING DIODE DEVICE, AND OLED STRUCTURE WITH A TEXTURED SURFACE - A production method and a structure having a textured surface forming the support for an organic-light-emitting-diode device, which structure is provided on a transparent substrate made of mineral glass on which is optionally deposited an interface film made of mineral glass, the profile of the texture of the surface comprising protrusions and troughs which are defined by an FT or a roughness parameter Rdq such that the protrusions are not too pointed and such that an increase in the extraction efficiency is ensured. The method especially consists in depositing on the glass substrate a coating film and in ensuring a contraction of the assembly by heating and cooling. | 07-26-2012 |
20120187436 | LIGHT EMITTING DIODE DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting diode (LED) device includes a substrate, a supporting member, an electrode layer, an LED chip and an encapsulant. The substrate has a first surface and a second surface. The substrate defines a hole extending through the first surface and the second surface. The supporting member is attached to the second surface of the substrate and covers the hole. The supporting member and the substrate cooperatively define a cavity. The electrode layer is arranged on the first surface of the substrate and an inner surface of the cavity. The encapsulant is arranged on the electrode layer and covers the LED chip. | 07-26-2012 |
20120187437 | LIGHT-EMITTING DEVICE PACKAGE AND METHOD OF MANUFACTURING THE LIGHT-EMITTING DEVICE PACKAGE - A light-emitting device package having improved connection reliability of a bonding wire, heat dissipation properties, and light quality due to post-molding and a method of manufacturing the light-emitting device package. The light-emitting device package includes, for example, a wiring substrate having an opening; a light-emitting device that is disposed on the wiring substrate and covers the opening; a bonding wire electrically connecting a bottom surface of the wiring substrate to a bottom surface of the light-emitting device via the opening; a molding member that surrounds a side surface of the light-emitting device and not a top surface of the light-emitting device, which is an emission surface, is formed on a portion of a top surface of the wiring substrate, and is formed in the opening of the wiring substrate to cover the bonding wire; and a solder resist and a bump formed on the bottom surface of the wiring substrate. | 07-26-2012 |
20120187438 | LIGHT EMITTING DEVICE AND FABRICATING METHOD THEREOF - Disclosed is a light emitting device including a support substrate, a transistor unit disposed at one side of the upper surface of the support substrate, a light emitting device unit disposed at the other side of the upper surface of the support substrate, and an insulating layer disposed between the transistor unit and the light emitting device unit and between the support substrate and the transistor unit and isolating the transistor unit from the light emitting device unit. | 07-26-2012 |
20120187439 | SOLAR CELL ASSEMBLY AND ALSO SOLAR CELL ARRANGEMENT - The invention relates to a light-absorbing or light-emitting solar cell assembly and also a solar cell arrangement which is constructed from 2 to 10,000 of the solar cell assemblies according to the invention. | 07-26-2012 |
20120187440 | LUMINOUS DEVICES, PACKAGES AND SYSTEMS CONTAINING THE SAME, AND FABRICATING METHODS THEREOF - The present invention is directed to a vertical-type luminous device and high through-put methods of manufacturing the luminous device. These luminous devices can be utilized in a variety of luminous packages, which can be placed in luminous systems. The luminous devices are designed to maximize light emitting efficiency and/or thermal dissipation. Other improvements include an embedded zener diode to protect against harmful reverse bias voltages. | 07-26-2012 |
20120187441 | LED LIGHTING ARRANGEMENT INCLUDING LIGHT EMITTING PHOSPHOR - A method of manufacturing an LED lighting arrangement, comprises: receiving an optical component having a diffusing material that is light diffusive and at least one photoluminescent material that is excitable by light of a first wavelength range and which emits light of a second wavelength range; receiving an LED assembly that is operable to generate the light of the first wavelength range and mounting the optical component to the LED assembly to form the LED lighting arrangement. The optical component having the diffusing and photoluminescent materials is mass produced separately from the LED assembly and can be selected such that light generated by the optical component combined with the light generated by the LED assembly corresponds to light of a selected color. Also disclosed are LED lighting arrangements, components for LED lighting arrangements and methods of fabricating an optical component. | 07-26-2012 |
20120187442 | LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING LIGHT EMITTING ELEMENT - A light emitting element includes an optical semiconductor layer ( | 07-26-2012 |
20120193659 | STRUCTURES AND SUBSTRATES FOR MOUNTING OPTICAL ELEMENTS AND METHODS AND DEVICES FOR PROVIDING THE SAME BACKGROUND - Methods are disclosed including generating a substrate surface topography that includes a mounting portion that is higher than a relief portion that defines a perimeter of the mounting portion. | 08-02-2012 |
20120193660 | HORIZONTAL LIGHT EMITTING DIODES INCLUDING PHOSPHOR PARTICLES - Horizontal light emitting diodes include anode and cathode contacts on the same face and a transparent substrate having an oblique sidewall. A conformal phosphor layer having an average equivalent particle diameter d50 of at least about 10 μm is provided on the oblique sidewall. High aspect ratio substrates may be provided. The LED may be directly attached to a submount. | 08-02-2012 |
20120193661 | GAP ENGINEERING FOR FLIP-CHIP MOUNTED HORIZONTAL LEDS - A horizontal LED die is flip-chip mounted on a mounting substrate to define a gap that extends between the closely spaced apart anode and cathode contacts of the LED die, and between the closely spaced apart anode and cathode pads of the substrate. An encapsulant is provided on the light emitting diode die and the mounting substrate. The gap is configured to prevent sufficient encapsulant from entering the gap that would degrade operation of the LED. | 08-02-2012 |
20120193662 | REFLECTIVE MOUNTING SUBSTRATES FOR FLIP-CHIP MOUNTED HORIZONTAL LEDS - A light emitting device includes a mounting substrate having a reflective layer that defines spaced apart anode and cathode pads, and a gap between them. A light emitting diode die is flip-chip mounted on the mounting substrate, such that the anode contact of the LED die is bonded to the anode pad and the cathode contact of the LED die is bonded to the cathode pad. A lens extends from the mounting substrate to surround the LED die. The reflective layer extends on the mounting substrate to cover substantially all of the mounting substrate that lies beneath the lens, excluding the gap, and may also extend beyond the lens. | 08-02-2012 |
20120193663 | LIGHT EMITTING DIODE AND FABRICATION METHOD THEREOF - A fabrication method of a light-emitting diode including forming an epitaxial layer on a first substrate; forming a metal pad and a stress release ring on the epitaxial layer, wherein the stress release ring surrounds the metal pad; performing a substrate replacement process to transfer the epitaxial layer, the metal pad, and the stress release ring onto a second substrate, wherein the metal pad and the stress release ring are disposed between the epitaxial layer and the second substrate; patterning the epitaxial layer to expose a portion of the stress release ring; and removing the stress release ring to suspend a portion of the epitaxial layer. Moreover, a light emitting diode is provided. | 08-02-2012 |
20120193664 | SEMICONDUCTOR LIGHT EMITTING STRUCTURE - A semiconductor light emitting structure includes a substrate, a first semiconductor layer, an active layer, a second semiconductor layer and two electrodes. The substrate has a top surface and a bottom surface. The top surface is not parallel to the bottom light emitting surface of the active layer. The first semiconductor layer is disposed on the top surface. The active layer is disposed on at least one portion of the first semiconductor layer. The second semiconductor layer is disposed on the active layer. In an embodiment, the top surface can be realized by an oblique surface, a curved surface or a zigzag surface. | 08-02-2012 |
20120193665 | LIGHT EMITTING DEVICE - A light emitting device which includes: a base body; a conductive member disposed on the base body; a light emitting element placed on the conductive member; and a translucent member disposed above the light emitting element. A surface of the translucent member is formed in a lens shape, and when a portion formed in the lens shape of the translucent member on a surface of the conductive member is perspectively seen from above, an area other than a portion where the light emitting element is placed is coated with an insulating filler to form a light reflection layer. | 08-02-2012 |
20120193666 | LIGHT-REFLECTIVE ANISOTROPIC CONDUCTIVE ADHESIVE AND LIGHT-EMITTING DEVICE - A light-reflective anisotropic conductive adhesive used for anisotropic conductive connection of a light-emitting element to a wiring board includes a thermosetting resin composition, conductive particles, and light-reflective insulating particles. The light-reflective insulating particles are at least one of inorganic particles selected from the group consisting of titanium oxide, boron nitride, zinc oxide, and aluminum oxide, or resin-coated metal particles formed by coating the surface of scale-like or spherical metal particles with an insulating resin. | 08-02-2012 |
20120193667 | Method for Controlling Fluidity of Phosphor, Phosphor and Phosphor Paste - Disclosed herein is a method for controlling the fluidity of a phosphor, a phosphor and a phosphor paste, the method comprising the steps of: treating the surface of a phosphor with a silane compound comprising a double bond; and polymerizing the monomer on the surface of the phosphor to form a polymer membrane thereon. The phosphor having the polymer membrane formed thereon exhibits significantly stabilized fluidity within a polymer encapsulant. | 08-02-2012 |
20120193668 | LIGHT EMITTING DEVICE - A light emitting device including a light emitting structure having a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer; a first electrode on the light emitting structure; and a photon escape layer on the light emitting structure. Further, the photon escape layer has a refractive index that is between a refractive index of the light emitting structure and a refractive index of an encapsulating material with respect to the light emitting structure such that an escape probability for photons emitted by the light emitting structure is increased. | 08-02-2012 |
20120193669 | Contacting an Optoelectronic Semiconductor Component Through a Conversion Element and Corresponding Optoelectronic Semiconductor Component - A method for manufacturing an optoelectronic semiconductor component, comprising: providing a semiconductor chip in a composite wafer, comprising an active side for emitting a primary radiation and a contact terminal which is arranged on the active side; depositing a coupling element on the active side; attaching a luminescence conversion element, for converting part of the primary radiation into a secondary radiation, to the coupling element. | 08-02-2012 |
20120199858 | LIGHT EMISSIVE CERAMIC LAMINATE AND METHOD OF MAKING SAME - A light emitting device includes: at least one light emitting component (LEC) comprising a light-emitting face having a longest linear dimension D | 08-09-2012 |
20120199859 | ELECTROLUMINESCENT DISPLAY APPARATUS - A display apparatus includes an organic electroluminescent element, a protective layer provided in contact with the organic electroluminescent element and configured to cover the organic electroluminescent element, and a condenser lens provided in contact with the protective layer and on a light output side of the organic electroluminescent element. The condenser lens includes a convex surface having a sloping angle θ | 08-09-2012 |
20120199860 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND SEMICONDUCTOR LIGHT-EMITTING DEVICE - In a FC-mounted semiconductor light-emitting element, rise of a forward voltage is suppressed and light emission output is increased. A semiconductor light-emitting element includes: a laminated semiconductor layer including a first semiconductor layer having a first conduction type, a light-emitting layer and a second semiconductor layer having a second conduction type opposite to the first conduction type; a first electrode connected to the first semiconductor layer; and a second electrode provided on the second semiconductor layer, the second electrode including: a transparent conductive layer having thick portions and transparent to light from the light-emitting layer; an insulation layer laminated on the transparent conductive layer with a lower refractive index than the transparent conductive layer; a conductive metal reflecting layer laminated on the insulation layer; and a conductor portion provided through the insulation layer, which is electrically connected to one of the thick portions and the metal reflecting layer. | 08-09-2012 |
20120199861 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element includes: a light emitting layer and a p-type semiconductor layer laminated on an n-type semiconductor layer; a transparent conductive layer laminated on the p-type semiconductor layer; a transparent insulating layer laminated on the transparent conductive layer and the exposed n-type semiconductor layer, the transparent insulating layer having plural tapered through-holes formed therein; a p-electrode formed on the transparent conductive layer with the transparent insulating layer interposed therebetween, the p-electrode being connected to the transparent conductive layer via the through-holes provided for the transparent insulating layer; and an n-electrode formed on the n-type semiconductor layer with the transparent insulating layer interposed therebetween, the n-electrode being connected to the n-type semiconductor layer via the through-holes provided for the transparent insulating layer. | 08-09-2012 |
20120199862 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - A light-emitting diode (LED) package structure including a carrier substrate, at least one LED chip, an optical element and a thermal-conductive transparent liquid is provided. The LED chip is disposed on the carrier substrate and has an active layer. The optical element is disposed on the substrate and forms a sealed space with the carrier substrate, and the LED chip is disposed in the sealed space. The thermal-conductive transparent liquid fills up the sealed space. | 08-09-2012 |
20120199863 | CONTACT FOR A SEMICONDUCTOR LIGHT EMITTING DEVICE - Embodiments of the invention include a semiconductor structure comprising a III-nitride light emitting layer disposed between an n-type region and a p-type region. A contact disposed on the p-type region includes a transparent conductive material in direct contact with the p-type region, a reflective metal layer, and a transparent insulating material disposed between the transparent conductive layer and the reflective metal layer. In a plurality of openings in the transparent insulating material, the transparent conductive material is in direct contact with the reflective metal layer. | 08-09-2012 |
20120199864 | LIGHT EMITTING DEVICE - A light emitting device is provided. The light emitting device includes a reflective layer, a conductive dielectric layer on the reflective layer and a semiconductor layer including an active layer on the conductive dielectric layer. And a distance “d” between the reflective layer and a light emitting portion of the active layer corresponds to a constructive interference condition. And the conductive dielectric layer includes a lower conductive dielectric layer on the reflective layer; an intermediate layer on the lower conductive dielectric layer and an upper conductive dielectric layer on the intermediate layer. | 08-09-2012 |
20120199866 | REFLECTIVE ANODE ELECTRODE FOR ORGANIC EL DISPLAY - Disclosed is a reflective anode electrode for an organic EL display, which comprises a novel Al-based alloy reflective film. The reflective anode electrode is capable of assuring low contact resistance and high reflectance even in cases where the Al reflective film is in direct contact with an oxide conductive film such as an ITO or IZO film. In addition, when the Al reflective film is formed into a laminated structure together with the oxide conductive film, the work function of the surface of the upper oxide conductive film is equally high with the work function of a laminated structure that is composed of a general-purpose Ag-based alloy film and an oxide conductive film. Specifically disclosed is a reflective anode electrode for an organic EL display, which is formed on a substrate and characterized by comprising a laminated structure that is composed of an Al-based alloy film containing 0.1-6% by atom of Ag and an oxide conductive film that is formed on the Al-based alloy film so as to be in direct contact with the Al-based alloy film. | 08-09-2012 |
20120205692 | LIGHT EMITTING DIODE WITH NANOSTRUCTURES AND METHOD OF MAKING THE SAME - A light emitting diode (LED) is provided along with a method of making the same. The LED includes a conductive n-type region formed on a substrate; an active region formed on the n-type region; a first p-type region formed on the active region; a plurality of nanostructures formed on the first p-type region to carry out light extraction from the active region, the nanostructures having a diameter less than 500 nm; a second p-type region regrown on the first p-type region to form a non-planar surface in combination with the nanostructures; and a p-type electrode formed on the non-planar surface. | 08-16-2012 |
20120205693 | NARROW VIEWING ANGLE PLASTIC LEADED CHIP CARRIER - A Plastic Leaded Chip Carrier (PLCC) package is disclosed. The PLCC package enables a narrow viewing angle without requiring a second lens. In particular, the PLCC package is provided with a reflector cup having multiple stages where the geometry or some other characteristic of one stage is different from the geometry or some other characteristic of another stage. | 08-16-2012 |
20120205694 | METHOD OF FORMING A LIGHT EMITTING DIODE EMITTER SUBSTRATE WITH HIGHLY REFLECTIVE METAL BONDING - The present disclosure provides one embodiment of a method for fabricating a light emitting diode (LED) package. The method includes forming a plurality of through silicon vias (TSVs) on a silicon substrate; depositing a dielectric layer over a first side and a second side of the silicon substrate and over sidewall surfaces of the TSVs; forming a metal layer patterned over the dielectric layer on the first side and the second side of the silicon substrate and further filling the TSVs; and forming a plurality of highly reflective bonding pads over the metal layer on the second side of the silicon substrate for LED bonding and wire bonding. | 08-16-2012 |
20120205695 | LIGHT-EMITTING DIODE DEVICE - A light-emitting diode device is provided, including a submount, a light-emitting diode (LED) chip mounted on the submount, a first transparent insulating layer formed on the submount and the LED chip, a transparent conductive layer formed on the first transparent insulating layer, a phosphor layer formed on the first transparent conductive layer covering the LED chip, and a transparent passivation layer formed on the phosphor layer and over the transparent conductive layer. | 08-16-2012 |
20120205696 | LIGHT EMITTING DEVICE PACKAGE AND METHOD OF MANUFACTURING THEREOF - There are provided a light emitting device package and a method of manufacturing thereof. The light emitting device package including a first lead frame including amounting area and a heat radiating area surrounding the mounting area, the mounting area being protruded upwardly so as to be located higher than the heat radiating area; a second lead frame disposed to be spaced apart from the first lead frame; at least one light emitting device disposed on the mounting area of the first lead frame; a molding part formed so as to fix the first and second lead frame leads thereto; and a lens part disposed over the at least one light emitting device and the molding part, and the method of manufacturing the light emitting device package are provided. | 08-16-2012 |
20120205697 | FLIP CHIP LIGHT EMITTING DEVICE PACKAGE AND MANUFACTURING METHOD THEREOF - A flip chip light emitting device (LED) package and a manufacturing method thereof are provided. The flip chip LED package includes a package substrate including a cavity that exposes a circuit pattern, and a chip mounting portion disposed on a bottom surface of the cavity; a solder layer disposed on the circuit pattern; a bonding tape layer disposed on the chip mounting portion; and an LED including a bonding object region and a plurality of electrode pads disposed on one surface, being mounted on the package substrate such that the plurality of electrode pads are bonded to the solder layer and the bonding object region is bonded to the bonding tape layer | 08-16-2012 |
20120205698 | LIGHT-EMITTING DEVICE, DISPLAY DEVICE, AND METHOD FOR MANUFACTURING THE SAME - It is known that a light-emitting element utilizing organic EL deteriorates due to moisture. Therefore, a sealing technique to prevent moisture permeation is important. A light-emitting device including a light-emitting element utilizing organic EL is manufactured over a support substrate having flexibility and a high heat dissipation property (e.g., stainless steel or duralumin), and the light-emitting device is sealed with a stack body having moisture impermeability and a high light-transmitting property or with glass having moisture impermeability and a high light-transmitting property and having a thickness greater than or equal to 20 μm and less than or equal to 100 μm. | 08-16-2012 |
20120205699 | LIGHT-EMITTING DEVICE PACKAGE AND METHOD OF MANUFACTURING THE SAME - A light-emitting device package and a method of manufacturing the light-emitting device package. The light-emitting device package includes a wiring substrate; a Zener diode mounted on a first region of the wiring substrate; a light-emitting device chip mounted on the first region and a second region of the wiring substrate; and a molding member for fixing at least a portion of the wiring substrate, wherein the Zener diode is embedded in the molding member. | 08-16-2012 |
20120205700 | Light-Emitting Device and Manufacturing Method Thereof, Lighting Device, and Display Device - The present invention focuses on a structure in which an auxiliary wiring for increasing the conductivity of an upper electrode is provided on the substrate side. The conductive auxiliary wiring of a light-emitting device is provided over a substrate, and an upper portion of the auxiliary wiring protrudes in a direction parallel to the substrate. Further, an EL layer formed in a region including a lower electrode layer and the auxiliary wiring is physically divided by the auxiliary wiring. An upper electrode layer formed in a manner similar to that of the lower electrode layer may be electrically connected to at least part of a side surface of the auxiliary wiring. Such an auxiliary wiring may be used in a lighting device and a display device. | 08-16-2012 |
20120205701 | Light-Emitting Element, Display Device, Lighting Device, and Method for Manufacturing the Same - A light-emitting element disclosed includes a first electrode layer; a second electrode layer which transmits light; and a light-emitting layer interposed between the first electrode layer and the second electrode layer. The first electrode layer includes a first conductive layer which is able to reflect light, a second conductive layer provided over the first conductive layer and including titanium, and a third conductive layer which transmits light and contains a metal oxide having work function higher than that of a material of the first conductive layer. | 08-16-2012 |
20120205702 | Light-Emitting Device - An organic EL light-emitting device with excellent total luminous flux or with reduced emission unevenness and low power consumption is provided. Light from an organic EL layer in a region sandwiched between a light-transmitting conductive film of a lower electrode and a light-reflecting conductive film of an upper electrode is selectively emitted to the lower electrode side, and extracted outside by a first optical structure body. Light from the organic EL layer in a region sandwiched between a light-reflecting conductive film of the lower electrode and a light-transmitting conductive film of the upper electrode is selectively emitted to the upper electrode side, and extracted outside by a second optical structure body. The first optical structure body and the second optical structure body are formed on different planes and can overlap with each other; thus, light from the organic EL layer can be efficiently extracted outside. | 08-16-2012 |
20120205703 | Light-Emitting Diode Package Device and Method for Making the Same - A light-emitting diode package device includes: a base unit defining a packaging space; a light-emitting diode die that is disposed inside the packaging space to electrically connect to the base unit and that is capable of emitting light; and an encapsulant that is filled in the packaging space to encapsulate the light-emitting diode die and that includes an upper surface to be exposed to external environment, and a plurality of microstructures formed on the upper surface. | 08-16-2012 |
20120205704 | LED CHIP, LED AND A METHOD FOR MANUFACTURING LED CHIP - The present invention relates to illuminating field, especially relates to LED chip, LED and a method of manufacturing LED chip, the method of manufacturing LED chip comprises: forming a first semiconductor layer, a luminous layer and a second semiconductor layer sequentially on a substrate; forming a phosphor powder layer on the second semiconductor layer; removing a part of the phosphor powder layer and a part of the second semiconductor layer to form at least one groove which exposes a part of the second semiconductor layer; removing a part of the phosphor powder layer, a part of the second semiconductor layer, a part of the luminous layer and a part of the first semiconductor layer to form at least one unfilled corner which exposes a part of the first semiconductor layer; forming a first electrode in the unfilled corner, and forming a second electrode in the groove. In the present invention, the process of coating phosphor powder in manufacturing LED is brought into the course of LED chip manufacturing, the advantages of this procedure are simple, controllable, to improve the light extraction efficiency of LED, to save a lot of phosphor powder and to greatly reduce the cost. | 08-16-2012 |
20120205705 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A vertical semiconductor light emitting device which can alleviate a concentration of current inside a semiconductor film without impairing the electrical connection between an ohmic electrode and the semiconductor film. The semiconductor light emitting device includes the semiconductor film in contact with a support; a first electrode for partially covering the surface of the semiconductor film opposite to the contact surface with the support; and a second electrode provided on the contact surface side of the semiconductor film with the support. The second electrode includes first and second transparent electrodes made of the mutually same metal oxide transparent electrical conductor and electrically connected to each other, and the second transparent electrode is located to be opposed to the first electrode with the semiconductor film interposed therebetween and has a higher contact resistance with the semiconductor film than the first transparent electrode does. | 08-16-2012 |
20120205706 | TWO-PHASE COOLING FOR LIGHT-EMITTING DEVICES - System, method, and apparatus for two phase cooling in light-emitting devices are disclosed. In one aspect of the present disclosure, an apparatus includes a light-emitting device and a two-phase cooling apparatus coupled to the light-emitting device. The coupling of the two-phase cooling apparatus and the light-emitting device is operatively configured such that thermal coupling between the light-emitting device and the two-phase cooling apparatus enables, when, in operation, heat generated from the light-emitting device to be absorbed by a substance of a first phase in the two-phase cooling apparatus to convert the substance to a second phase. | 08-16-2012 |
20120211785 | HIGH POWER PLASTIC LEADED CHIP CARRIER WITH INTEGRATED METAL REFLECTOR CUP AND DIRECT HEAT SINK - A Plastic Leaded Chip Carrier (PLCC) package is disclosed. The PLCC package includes a lead frame with an integrated reflector cup. The reflector cup is directly connected to a heat sink, which improves the ability of the PLCC package to distribute heat away from the light source that is provided in the reflector cup. | 08-23-2012 |
20120211786 | LED PACKAGE STRUCTURE WITH A WIDE OPTICAL FIELD - An LED package structure with a wide optical field comprises a substrate, an LED chip, and an encapsulation. The substrate has at least two electrodes and a carrier. The carrier has a carrier surface. The carrier surface is higher than a top surface of the substrate and higher than the electrodes. The LED chip is mounted on the carrier surface. The LED chip electrically connects with the electrodes via wires. The encapsulation covers the LED chip. The LED chip has a wide light emitting angle. | 08-23-2012 |
20120211787 | Method for Fabricating a Semiconductor Component based on GaN - A semiconductor component has a plurality of GaN-based layers, which are preferably used to generate radiation, produced in a fabrication process. In the process, the plurality of GaN-based layers are applied to a composite substrate that includes a substrate body and an interlayer. A coefficient of thermal expansion of the substrate body is similar to or preferably greater than the coefficient of thermal expansion of the GaN-based layers, and the GaN-based layers are deposited on the interlayer. The interlayer and the substrate body are preferably joined by a wafer bonding process. | 08-23-2012 |
20120211788 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device having high optical extraction efficiency is provided. The semiconductor light-emitting device includes a substrate on which an n-type semiconductor layer, an active layer, and a p-type semiconductor layer are formed sequentially; an n electrode formed in an exposed part of the n-type semiconductor layer by removing parts of the n-type semiconductor layer, the active layer, and the p-type semiconductor layer; a current spreading layer formed on the p-type semiconductor layer; a p electrode formed on the current spreading layer; and a current blocking layer formed between the p-type semiconductor layer and the current spreading layer to include a region corresponding to the p electrode. | 08-23-2012 |
20120211789 | LIGHT EMITTING DEVICE PACKAGE - There is provided a light emitting device package, including: a package body; a first lead frame coupled to the package body and including a first recess having an exposed side, the first recess having a chip mounting area formed to be downwardly recessed therein, wherein at least a part of a bottom surface of the chip mounting area is exposed to a bottom surface of the package body; a second lead frame coupled to the package body so as to have a predetermined distance from the first lead frame and including a second recess having an exposed side opposed to the exposed side of the first recess; and at least one light emitting device mounted on the chip mounting area of the first lead frame and electrically connected with the first and second lead frames. | 08-23-2012 |
20120211790 | OPTICAL DEVICE FOR SEMICONDUCTOR BASED LAMP - An optical device for a semiconductor based lamp includes a base and a semiconductor based light-emitting device mounted on the base. A transparent body encapsulates the semiconductor based light-emitting device. A reflective surface is in contact with the transparent body and covers a predetermined region on a top of the transparent body. The reflective surface has an opening. At least a portion of the transparent body protrudes through the opening in the reflective surface. Light emitted from the semiconductor based light-emitting device transmits upwardly through the opening in the reflective surface. | 08-23-2012 |
20120211791 | LED Packaging Structure and Fabricating Method Thereof - A light emitting diode (LED) packaging structure includes a base, a LED chip, a gel-blocking structure and a phosphor layer. The LED chip disposed on the base and electrically connected to the base. The LED chip having a substrate and a semiconductor layer formed on the substrate. The gel-blocking structure is disposed on the substrate of the LED chip and surrounding the semiconductor layer. The phosphor layer is filled within a space defined by the gel-blocking structure, the substrate and the semiconductor layer. The present invention also discloses a fabricating method of the LED packaging structure. | 08-23-2012 |
20120217522 | LIGHT EMITTING DIODE - A light emitting diode (“LED”) includes a substrate, a light emitting unit on the substrate and generating light, an encapsulation layer overlapping an entire of exposed surfaces of the light emitting unit, and a coating layer including an organic material and on the encapsulation layer. A refractive index of the coating layer is greater than a refractive index of air and less than a refractive index of the encapsulation layer. | 08-30-2012 |
20120217523 | LIGHT EMITTING DIODE PACKAGING STRUCTURE - A light emitting diode (LED) packaging structure comprises a base, a LED chip and a packaging colloid. The LED chip is disposed in the base. The packaging colloid comprises a first optical resin material and at least one second optical resin material. The first optical resin material is transparent and packages the LED chip. The second optical resin material is disposed to a side of the first optical resin material. The second optical resin material is doped with a second fluorescent-powder. By disposing multilayered second optical resin materials, the fluorescent-powder is far from the LED chip to prevent the fluorescent-powder from being heated to cause light attenuation, thereby extending the service life of the LED chip. | 08-30-2012 |
20120217524 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT EMITTING APPARATUS - According to one embodiment, a semiconductor light emitting device includes a p-type semiconductor layer, an n-type semiconductor layer, a light emitting layer, a p-side electrode and an n-side electrode. The p-type semiconductor layer includes a nitride semiconductor and has a first major surface. The n-type semiconductor layer includes a nitride semiconductor and has a second major surface. The light emitting layer is provided between the n-type semiconductor layer and the p-type semiconductor layer. The p-side electrode contacts a part of the p-type semiconductor layer on the first major surface. The n-side electrode contacts a part of the n-type semiconductor layer on the second major surface. The n-side electrode is provided outside and around the p-side electrode in a plan view along a direction from the p-type semiconductor layer to the n-type semiconductor layer. | 08-30-2012 |
20120217525 | LIGHT EMITTING DIODE PACKAGE AND LIGHT EMITTING DEVICE HAVING THE SAME - An LED package includes a light transmissive encapsulation, an LED die embedded in the encapsulation from a bottom surface of the encapsulation, a positive electrode electrically connected to an anode of the LED die, and a negative electrode electrically connected to a cathode of the LED die. The encapsulation includes a light emitting surface opposite to the bottom surface thereof. The LED die includes a front surface for outputting light outward, and a back surface opposite to the front surface. The front surface is covered by the encapsulation and faces the light emitting surface of the encapsulation. The back surface is exposed outside. A light emitting device is provided by mounting the LED package to a circuit board. The circuit board has a heat conductor connecting with the LED die. | 08-30-2012 |
20120217526 | CHIP LED - The chip LED includes a plurality of semiconductor chips at least one of which is a light-emitting element; a recess formed on the packaging substrate having a rear-side metallic layer on the rear-side thereof, and a metallic layer formed on the bottom surface and inner wall surface of the recess; the light-emitting element being die-bonded to the metallic layer formed on the bottom surface of the recess and being wire-bonded to a wiring pattern deposited on the surface of the packaging substrate; and the metallic layer formed on the bottom surface of the recess being electrically conducted to the rear-side metallic layer formed on the rear side of the packaging substrate. | 08-30-2012 |
20120217527 | LIGHT-EMITTING DIODE ELEMENT AND LIGHT-EMITTING DIODE DEVICE - A light-emitting diode element includes an optical semiconductor layer, an electrode unit to be connected to the optical semiconductor layer, and an encapsulating resin layer that encapsulates the optical semiconductor layer and the electrode unit, the encapsulating resin layer containing a light reflection component. | 08-30-2012 |
20120217528 | LIGT EMITTING DEVICE - According to one embodiment, a light emitting device includes a light emitting element, a molded body, a first sealing layer and a converging lens. The light emitting element has a first surface and a second surface with an optical axis of emission light being perpendicular to the second surface. The molded body includes a recess. The first surface side of the light emitting element is disposed in the recess. The first sealing layer covers the light emitting element in the recess and includes a first transparent resin and phosphor particles. The converging lens is provided on the first sealing layer and has a refractive index increasing with increase of distance from the optical axis. The refractive index at a position in contact with an outer edge of the upper surface of the first sealing layer is higher than a refractive index of the first transparent resin. | 08-30-2012 |
20120217529 | LIGHT EMITTING ELEMENT AND IMAGE DISPLAY APPARATUS USING THE LIGHT EMITTING ELEMENT - A light emitting element which can emit light in a uniform polarization state at a high efficiency and a higher luminance level is realized. The light emitting element of the present invention is a light emitting element including an active layer for generating light, the light emitting element including: a polarizer layer including a first region that transmits polarized light in a first direction and reflects other light from among the light generated at the active layer, and a second region that transmits polarized light in a second direction orthogonal to the first direction and reflects other light; a wave plate layer including a third region and a fourth region that allow the lights exited from the first region and the second region to enter, and to exit as light in the same polarization state; and a reflection layer that reflects the lights reflected at the first region and the second region. | 08-30-2012 |
20120223348 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type and a light emitting layer provided between the first semiconductor layer and the second semiconductor layer. The device also includes a first electrode layer having electrical continuity with the first semiconductor layer and a second electrode layer provided on the second semiconductor layer, the second electrode layer including a metal portion having a thickness not less than 10 nanometers and not more than 100 nanometers along a direction from the first semiconductor layer to the second semiconductor layer. A plurality of apertures penetrates the metal portion along the direction, each of the apertures viewed along the direction having equivalent circle diameters of not less than 10 nanometers and not more than 5 micrometers, and a Schottky barrier is provided between the second semiconductor layer and the metal portion. | 09-06-2012 |
20120223349 | FRONT SIDE EMITTING TYPE ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A front side emitting type organic light-emitting display device includes a substrate; an anode electrode formed over the substrate; an organic layer formed over the anode electrode; a cathode electrode formed over the organic layer; a pair of transparent conductive oxide layers disposed over the cathode electrode; and a metal layer interposed between the pair of transparent conductive oxide layers. | 09-06-2012 |
20120223350 | Light-Emitting Device, Lighting Device, Substrate, and Manufacturing Method of Substrate - To provide a substrate which is light and has high reliability and high light extraction efficiency from an organic EL element. To provide a substrate which includes a protective layer in a resin layer, an uneven structure on a light incident surface, and an opening which surrounds the uneven structure and through which the protective layer is exposed. To provide a light-emitting device which includes a resin layer provided with an uneven structure on a light incident surface over a protective layer, and a light-emitting element in the protective layer and a counter substrate which are bonded with a sealant. The protective layer and the resin layer have a property of transmitting visible light. The light-emitting element includes a light-transmitting first electrode over a resin layer, a layer containing a light-transmitting organic compound over the first electrode, and a second electrode over the layer containing a light-transmitting organic compound. | 09-06-2012 |
20120223351 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURE - A light emitting diode (LED) device and packaging for same is disclosed. In some aspects, the LED is manufactured using a vertical configuration including a plurality of layers. Certain layers act to promote mechanical, electrical, thermal, or optical characteristics of the device. The device avoids design problems, including manufacturing complexities, costs and heat dissipation problems found in conventional LED devices. Some embodiments include a plurality of optically permissive layers, including an optically permissive cover substrate or wafer stacked over a semiconductor LED and positioned using one or more alignment markers. | 09-06-2012 |
20120223352 | PHOSPHOR AND LED LIGHT EMITTING DEVICE USING THE SAME - An LED light emitting device is provided that has high color rendering properties and is excellent color uniformity and, at the same time, can realize even luminescence unattainable by conventional techniques. A phosphor having a composition represented by formula: (Sr | 09-06-2012 |
20120223353 | Light-Emitting Diode Structure - A light-emitting diode structure includes a base with a recessed portion, a light-emitting chip and a light-transmissive block. The light-emitting chip disposed in the recessed portion of the base and emits a light beam. The light-transmissive block disposed on the base covers the recessed portion and the light-emitting chip, so that the light beam emitted from the light-emitting chip is radiated outwardly via the light-transmissive block. The light-transmissive block is a flat-top multilateral cone including a bottom surface, a top surface, and several side surfaces connected to and located between the bottom surface and the top surface. A slot with a bottom portion is formed on the top surface of the light-transmissive block. | 09-06-2012 |
20120223354 | SEMICONDUCTOR TWO-PHOTO DEVICE - A semiconductor, room-temperature, electrically excited, two-photon device with thick optically active layer is provided. The intrinsic AlGaAs active layer is sandwiched between two intrinsic graded waveguide layers having increased aluminum concentration at increased distance from the active layer. The waveguide structure is sandwiched between two cladding layers of high aluminum concentration, n and p doped respectively. The structure is epitaxially grown on a substrate and further comprises other layers such as buffer, graded layers and contact layers. An etched ridge provides lateral confinement for light. The device provides two-photons gain and may be used in light sources, optical amplifiers, pulse compressors and lasers. | 09-06-2012 |
20120228652 | LED LAMP AND MANUFACTURING METHOD THEREOF - An LED lamp (Light Emitting Diode) manufacturing method is disclosed. The method includes the steps as following. First, a fluorescent powder and a translucent plastic are mixed to be a mixed material, and the ratio of the fluorescent powder and the translucent plastic is below 80:100. Second, the mixed material is applied to form a lamp shell by the injection molding technology. Third, at least one LED is arranged at the center of the bottom of the lamp shell. | 09-13-2012 |
20120228653 | LIGHT EMITTING DEVICE - A light emitting device of embodiments is provided with a light-emitting element emitting excitation light of a first wavelength, a first phosphor layer containing a first phosphor that converts the excitation light into first converted light of a second wavelength longer than the first wavelength, a second phosphor layer provided between the light-emitting element and the first phosphor layer, receiving the excitation light, and containing a second phosphor that converts the excitation light into second converted light of a third wavelength longer than the second wavelength, and a filter layer provided between the first phosphor layer and the second phosphor layer and constituted of a two-dimensional photonic crystal or a three-dimensional photonic crystal that transmits the excitation light and the second converted light and reflects the first converted light. | 09-13-2012 |
20120228654 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes a structure including a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type and a light emitting layer provided between the first semiconductor layer and the second semiconductor layer. The device also includes an electrode layer provided on the second semiconductor layer side of the structure. The electrode layer includes a metal portion with a thickness of not less than 10 nanometers and not more than 100 nanometers. A plurality of openings pierces the metal portion, each of the openings having an equivalent circle diameter of not less than 10 nanometers and not more than 5 micrometers. The device includes an inorganic film providing on the metal portion and inner surfaces of the openings, the inorganic film having transmittivity with respect to light emitted from the light emitting layer. | 09-13-2012 |
20120228655 | LIGHT EMITTING DIODE WITH LARGE VIEWING ANGLE AND FABRICATING METHOD THEREOF - A light emitting diode includes a substrate, a plurality of pillar structures, a filler structure, a transparent conductive layer, a first electrode, and a second electrode. These pillar structures are formed on the substrate. Each of the pillar structures includes a first type semiconductor layer, an active layer, and a second type semiconductor layer. The first type semiconductor layers are formed on the substrate. The pillar structures are electrically connected with each other through the first type semiconductor layers. The filler structure is formed between the pillar structures. The filler structure and the second type semiconductor layers of the pillar structures are covered with the transparent conductive layer. The first electrode is in contact with the transparent conductive layer. The second electrode is in contact with the first type semiconductor layer. | 09-13-2012 |
20120228656 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - [PROBLEM] A light extraction efficiency increases by suppressing a reflection of a semiconductor layer and a transparent substrate. | 09-13-2012 |
20120228657 | Light-Emitting Element and Light-Emitting Device - To provide a light-emitting element or a light-emitting device in which power is not consumed wastefully even if a short-circuit failure occurs. The present invention focuses on heat generated due to a short-circuit failure which occurs in a light-emitting element. A fusible alloy which is melted at temperature T | 09-13-2012 |
20120228658 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT-EMITTING ELEMENT - There is provided a light-emitting element having a semiconductor film which includes a p-type current-spreading layer of GaInP or GaP; a first p-clad of AlInP; a second p-clad of AlGaInP; an active layer including of GaInP or AlGaInP; a first n-clad having a carrier density of 1×10 | 09-13-2012 |
20120228659 | LIGHT-EMITTING DIODE WITH METAL STRUCTURE AND HEAT SINK - A light-emitting diode has a metal structure, a light-emitting chip, and a bowl structure. The metal structure has a platform and a heat sink. The platform has a top face, a first side, and a second side opposite to the first side. A first reflector and a second reflector respectively extend from the first side and the second side. The heat sink extends below the top face and has a drop from the bottom surfaces of the first reflector and the second reflector. The light-emitting chip is disposed on the top face. The bowl structure covers the outer surface of the metal structure and shields the bottom surfaces of the first reflector and the second reflector. A thermal dispassion surface of the heat sink is exposed from the bowl structure. An inner surface of bowl wall has a plurality of reflection structures to promote the light extraction efficiency. | 09-13-2012 |
20120228660 | METHOD OF MANUFACTURING LEAD FRAME FOR LIGHT-EMITTING DEVICE PACKAGE AND LIGHT-EMITTING DEVICE PACKAGE - A method of manufacturing a lead frame for a light-emitting device package and a light-emitting device package are provided. The method of manufacturing a lead frame for a light-emitting device package includes: preparing a base substrate for the lead frame; forming diffusion roughness on the base substrate; and forming a reflective plating layer on the diffusion roughness formed base substrate. A lead frame for a light-emitting device and a light-emitting device package having a wide viewing angle and a wide radiation width by surface processing are provided. | 09-13-2012 |
20120228661 | SEMICONDUCTOR DEVICE - External light is reflected due to a difference in refractive indices of a black matrix and a glass substrate. When the black matrix is a black resin, there is a difference in refractive indices of the black resin and a first substrate. Also, there is a difference in refractive indices of the colored layer and the first substrate. Therefore, external light is slightly reflected. There is a problem in that the reflected light reduces contrast. A structure in which one polarizing element having dichroism is interposed between a pair of substrates is employed, and a light interference layer is provided between a color filter and a glass substrate, whereby a difference in refractive indices is moderated to reduce light reflection. | 09-13-2012 |
20120235187 | Light Source with Inner and Outer Bodies - A light-emitting device having an inner reflective body and an outer non-reflective body is disclosed. The inner reflective body defines a reflector configured to reflect light. In one embodiment, the outer non-reflective body encloses the inner reflective body to minimize reflectivity of the light-emitting device. When assembled into an infotainment display system, the outer non-reflective body may be configured to reduce reflection of ambient light and hence, increase contrast ratio of the display. Reliability performance of the light-emitting device may be improved by using interlocking aperture at the lead frame, interlock structure and interlock geometries defined by the inner reflective body and the outer non-reflective body. | 09-20-2012 |
20120235188 | Method and Apparatus for a Flat Top Light Source - A light-emitting device and method for manufacturing the device are disclosed. In one embodiment, the light-emitting device comprises a flat substrate and an encapsulation layer formed above the flat substrate. The top portion of the encapsulation layer is flat and the encapsulation layer is divided into a high density layer and a low density layer. The high density layer is formed from a wavelength-converting material precipitated on one side of the encapsulation layer. In the low density layer, the wavelength-converting material exists in particle form suspended within the encapsulation layer. | 09-20-2012 |
20120235189 | LIGHT-EMITTING DEVICE - This disclosure discloses a light-emitting device. The light-emitting device comprises: a substrate; an intermediate layer formed on the substrate; a transparent bonding layer; a first semiconductor window layer bonded to the semiconductor layer through the transparent bonding layer; and a light-emitting stack formed on the first semiconductor window layer. The intermediate layer has a refractive index between the refractive index of the substrate and the refractive index of the first semiconductor window layer. | 09-20-2012 |
20120235190 | ENCAPSULANT WITH INDEX MATCHED THIXOTROPIC AGENT - Emitter packages are disclosed having a thixotropic agent or material, with the encapsulant exhibiting significant reduction of thixotropic agent scattering. The packages exhibit a corresponding reduction or elimination of encapsulant clouding and increased package emission efficiency. This allows for the thixotropic agents to be included in the encapsulant to alter certain properties (e.g. mechanical or thermal) while not significantly altering the optical properties of the encapsulant. One embodiment of a light emitting diode (LED) package according to the present invention comprises an LED chip with an encapsulant over the LED chip. The encapsulant has an encapsulant refractive index and also has a thixotropic material with a refractive index that is substantially the same as the encapsulant refractive index. | 09-20-2012 |
20120235191 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND PHOTOCOUPLER - According to one embodiment, a semiconductor light emitting device includes a light emitting layer, a first layer, a second layer and a distributed Bragg reflector. The light emitting layer has a first and second surfaces and is capable of emitting emission light having a peak wavelength in a range of 740 nm or more and 830 nm or less. The first layer is provided on a side of the first surface and has a light extraction surface. The second layer is provided on a side of the second surface. The distributed Bragg reflector layer is provided on a side of the second layer. A third and fourth layers are alternately stacked. The distributed Bragg reflector layer is capable of reflecting the emission light toward the light extraction surface. The third and fourth layers each have a bandgap wavelength shorter than the peak wavelength. | 09-20-2012 |
20120235192 | LIGHT EMITTING DIODE PACKAGE - A light emitting diode package comprises a light emitting diode chip, a first luminescent conversion layer and a separate second luminescent conversion layer on the first luminescent conversion layer. The first luminescent conversion layer has a first luminescent conversion element surrounding the light emitting diode chip. The second luminescent conversion layer has a second luminescent conversion element located above the light emitting diode chip. An excitation efficiency of the first luminescent conversion element is higher than that of the second luminescent conversion element. | 09-20-2012 |
20120235193 | LED PACKAGE - An LED package comprises a substrate, a reflector, a light-absorbing layer, an encapsulation layer and an LED chip. The light-absorbing layer is located around the reflector and is able to absorb any light which penetrates through the reflector. Therefore, any vignetting or halation of light from the LED package is prevented. Moreover, the LED package can be constructed on a very small scale with no reduction in its color rendering properties. | 09-20-2012 |
20120235194 | LIGHT EMITTING DIODE PACKAGE - An LED package includes an insulated frame, a first metallic conductor and a second metallic conductor, a chip and an encapsulation. The insulated frame has a receiving groove defined therein. The two metallic conductors are both mounted on bottom of the insulated frame and separated from each other. The chip is placed in the receiving groove and electrically connected to the two metallic conductors. The encapsulation is located in the receiving groove. The first metallic conductor and the second metallic conductor each comprise a mounting portion exposed to the receiving groove and a reflecting portion extending from the mounting portion into the insulated frame. The first reflecting portion and the second reflecting portion cooperatively surround the receiving groove of the insulated frame. | 09-20-2012 |
20120235195 | LEDS WITH EFFICIENT ELECTRODE STRUCTURES - Aspects include electrodes that provide specified reflectivity attributes for light generated from an active region of a Light Emitting Diode (LED). LEDs that incorporate such electrode aspects. Other aspects include methods for forming such electrodes, LEDs including such electrodes, and structures including such LEDs. | 09-20-2012 |
20120235196 | LIGHT EMITTING DEVICE AND PROJECTOR - A light emitting device includes a first layer that generates light by injection current and forms a waveguide for the light, and an electrode that injects the current into the first layer, wherein the waveguide of the light has a first region, a second region, a third region, and a fourth region, the first region and the second region are connected at a first reflection part, the first region and the third region are connected at a second reflection part, the second region and the third region are tilted at the same angle and connected to an output surface, a distance between the fourth region and at least one of the first region, the second region, and the third region is a distance that produces evanescent coupling, and the fourth region forms a resonator. | 09-20-2012 |
20120235197 | ORGANIC EL DEVICE - An organic EL device includes a substrate, a first electrode layer arranged on the substrate, an organic EL layer arranged on the first electrode layer, an optical property adjusting layer arranged on the organic EL layer, and a second electrode layer arranged on the optical property adjusting layer. | 09-20-2012 |
20120235198 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - The invention provides a light emitting diode package structure, including: a light emitting diode chip formed on a substrate; a composite coating layer formed on the light emitting diode chip, wherein the composite coating layer comprises a first coating layer and a second coating layer, and the composite coating layer has a reflectivity greater than 95% at the wavelength of 500-800 nm; a cup body formed on the substrate, wherein the cup body surrounds the light emitting diode chip; and an encapsulation housing covering the light emitting diode chip, wherein the encapsulation housing comprises a wavelength transformation material. | 09-20-2012 |
20120235199 | POWER SURFACE MOUNT LIGHT EMITTING DIE PACKAGE - A light emitting die package is provided which includes a metal substrate having a first surface and a first conductive lead on the first surface. The first conductive lead is insulated from the substrate by an insulating film. The first conductive lead forms a mounting pad for mounting a light emitting device. The package includes a metal lead electrically connected to the first conductive lead and extending away from the first surface. | 09-20-2012 |
20120235200 | LED DEVICE HAVING A DOME LENS - A light emitting device comprises an LED die, a dome lens encapsulating the LED die, the dome lens having a first outer curved surface, and a photopolymerizable composition disposed on the dome lens. The photopolymerizable composition forms a meniscus lens defined by a second outer curved surface and an inner curved surface, the inner curved surface being in contact with only a portion of the first outer curved surface. The dome lens and the meniscus lens in combination form an elongated dome lens. | 09-20-2012 |
20120235201 | SYSTEM AND METHOD FOR LED PACKAGING - System and method for LED packaging. The present invention is directed to optical devices. More specifically, embodiments of the presentation provide LED packaging having one or more reflector surfaces. In certain embodiments, the present invention provides LED packages that include thermal pad structures for dissipating heat generated by LED devices. In particular, thermal pad structures with large surface areas are used to allow heat to transfer. In certain embodiments, thick thermally conductive material is used to improve overall thermal conductivity of an LED package, thereby allowing heat generated by LED devices to dissipate quickly. Depending on the application, thermal pad structure, thick thermal conductive layer, and reflective surface may be individually adapted in LED packages or used in combinations. There are other embodiments as well. | 09-20-2012 |
20120235202 | Light Emitting Device and Method of Manufacturing a Light Emitting Device - A light emitting device comprising a heat sink, a dielectric layer arranged on the heat sink, a heat conductive layer arranged on the dielectric layer, an undercoating arranged on at least a part of the heat conductive layer, and a light emitting chip attached to the heat conductive layer by means of the undercoating. | 09-20-2012 |
20120235203 | METHOD FOR PRODUCING NANOPARTICLES - Some embodiments disclosed herein are related to methods of preparing a nanoparticle composition comprising: providing an aerosol comprising a plurality of droplets of a precursor solution comprising at least one nanoparticle precursor and an expansive component; passing the aerosol through a plasma; and collecting a nanoparticle composition product from the carrier gas which has exited the plasma. Some embodiments relate to nanoparticle compositions provided by this process. Some embodiments relate to light-emitting diodes or light emitting devices comprising these compositions. | 09-20-2012 |
20120235204 | SEMICONDUCTOR LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE USING SEMICONDUCTOR LIGHT EMITTING ELEMENT, AND ELECTRONIC APPARATUS - Disclosed is a semiconductor light emitting element ( | 09-20-2012 |
20120241784 | LIGHT-EMITTING DIODE (LED) PACKAGE SYSTEMS AND METHODS OF MAKING THE SAME - A light-emitting diode (LED) package system includes a LED disposed over a surface of a substrate. A molding material covers the LED. A phosphor-containing material is disposed over and spaced from the LED by the molding material. | 09-27-2012 |
20120241785 | VERTICAL LIGHT EMITTING DEVICES WITH NICKEL SILICIDE BONDING AND METHODS OF MANUFACTURING - Various embodiments of light emitting devices, assemblies, and methods of manufacturing are described herein. In one embodiment, a method for manufacturing a lighting emitting device includes forming a light emitting structure, and depositing a barrier material, a mirror material, and a bonding material on the light emitting structure in series. The bonding material contains nickel (Ni). The method also includes placing the light emitting structure onto a silicon substrate with the bonding material in contact with the silicon substrate and annealing the light emitting structure and the silicon substrate. As a result, a nickel silicide (NiSi) material is formed at an interface between the silicon substrate and the bonding material to mechanically couple the light emitting structure to the silicon substrate. | 09-27-2012 |
20120241786 | SOLID STATE OPTOELECTRONIC DEVICE WITH PLATED SUPPORT SUBSTRATE - A vertical solid state lighting (SSL) device is disclosed. In one embodiment, the SSL device includes a light emitting structure formed on a growth substrate. Individual SSL devices can include a embedded contact formed on the light emitting structure and a metal substrate plated at a side at least proximate to the embedded contact. The plated substrate has a sufficient thickness to support the light emitting structure without bowing. | 09-27-2012 |
20120241787 | LIGHT EMITTING DEVICE AND FABRICATION METHOD THEREOF - A method of fabricating a vertical light emitting diode including: growing a low doped first semiconductor layer on a sacrificial substrate; forming an aluminum layer on the low doped first semiconductor; forming an AAO layer having a large number of holes formed therein by anodizing the aluminum layer; etching and patterning the low doped first semiconductor layer using the aluminum layer as a shadow mask, thereby forming grooves; removing the aluminum layer remaining; sequentially forming a high doped first semiconductor layer, an active layer and a second semiconductor layer on the low doped first semiconductor layer with the grooves; forming a metal reflective layer and a conductive substrate on the second semiconductor layer; separating the sacrificial substrate; and forming an electrode pad on the other surface of the low doped first semiconductor layer, the electrode pad filled in the grooves and in ohmic contact with the high doped first semiconductor. | 09-27-2012 |
20120241788 | Textured Light Emitting Devices and Methods of Making the Same - Light emitting devices having a textured light emission surface and methods are disclosed. A light emitting device can include a semiconductor substrate having a light emission surface, a semiconductive junction and a textured region formed via laser irradiation on the light emission surface. During us of the light emitting device, light generated by the semiconductive junction can primarily emit through the light emission surface having the textured region. | 09-27-2012 |
20120241789 | LED PACKAGE, METHOD FOR MAKING THE LED PACKAGE AND LIGHT SOURCE HAVING THE SAME - An LED package includes a light transmissive encapsulation, an LED die, a fluorescent layer, a baffle wall, a positive electrode and a negative electrode. The encapsulation includes a light emitting surface and a bottom surface opposite to the light emitting surface. The LED die, the fluorescent layer and the baffle wall are embedded in the encapsulation from the bottom surface side. The LED die includes a front surface for outputting light outward and a back surface opposite to the front surface. The front surface faces the light emitting surface of the encapsulation, and the back surface is exposed outside. The fluorescent layer is formed on the front surface of the LED die. The baffle wall surrounds the LED die and the fluorescent layer. The positive electrode and negative electrode are electrically connected to the LED die. | 09-27-2012 |
20120241790 | LED PACKAGE - An LED package comprises a substrate, a reflector, a light-absorbable layer, an encapsulation layer and an LED chip. The reflector comprises a first incline with an inclined angle surrounding the LED chip. The light-absorbable layer comprises a second incline with another inclined angle direct to the LED chip, wherein the inclined angle of the second incline is greater than that of the first incline and the inclined angle of the first incline is between 90 to 150 degrees. | 09-27-2012 |
20120241791 | GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE - A Group III nitride semiconductor light-emitting device having an Ag or Ag alloy reflective film provided in an insulating film, at least a portion of the reflective film is located via the insulating film in a region between an n-lead electrode and at least one of a p-contact electrode having transparency and a p-type layer, wherein a conductive film is formed via the insulating film between the n-lead electrode and the reflective film of the region, and the conductive film is electrically connected to at least one of the p-contact electrode and the p-type layer. | 09-27-2012 |
20120241792 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to an embodiment, a semiconductor light emitting device includes a stacked body, first and second electrodes, first and second interconnections, first and second pillars and a first insulating layer. The stacked body includes first and second semiconductor layers and a light emitting layer. The first and second electrodes are connected to the first and second semiconductor layers respectively. The first and second interconnections are connected to the first and second electrode respectively. The first and second pillars are connected to the first and second interconnections respectively. The first insulating layer is provided on the interconnections and the pillars. The first and second pillars have first and second monitor pads exposed in a surface of the first insulating layer. The first and second interconnections have first and second bonding pads exposed in a side face connected with the surface of the first insulating layer. | 09-27-2012 |
20120241793 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - Disclosed herein are a light emitting diode package and a method of manufacturing the same. The light emitting diode package includes: a substrate, a light-emitting layer disposed on a surface of the substrate and including a first type semiconductor layer, an active layer, and a second type semiconductor layer, a first bump disposed on the first type semiconductor layer and a second bump disposed the second type semiconductor layer, a protective layer covering at least the light-emitting layer, and a first bump pad and a second bump pad disposed on the protective layer and connected to the first bump and the second bump, respectively. | 09-27-2012 |
20120241794 | Light-Emitting Device and Lighting Device - To improve power efficiency of a light-emitting element for white emission even when light in a wavelength range of low luminosity is utilized. As a light-emitting element for white emission, three light-emitting layers are stacked. In such a light-emitting element, the three light-emitting layers are included between a light-transmitting electrode and a light-reflecting electrode, and light emitted from each light-emitting layer is reflected on the light-reflecting electrode and is transmitted through the light-transmitting electrode. In addition, the light-emitting layer closer to the light-reflecting electrode has a shorter optical path length. Thus, the position of each light-emitting layer is limited depending on the distance from the light-reflective electrode, and the optical path length of each light-emitting layer is adjusted; therefore the light-emitting element with high power efficiency can be provided. | 09-27-2012 |
20120241795 | Optoelectronic Module - The present invention provides an optoelectronic module including a substrate, an optoelectronic device and a control unit. The substrate includes a top surface, a bottom surface, a concave structure, a through hole structure and a conductive material. The concave structure is disposed on the top surface. The through hole structure passes through the substrate from the top surface to the bottom surface. The conductive material is filled into the through hole structure. The optoelectronic device is disposed on the substrate for providing or receiving an optical signal. The control unit is configured on the top surface and electrically connected to the conductive material and the optoelectronic device for controlling the optoelectronic device. | 09-27-2012 |
20120241796 | LIGHT-EMITTING DEVICE - According to one embodiment, a light-emitting device includes a light-transmitting layer including first and second regions and a third region intervening therebetween, and a light-emitting portion overlapping the second region or the second and third regions. A first portion of the device corresponding to the first region transmits light having a certain wavelength in a visible range at a first transmittance. A second portion of the device corresponding to the second region causes emission by the light-emitting portion and transmits the light at a second transmittance lower than the first transmittance. A third portion of the device corresponding to the third region is configured to have a transmittance distribution in which transmittance for the light decreases from an end on a first portion's side to an end on a second portion's side within a range from the first transmittance to the second transmittance. | 09-27-2012 |
20120241797 | LIGHT EMITTING DIODE, MANUFACTURING METHOD THEREOF, LIGHT EMITTING DIODE MODULE, AND MANUFACTURING METHOD THEREOF - A manufacturing method of a light emitting diode (LED) and a manufacturing method of an LED module are provided. The manufacturing method of the LED may include manufacturing a plurality of LED chips, manufacturing a phosphor pre-form including a plurality of mounting areas for mounting the plurality of LED chips, applying an adhesive inside the phosphor pre-form, mounting each of the plurality of LED chips in each of the plurality of mounting areas, and cutting the phosphor pre-form to which the plurality of LED chips are mounted, into units including individual LED chips. | 09-27-2012 |
20120241798 | III-V LIGHT EMITTING DEVICE INCLUDING A LIGHT EXTRACTING STRUCTURE - Embodiments of the invention include a substrate comprising a host and a seed layer bonded to the host, and a semiconductor structure comprising a light emitting layer disposed between an n-type region and a p-type region grown over the seed layer. A variation in index of refraction in a direction perpendicular to a growth direction of the semiconductor structure is disposed between the host and the light emitting layer. | 09-27-2012 |
20120241799 | FLEXIBLE LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND METHOD FOR MANUFACTURING FLEXIBLE-LIGHT EMITTING DEVICE - It is an object to provide a flexible light-emitting device with long lifetime in a simple way and to provide an inexpensive electronic device with long lifetime using the flexible light-emitting device. A flexible light-emitting device is provided, which includes a substrate having flexibility and a light-transmitting property with respect to visible light; a first adhesive layer over the substrate; an insulating film containing nitrogen and silicon over the first adhesive layer; a light-emitting element including a first electrode, a second electrode facing the first electrode, and an EL layer between the first electrode and the second electrode; a second adhesive layer over the second electrode; and a metal substrate over the second adhesive layer, wherein the thickness of the metal substrate is 10 μm to 200 μm inclusive. Further, an electronic device using the flexible light-emitting device is provided. | 09-27-2012 |
20120241800 | SOLID STATE LIGHTING SYSTEM WITH OPTIC PROVIDING OCCLUDED REMOTE PHOSPHOR - The present teachings relate to semiconductor-based lighting systems and fixtures which process electromagnetic energy from light emitting diodes or the like. A disclosed exemplary system includes at least one occluded remote phosphor and produces substantially white light of desired characteristics. The remote phosphor extends over at least a portion of a surface of a macro optic at an occluded location such that none of the remote phosphor is directly visible through an optical aperture. The phosphor is responsive to electromagnetic energy from a semiconductor device to emit visible light for the emission through the optical aperture. | 09-27-2012 |
20120248479 | LED Device Utilizing Quantum Dots - There is herein described a LED lighting device utilizing quantum dots in layers on top of an LED chip. The quantum dots layers and the LED chip are arranged with gradient refractive indices, so that the refractive index of each layer is preferably less than the refractive index of the immediately underlying layer or chip. The quantum dots with emission peaks at longer wavelengths are preferably arranged in lower layers closer to the LED chip; while the quantum dots with emission peaks at shorter wavelengths are arranged in higher layers farther from the LED chip. | 10-04-2012 |
20120248480 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are a display device and a method of manufacturing of the display device. The display device includes a substrate subjected to a primary preprocess; a conductor formed on the substrate and subjected to a secondary preprocess; and an insulating layer formed on the substrate and the conductor, in which the primary preprocess is performed for a surface energy of the first substrate higher than a first reference value and the secondary preprocess is performed for a surface energy of the conductor lower than a second reference value. | 10-04-2012 |
20120248481 | WAFER LEVEL LIGHT EMITTING DIODE PACKAGE AND METHOD OF FABRICATING THE SAME - An exemplary embodiment of the present invention discloses a wafer level light emitting diode package that includes a first substrate having an insulating-reflecting layer and an electrode pattern arranged on a surface of the first substrate, and a conductive via, a terminal on which the first substrate is arranged, a second substrate arranged on the first substrate, the second substrate including a cavity-forming opening, the cavity-forming opening exposing the electrode pattern, and a light-emitting chip arranged on the electrode pattern. The light-emitting chip is a flip-bonded light-emitting structure without a chip substrate, and the conductive via electrically connects the electrode pattern and the terminal. | 10-04-2012 |
20120248482 | LED PACKAGE AND METHOD FOR MANUFACTURING THE SAME - An LED package includes an electrode, an LED chip, and an insulation layer. The electrode includes a first electrode and a second electrode. The first electrode and the second electrode are separate from each other. The LED chips are connected to the first and second electrodes. The insulation layer covers the first and second electrodes and the LED chip. A cavity is defined in the first electrode for receiving the LED chip therein. A channel is defined between the first electrode and the second electrode. The channel communicates with the cavity and the insulation layer fills in the cavity and the channel. | 10-04-2012 |
20120248483 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device includes a substrate having a conductive portion; a light emitting element having one or more electrodes on a lower surface side thereof, the electrodes being positioned on the conductive portion of the substrate; a phosphor layer disposed on a surface of the light emitting element and on a peripheral surface area of the conductive portion next to the light emitting element; and a reflection layer covering a part of the phosphor layer disposed on the peripheral surface area of the conductive portion. | 10-04-2012 |
20120248484 | LIGHT EMITTING DIODE DEVICE AND PRODUCING METHOD THEREOF - A method for producing a light emitting diode device includes the steps of preparing a base board; allowing a light semiconductor layer where an electrode portion is provided at one side in a thickness direction to be disposed in opposed relation to the base board, and the electrode portion to be electrically connected to a terminal, so that the light semiconductor layer is flip-chip mounted on the base board; forming an encapsulating resin layer containing a light reflecting component at the other side of the base board so as to cover the light semiconductor layer and the electrode portion; removing the other side portion of the encapsulating resin layer so as to expose the light semiconductor layer; and forming a phosphor layer formed in a sheet state so as to be in contact with the other surface of the light semiconductor layer. | 10-04-2012 |
20120248485 | PRODUCING METHOD OF LIGHT EMITTING DIODE DEVICE AND LIGHT EMITTING DIODE ELEMENT - A method for producing a light emitting diode device includes the steps of preparing a phosphor layer formed in a sheet state; forming a light semiconductor layer on one surface in a thickness direction of the phosphor layer; forming an electrode portion on one surface of the light semiconductor layer; forming an encapsulating resin layer containing a light reflecting component so as to cover the light semiconductor layer and the electrode portion; producing the light emitting diode element by partially removing the encapsulating resin layer so as to expose one surface of the electrode portion; and allowing the electrode portion to be electrically connected to the terminal, so that the light emitting diode element is flip-chip mounted on the base board. | 10-04-2012 |
20120248486 | LED PACKAGE AND FABRICATION METHOD OF THE SAME - An LED package and method thereof include an insulation plate, and a metal board disposed on the insulation plate and etched to form a cavity, wherein the metal board is etched to partially expose the insulation plate to form the cavity. The LED package and method also include an LED chip configured to be mounted inside the cavity, and an encapsulation member filling the cavity, wherein the encapsulation member comprises an epoxy resin. The LED package and method include a through-hole configured to be formed on the insulation plate where the LED chip is mounted. The through-hole enables portions of the LED chip to be exposed, and a metal configured to fill the through-hole to form an electrode to be electrically connected to the LED chip. | 10-04-2012 |
20120248487 | LIGHT EMITTING DIODE PACKAGING STRUCTURE - The present invention discloses an LED packaging structure, which comprises a metal housing having a cavity and two open ends, a sintered two-phase-flow heat transfer device having a flat top mounting plane, a lens disposed in the first open end, at least one LED chip mounted in the cavity of the metal housing and on the mounting plane of the two-phase-flow heat transfer device; the LED chip is connected with an electrical connection device, and wherein the sintered two-phase-flow, electrical connection device and LED chip are fixed together through a fixing base; as using a sintered two-phase-flow heat transfer device for heat dissipation, the heat generated by the LED could be expelled in time for dealing with long-term continual work, and thus the LED chip could have a longer service life; in addition, the lens used in the present invention could improve the luminescent efficiency. | 10-04-2012 |
20120248488 | Light-Emitting Diode Package and Manufacturing Method Thereof - An LED package is provided. The LED package comprises a metal plate, circuit patterns, and an LED. The metal plate comprises grooves. The insulating layer is formed on the metal plate. The circuit patterns are formed on the insulating layer. The LED is electrically connected with the circuit pattern on the insulating layer. | 10-04-2012 |
20120256211 | METHODS OF FABRICATING LIGHT EMITTING DEVICES INCLUDING MULTIPLE SEQUENCED LUMINOPHORIC LAYERS, AND DEVICES SO FABRICATED - An LED includes a first pedestal and may be fabricated by coating a first phosphor layer on the LED, thinning the first phosphor layer to expose the first pedestal, forming a second pedestal on the first pedestal, coating a second phosphor layer and thinning the second phosphor layer to expose the second pedestal. Alternatively, an LED having a pedestal is coated with a first phosphor layer, coated with a second phosphor layer and then planarized to expose the pedestal. Related structures are also provided. | 10-11-2012 |
20120256212 | TUNABLE PHOSPHOR FOR LUMINESCENT - The present disclosure provides an illuminating system including a light emitting diode (LED); and a tunable luminescent material disposed approximate the light-emitting diode, wherein the tunable luminescent material includes alkaline earth metal (AE) and silicon aluminum nitride doped by a rare earth element (RE), formulated as (AE)Si | 10-11-2012 |
20120256213 | LED STRUCTURE AND MANUFACTURING METHOD THEREOF - The present invention discloses an LED structure and a manufacturing method thereof. The LED structure has a housing, an LED chip and a transparent encapsulant. The housing has a recess and at least one protruded wall. The LED chip is received in the recess. The transparent encapsulant is formed by dispensing a molding compound into the recess by an adhesive dispenser. The transparent encapsulant has an edge matched with an edge of the recess to encapsulate the LED chip in the recess, and has a height smaller than that of the protruded wall. The LED chip of the LED structure of the present invention can emit light through the spherical surface of the transparent encapsulant based on a greater visual angle, and thus enhance the light extraction efficiency. | 10-11-2012 |
20120256214 | LED PACKAGE STRUCTURE - The present invention discloses an LED package structure which has a housing, an LED chip and a transparent encapsulant. The housing has a recess and a plurality of protrusions. The LED chip is mounted in the recess of the housing, and covered in the recess by the transparent encapsulant. The protrusions are formed in the recess or on the edge of the housing. The protrusions of the present invention can form the uneven shape of the surface of the transparent encapsulant, so as to increase the diffusion angle of the light and enhance the light extraction efficiency. | 10-11-2012 |
20120256215 | PACKAGE HAVING LIGHT-EMITTING ELEMENT AND FABRICATION METHOD THEREOF - A package having a light-emitting element includes a substrate having a light-emitting element disposed thereon, an insulating layer formed on the substrate and having an opening for exposing the light-emitting element, a florescent layer formed in the opening of the insulating layer for encapsulating the light-emitting element, and a transparent material formed on the florescent layer and the insulating layer. As such, a specific space can be defined by the insulating layer for exposing the light-emitting element and forming the fluorescent layer, thereby overcoming the problem of non-uniform coating of phosphor powder as encountered in prior techniques. | 10-11-2012 |
20120256216 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting diode device is disclosed. The organic light emitting diode device includes a substrate, a first electrode layer, a first insulating layer, at least one controlling electrode layer, a second insulating layer, at least one light emitting layer, a third insulating layer, and a second electrode layer. The first electrode layer is formed on the substrate and includes a first area and a second area adjacent to the first area. The first insulating layer, the controlling electrode layer, and the second insulating layer are sequentially formed on the first area. The light emitting layer is formed on the second area. The second electrode layer is formed on the light emitting layer. In the present invention, the controlling electrode layer controls a recombination region of electron-hole pair so as to achieve an objective of adjusting a color temperature. | 10-11-2012 |
20120256217 | LIGHT-EMITTING DIODE PACKAGE - A light-emitting diode (LED) package including a substrate, an LED chip, a polarizer, and a supporter is provided. The LED chip is disposed on the substrate. The polarizer is disposed above the LED chip. The supporter is disposed on the substrate for supporting the polarizer. | 10-11-2012 |
20120256218 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light-emitting diode (OLED) display according to an exemplary embodiment may include: a substrate and an organic light emitting element on the substrate; a thin film encapsulation layer on the substrate and covering the organic light emitting element; and one or more scattering materials dispersed in the thin film encapsulation layer. According to the exemplary embodiment, light efficiency may be improved by dispersing scattering materials in at least one of an organic layer or an inorganic layer forming a thin film encapsulation layer with a large refractive index difference. | 10-11-2012 |
20120256219 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURING THE SAME - An LED package includes a substrate, an electrode layer, a light-emitting chip, a reflection cup and an encapsulation. The substrate includes a first surface, an opposite second surface, and two side surfaces. The electrode layer is consisted of a positive electrode and a negative electrode, each of which extends from the first surface to the second surface via a respective side surface. The light-emitting chip is located on the first surface of the substrate and electrically connected to the electrode layer. The reflection cup comprises a first part covering the electrode layer on the side surfaces of the substrate, a second part with a bowl-like shape on the first surface of the substrate and surrounding the light-emitting chip. The encapsulation is filled in the second part of the reflection cup. | 10-11-2012 |
20120256220 | ENCAPSULATING SHEET, LIGHT EMITTING DIODE DEVICE, AND A METHOD FOR PRODUCING THE SAME - An encapsulating sheet is stuck to a substrate mounted with a light emitting diode to encapsulate the light emitting diode. The encapsulating sheet includes an encapsulating material layer in which an embedding region is defined, the embedding region for embedding the light emitting diode from one side surface of the encapsulating material layer; a first phosphor layer laminated on the other side surface of the encapsulating material layer; and a second phosphor layer laminated on one side surface of the encapsulating material layer so as to be spaced apart from the embedding region. | 10-11-2012 |
20120256221 | LIFE-IMPROVED SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes first and second semiconductor layers, an active region, a transparent electrically-conducting layer | 10-11-2012 |
20120256222 | PHOSPHOR AND LIGHT-EMITTING DEVICE - A phosphor has the general formula (M | 10-11-2012 |
20120256223 | LIGHT EMISSION DEVICE - Disclosed are a light emission device comprising an LED element and a wavelength conversion section, the LED element emitting light of a specific wavelength and the wavelength conversion section converting the light emitted from the LED element to light of a specific wavelength, featured in that the wavelength conversion section is composed of a ceramic layer which has been formed employing, as a raw material, polysilazane containing a phosphor and inorganic fine particles with a particle size smaller than the phosphor. | 10-11-2012 |
20120256224 | INSULATED SUBSTRATE, PROCESS FOR PRODUCTION OF INSULATED SUBSTRATE, PROCESS FOR FORMATION OF WIRING LINE, WIRING SUBSTRATE, AND LIGHT-EMITTING ELEMENT - Provided is an insulating substrate which includes an aluminum substrate and an anodized film covering a whole surface of the aluminum substrate and in which the anodized film contains intermetallic compound particles with a circle equivalent diameter of 1 μm or more in an amount of up to 2,000 pcs/mm | 10-11-2012 |
20120256225 | SEMICONDUCTOR DEVICE PACKAGE INCLUDING A PASTE MEMBER - A semiconductor device package is provided. The semiconductor device package comprises a package body; a plurality of electrodes comprising a first electrode on the package body; a paste member on the first electrode and comprising inorganic fillers and metal powder; and a semiconductor device die-bonded on the paste member, wherein a die-bonding region of the first electrode comprises a paste groove having a predetermined depth and the paste member is formed in the paste groove. | 10-11-2012 |
20120256226 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - A light emitting device including a second conductive type semiconductor layer; an active layer over the second conductive type semiconductor layer; a first conductive type semiconductor layer over the active layer; a second electrode in a first region under the second conductive type semiconductor layer; a current blocking layer including a metal; and a first electrode over the first conductive type semiconductor layer. Further, the first electrode has at least one portion that vertically overlaps the current blocking layer. | 10-11-2012 |
20120261688 | LED WAVELENGTH-CONVERTING STRUCTURE INCLUDING A THIN FILM STRUCTURE - A wavelength-converting structure for a wavelength-converted light emitting diode (LED) assembly. The wavelength-converting structure includes a thin film structure having a non-uniform top surface. The non-uniform top surface is configured increase extraction of light from the top surface of a wavelength-converting structure. | 10-18-2012 |
20120261689 | SEMICONDUCTOR DEVICE PACKAGES AND RELATED METHODS - The packages include a plurality of spaced conductive standoffs electrically coupling the semiconductor die to, variously, a substrate and bottom package contacts. The conductive standoffs may be pillars or posts. The substrate includes at least one electrically isolated portion, which has exposed sidewalls. | 10-18-2012 |
20120261690 | LIGHT EMITTING DIODE WITH MICRO-STRUCTURE LENS - A light emitting diode (LED) with a micro-structure lens includes a LED die and a micro-structure lens. The micro-structure lens includes a convex lens portion, at least one concentric ridge structure surrounding the convex lens portion, and a lower portion below the convex lens portion and the at least one concentric ridge structure. The lower portion is arranged to be disposed over the LED die. A first optical path length from an edge of the LED die to a top center of the microstructure lens is substantially the same as a second optical path length from the edge of the LED die to a side of the micro-structure lens. | 10-18-2012 |
20120261691 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - The present invention provides a light emitting device, including a base, an LED inversely mounted on the base. The LED includes a buffer layer, an LED chip on the buffer layer. The buffer layer includes a plurality of protrusions with complementary pyramid structure on a light-exiting surface of the LED. The present invention also provides a method for manufacturing a light emitting device, including: providing a substrate and forming a plurality of pyramid structures on the substrate; forming successively a buffer layer, an n-type semiconductor layer, an active layer, a p-type semiconductor layer and a contact layer on the substrate with the pyramid structures; forming an opening with a depth at least from the contact layer to a top of the n-type semiconductor layer, and forming a first electrode on the contact layer and a second electrode on a bottom of the opening; and removing the substrate. The light emitting device has a high luminous efficiency and the manufacturing method is easy to implement. | 10-18-2012 |
20120261692 | LED PACKAGE STRUCTURE - A LED package structure includes a substrate, a LED chip and a colloid. The substrate includes a first surface and a second surface. An opening is shaped from the first surface toward the second surface. A phosphor layer is coated on the bottom surface with two opposite parts of the bottom surface respectively neighboring to two opposite side walls of the opening exposed. A metal layer is coated on the two exposed opposite parts of the bottom surface, the two opposite side walls and the first surface. The LED chip is received in the opening and configured on the phosphor layer. The LED chip includes a pair of conductive pads electrically connecting to the metal layer. The colloid is filled between the LED chip and the metal layer to attach the substrate to the LED chip. | 10-18-2012 |
20120261693 | LIGHT-EMITTING DIODE DEVICE - A light-emitting diode device. In one embodiment, the light-emitting device includes a heat-dissipating mount and a light-emitting diode chip. The heat-dissipating mount has a cavity, wherein the cavity includes an embedded portion and an inclined surface connected with the embedded portion. The light-emitting diode chip includes a substrate partly embedded into the embedded portion. A lower region of a side surface of the substrate has a first unsmooth surface, the first unsmooth surface has an exposed portion protruding above the embedded portion, and a bottom edge of the lower region is connected to a bottom surface of the substrate. | 10-18-2012 |
20120261694 | ALUMINUM DEFICIENT alpha-SiAION PHOSPHORS, METHOD OF PREPARING THE SAME, AND LED CHIP PACKAGE USING THE SAME - The present disclosure provides α-SiAlON phosphors, a method of preparing the same, and an LED chip package using the same. The method includes weighing and mixing raw materials of Ca | 10-18-2012 |
20120261695 | LIGHT-EMITTING DEVICE - A light-emitting device includes a first electrode; a light-emitting stacked layer on the first electrode; a first contact layer on the light-emitting stacked layer, wherein the first contact layer includes a first contact link and a plurality of first contact lines connected to the first contact link; a first conductive post in the light-emitting stacked layer and electrically connecting the first electrode and the first contact layer; and a passivation layer between the first conductive post and the light-emitting stacked layer. | 10-18-2012 |
20120261696 | LIGHT EMITTING DIODE EPITAXIAL STRUCTURE AND MANUFACTURING METHOD THEREOF - A light emitting device (LED) epitaxial structure includes a substrate, a nitride semiconductor layer, a patterned oxide total-reflective layer, a first-type semiconductor layer, an active layer and a second-type semiconductor layer. The nitride semiconductor layer is formed on the substrate. The patterned oxide total-reflective layer is formed on the nitride semiconductor layer. An upper surface of the nitride semiconductor layer is partially exposed out from the oxide total-reflective layer. The first-type semiconductor layer is arranged on the exposed upper surface of the nitride semiconductor layer and covers the oxide total-reflective layer. The active layer is arranged on the first-type semiconductor layer. The second-type semiconductor layer is arranged on the active layer. | 10-18-2012 |
20120261697 | Wafer Level Packaging of Electronic Devices - Aspects of the invention include an electronic device comprising a first contact point; a metal pad disposed to provide electrical connection to the first contact point; a substrate comprising a first face and a second face opposing the first face of the substrate, the first face of the substrate adjacent a face of the electronic device; and a VIA passing through the substrate from the second face of the substrate to the metal pad, the VIA exhibiting: a pass through extending through the substrate from the first face to the second face; a metal layer disposed within the pass through arranged to provide electrical connectivity to the metal pad from an area adjacent the second face of the substrate; and an electrically insulating first passivation layer disposed between the metal layer and the substrate arranged to provide electrical insulation between the substrate and the metal layer. | 10-18-2012 |
20120261698 | DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME - A method includes forming a light absorbing layer, and forming a foundation layer before forming a lens portion such that the foundation layer covers a region where the lens portion is to be formed, wherein the foundation layer is in contact with the light absorbing layer and the lens portion once the lens portion is formed. | 10-18-2012 |
20120261699 | REFLECTING RESIN SHEET, LIGHT EMITTING DIODE DEVICE AND PRODUCING METHOD THEREOF - A reflecting resin sheet provides a reflecting resin layer at the side of a light emitting diode element. The reflecting resin sheet includes a release substrate and the reflecting resin layer provided on one surface in a thickness direction of the release substrate. The reflecting resin layer is formed corresponding to the light emitting diode element so as to be capable of being in close contact with the light emitting diode element. | 10-18-2012 |
20120261700 | PHOSPHOR REFLECTING SHEET, LIGHT EMITTING DIODE DEVICE, AND PRODUCING METHOD THEREOF - A phosphor reflecting sheet provides a phosphor layer on one side in a thickness direction of a light emitting diode element and provides a reflecting resin layer at the side of the light emitting diode element. The phosphor reflecting sheet includes the phosphor layer and the reflecting resin layer provided on one surface in the thickness direction of the phosphor layer. The reflecting resin layer is formed corresponding to the light emitting diode element so as to be disposed in opposed relation to the side surface of the light emitting diode element. | 10-18-2012 |
20120261701 | LIGHT EXTRACTION SUBSTRATE FOR ELECTROLUMINESCENT DEVICE AND MANUFACTURING METHOD THEREOF - A light extraction substrate for an electroluminescent device and a manufacturing method thereof, in which light extraction efficiency is increased. The light extraction substrate for an electroluminescent device includes a substrate and a light extraction layer formed on the substrate. The light extraction layer contains an oxide that has a wide band gap of 2.8 eV or more. The light extraction layer has a texture on the surface thereof. | 10-18-2012 |
20120261702 | LED, LED CHIP AND METHOD OF FORMING THE SAME - A method for manufacturing a light emitting diode chip is provided, comprising: providing a substrate, an upper surface of which comprising a plurality of micro-bulges formed thereon; forming a first type semiconductor layer, a light emitting layer and a second type semiconductor layer on the upper surface of the substrate successively; partially etching the second type semiconductor layer and the light emitting layer to form an electrode bonding area on the first type semiconductor layer; and forming a first electrode structure on the electrode bonding area and forming a second electrode structure on the second type semiconductor layer. A LED chip and a LED comprising the same are also provided. | 10-18-2012 |
20120261703 | Self-cooling solid-state emitters - A self-cooling emitter is a light emitting element embedded within a thermally conductive luminescent element which functions as a thermal cooling means and wavelength conversion of the light emitting element. The thermally conductive luminescent element exhibits a bulk thermal conductivity greater than | 10-18-2012 |
20120261704 | LIGHTING DEVICE WITH LIGHT SOURCE AND WAVELENGTH CONVERTING ELEMENT - The invention relates to a lighting ( | 10-18-2012 |
20120261705 | LIGHT EMITTING DEVICE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device package capable of emitting uniform white light and a method for manufacturing the same are disclosed. The light emitting device package includes a package body, an electrode formed on at least one surface of the package body, a light emitting device mounted on the package body, and a phosphor layer enclosing the light emitting device while having a uniform thickness around the light emitting device. | 10-18-2012 |
20120261706 | LIGHT EMITTING DEVICE - A light emitting device includes first and second cladding layers and an active layer therebetween including first and second side surfaces and first and second gain regions, a second side reflectance is higher than a first side reflectance, a first end surface part of the first gain region overlaps a second end surface part of the second gain region in an overlapping plane, the first gain region obliquely extends from the first end surface to a third end surface, the second gain region obliquely extends from the second end surface to a fourth end surface, a first center line connecting the centers of the first and third end surfaces and a second center line connecting the centers of the second and fourth end surfaces intersect, and the overlapping plane is shifted from the intersection point toward the first side surface. | 10-18-2012 |
20120261707 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light emitting device includes: a support substrate; a metal layer provided on the support substrate; a semiconductor layer provided on the metal layer and including a light emitting layer; a contact layer containing a semiconductor, selectively provided between the semiconductor layer and the metal layer, and being in contact with the semiconductor layer and the metal layer; and an insulating film provided between the semiconductor layer and the metal layer at a position not overlapping the contact layer. | 10-18-2012 |
20120261708 | LIGHT EMITTING DIODE AND METHOD FOR PREPARING THE SAME - A light emitting diode includes a substrate comprising a plurality of first grooves and a plurality of first convex parts formed on a surface of the substrate, with the first groove formed between two neighboring first convex parts; a semiconductor structure formed on the substrate comprising a plurality of second convex parts corresponding to the plurality of first grooves and a plurality of second grooves corresponding to the plurality of first convex parts; a transparent conductive layer formed on the semiconductor structure and configured to transmit a current to the plurality of second convex parts; a first electrode electrically connected with the semiconductor structure; and a second electrode electrically connected with the transparent conductive layer. A method for preparing the light emitting diode is also provided. | 10-18-2012 |
20120261709 | LIGHT-EMITTING DIODE DIE PACKAGES AND ILLUMINATION APPARATUSES USING SAME - The present invention relates to an LED die package, which has a light-emitting diode die having a sapphire layer, a first doped layer doped with a p- or n-type dopant, and a second doped layer doped with a different dopant from that doped in the first doped layer. A surface of the sapphire layer opposite to the surface on which the first doped layer is disposed is formed with generally inverted-pyramidal-shaped recesses and overlaid with a phosphor powder layer. Each of the first and the second doped layers has an electrode-forming surface formed with an electrode, on which an insulation layer is disposed and formed with exposure holes for exposing the electrodes. The exposure holes are each filled with an electrically conductive linker. | 10-18-2012 |
20120267656 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A method of fabricating a light emitting device comprising: providing a substrate; forming an epitaxial stack on the substrate wherein the epitaxial stack comprising a first conductivity semiconductor layer, an active layer and a second conductivity semiconductor layer; forming a mesa on the epitaxial stack to expose partial of the first conductivity semiconductor layer; layer and etching the surface of the first conductivity semiconductor layer and forming a least one rough structure on the surface of the first conductivity semiconductor layer wherein the first conductivity semiconductor layer is sandwiched by the substrate and the active layer. | 10-25-2012 |
20120267657 | LIGHTING APPARATUS WITH A CARRIER LAYER - A lighting apparatus having wavelength-converting materials formed in a carrier layer is disclosed. In one embodiment, the lighting apparatus has a light source attached to a substrate that is assembled in a housing. The light source is configured to emit a substantially narrow band light that is transformed into broad-spectrum white light by the wavelength-converting materials positioned on the carrier layer. The wavelength-converting materials and the carrier layer are distanced away from the light source, such that the carrier layer is thermally isolated from the light source. | 10-25-2012 |
20120267658 | LARGE-AREA LIGHT-EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - A III-nitride light emitting device having a substrate with a conductive grid made of conductive lines formed thereon. An active-region is sandwiched between an n-type layer and a p-type layer forming an LED structure, and the conductive grid is in ohmic contact with the n-type layer. Also provided is a method for fabricating the same. | 10-25-2012 |
20120267659 | LED PACKAGE STRUCTURE - A LED package structure includes a substrate unit, a light emitting unit, a package unit, and a phosphor unit. The substrate unit includes a substrate body. The light emitting unit includes at least one light emitting element disposed on and electrically connected to the substrate body. The package unit includes a package resin body formed on the substrate body to cover the light emitting element. The package resin body has a light output surface formed on the top surface thereof to guide light beams generated by the light emitting element to leave the package resin body. The phosphor unit includes a prefabricated phosphor cap disposed on the substrate body to enclose the package resin body. The prefabricated phosphor cap is separated from the package resin body by a predetermined distance to form a receiving portion between the prefabricated phosphor cap and the package resin body. | 10-25-2012 |
20120267660 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes: a display substrate; an organic light emitting element formed over the display substrate; a thin film encapsulation layer formed over the display substrate to cover the organic light emitting element; an encapsulation member facing the display substrate with the organic light emitting element and the thin film encapsulation layer therebetween; a sealant surrounding the organic light emitting element and the thin film encapsulation layer disposed between the display substrate and the encapsulation member, and bonding the display substrate and the encapsulation member; and a light control member disposed between the encapsulation member and the thin film encapsulation layer. | 10-25-2012 |
20120267661 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING DEVICE WITH THE SAME - The present invention relates to a light emitting device package and a lighting device with the same. The light emitting device package comprises a package body having a first surface and a second surface, wherein the first surface has a mounting portion positioned thereon, and a through hole provided therein to pass through the first surface and the second surface, at least one pair of first electrodes on the first surface, at least one pair of second electrodes on the second surface connected to the first electrodes through the through hole respectively, a light emitting device on the mounting portion connected to the first electrodes electrically, a light wavelength conversion layer positioned on the light emitting device, and a protective layer on the light wavelength conversion layer for sealing the mounting portion. | 10-25-2012 |
20120267662 | LIGHT-EMITTING DIODE CHIP - A light-emitting diode chip comprises a semiconductor body ( | 10-25-2012 |
20120267663 | LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - A light emitting diode (LED) package refracting or reflecting light emitted from an LED chip is disclosed. The LED package may include a substrate, an LED chip mounted on the substrate, a lens unit formed by injecting an encapsulant adapted to enclose and protect the LED chip, and at least one refraction member disposed in the lens unit. The at least one refraction member may refract or reflect the light emitted from the LED chip. | 10-25-2012 |
20120267664 | NITRIDE-BASED SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - A method for fabricating a nitride-based semiconductor light-emitting device includes a step (a) of forming a nitride-based semiconductor multi-layer structure ( | 10-25-2012 |
20120267665 | THIN-FILM LED WITH P AND N CONTACTS ELECTRICALLY ISOLATED FROM THE SUBSTRATE - A thin-film light emitting diode includes an insulating substrate, a reflective metal electrode on the insulating substrate forming a current spreading layer, and an epitaxial structure on the electrode. | 10-25-2012 |
20120267666 | DISPLAY DEVICE AND ELECTRONIC APPARATUS - It is an object to propose a display device in which reflection of external light on a reflective polarizing plate is prevented and also extraction efficiency of light from a light-emitting layer is improved. If the display device has a light-emitting layer provided over a reflective electrode, a transparent electrode provided over the light-emitting layer, a transparent substrate provided over the transparent electrode, a reflective polarizing plate provided over the transparent substrate, a quarter wave plate provided over the reflective polarizing plate, and a polarizing plate provided over the quarter wave plate, reflection of an outside image can be suppressed, and light emitted in the light-emitting layer can be extracted efficiently. | 10-25-2012 |
20120267667 | Light-Emitting Device Package Structure - A light-emitting device package structure includes a leadframe, a light-emitting device disposed on the leadframe, a plurality of wires electrically connecting the leadframe and the light-emitting device, and an encapsulant covering the light-emitting device, the wires and a part of the leadframe. The encapsulant has a gas space therein, and the gas space is disposed on the light-emitting device, wherein the gas space includes at least one gas. | 10-25-2012 |
20120267668 | SEMICONDUCTOR LIGHT EMITTING DEVICE WITH LIGHT EXTRACTION STRUCTURES - Structures are incorporated into a semiconductor light emitting device which may increase the extraction of light emitted at glancing incidence angles. In some embodiments, the device includes a low index material that directs light away from the metal contacts by total internal reflection. In some embodiments, the device includes extraction features such as cavities in the semiconductor structure which may extract glancing angle light directly, or direct the glancing angle light into smaller incidence angles which are more easily extracted from the device. | 10-25-2012 |
20120267669 | LIGHT-EMITTING DEVICE - Disclosed is a light-emitting device ( | 10-25-2012 |
20120273817 | TOP-EMISSION ORGANIC LIGHT-EMITTING DIODE STRUCTURE - A top-emission organic light-emitting diode (OLED) structure is provided. The top-emission OLED structure includes a substrate, a reflective layer, a first conductive layer, a second conductive layer and an emissive layer. The reflective layer is disposed above the substrate. The reflective layer includes a first material, a second material and a third material. The first material is aluminum (Al), the second material is nickel (Ni), and the third material is selected form a group consisting of group 13 elements and group 14 elements of a periodic table of elements. The first conductive layer is disposed above the reflective layer. The second conductive layer is disposed above the first conductive layer. The emissive layer is disposed between the first conductive and the second conductive layer. | 11-01-2012 |
20120273818 | LIGHT EMITTING DIODE - A light emitting diode includes a carbon nanotube layer, a first semiconductor layer, a second semiconductor layer, an active layer, a first electrode and a second electrode stacked on an epitaxial growth surface of a substrate. A first part of the carbon nanotube layer is covered by the first semiconductor layer and a second part of the carbon nanotube layer is exposed. The first electrode is electrically connected with the second semiconductor layer and the second electrode electrically is connected with the second part of the carbon nanotube layer. | 11-01-2012 |
20120273819 | LED PACKAGE STRUCTURE - An LED package structure includes a substrate, two electrodes engaged in the substrate, an LED chip, a reflective cup and an encapsulation. The substrate includes a first surface and a second surface opposite to the first surface. Each of the electrodes defines a groove. The grooves surrounding the LED chip. The LED chip is mounted on one of the electrodes and electrically connected to the two electrodes. The reflective cup is mounted on the substrate and surrounds the LED chip. The encapsulation covers the LED chip and extends in the grooves of the electrodes to prevent water/moisture from entering the LED chip. | 11-01-2012 |
20120273820 | LED PACKAGE AND METHOD FOR MAKING THE SAME - An LED package includes a substrate, an LED die, electrodes, a reflective cup, a barrier portion and an encapsulation. The substrate includes a first surface and a second surface opposite to the first surface. The electrodes are formed on the substrate and spaced from each other. The barrier portion is formed on the electrodes and covered by the reflective cup, wherein a bonding force between the barrier portion and the electrodes is larger than that between the reflective cup and the electrodes. The LED die is mounted on one of the electrodes, received in the reflective cup and electrically connected to the electrodes via wire bonding. The disclosure also provides a method for making an LED package. | 11-01-2012 |
20120273821 | METHOD FOR PATTERNING AN EPITAXIAL SUBSTRATE, A LIGHT EMITTING DIODE AND A METHOD FOR FORMING A LIGHT EMITTING DIODE - A method for patterning an epitaxial substrate includes: (a) forming an etch mask layer over an epitaxial substrate, and patterning the etch mask layer using a patterned cover mask layer to form the etch mask layer into a plurality of spaced apart mask patterns; and (b) etching the epitaxial substrate that is exposed from the mask patterns, and removing the mask patterns such that the epitaxial substrate is formed with a plurality of spaced apart substrate patterns. | 11-01-2012 |
20120273822 | Light-Emitting Element, Light-Emitting Device, and Lighting Device - Disclosed is a light-emitting element with a microcavity structure which is capable of amplifying a plurality of wavelengths to give emission of a desired color. The light-emitting element includes a pair of electrodes and an EL layer having a light-emitting substance interposed between the pair of electrodes. One of the pair of electrodes gives a reflective surface and the other electrode gives a semi-reflective surface. The light-emitting element is arranged so that the emission of the light-emitting substance covers at least two wavelengths λ and an optical path length L between the reflective surface and the semi-reflective surface satisfies an equation L=nλ/2 where n is an integer greater than or equal to 2. | 11-01-2012 |
20120273823 | NITRIDE SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD OF MANUFACTURING THE SAME - A nitride semiconductor light emitting element having a thick metal bump, and a method of manufacturing a flip-chip nitride semiconductor light emitting element including: a nitride semiconductor light emitting element structure having an n-type nitride semiconductor layer and a p-type nitride semiconductor layer, which are laminated on a substrate, and an n-side electrode connecting surface for connecting an n-side electrode to the n-type nitride semiconductor layer and a p-side electrode connecting surface for connecting a p-side electrode to the p-type nitride semiconductor layer on the same plane side of the substrate, the n-side electrode being connected to the n-side electrode connecting surface and the p-side electrode being connected to the p-side electrode connecting surface; and metal bumps formed on the n-side electrode and the p-side electrode, with other manufacturing steps performed. | 11-01-2012 |
20120273824 | OPTOELECTRONIC SEMICONDUCTOR CHIP - An optoelectronic semiconductor chip includes a semiconductor layer sequence having an active layer and a light-outcoupling layer applied at least indirectly on a radiation permeable surface of the semiconductor layer sequence. A material of the light-outcoupling layer is different from a material of the semiconductor layer sequence and refractive indices of the materials of the light-outcoupling layer and of the semiconductor layer sequence differ from each other by 20% at most. Recesses in the light-outcoupling layer form facets, wherein the recesses do not penetrate the light-outcoupling layer completely. The facets have a total area of at least 25% of an area of the radiation permeable surface. | 11-01-2012 |
20120273825 | METHOD OF MANUFACTURING SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a light emitting device. The light emitting device includes a light emitting structure including a first conductive semiconductor layer, an active layer on the first conductive semiconductor layer, and a second conductive semiconductor layer on the active layer; an electrode layer on the light emitting structure; and a conductive support member on the electrode; wherein the conductive support member includes a center portion and a circumference portion surrounding the center portion, wherein a thickness of the circumference portion is lower than a thickness of the center portion, and wherein an area of a top surface of the electrode layer is larger than an area of a top surface of the second conductive semiconductor layer. | 11-01-2012 |
20120280261 | LIGHT-EMITTING DIODE (LED) FOR ACHIEVING AN ASYMMETRIC LIGHT OUTPUT - A light emitting diode (LED) for achieving an asymmetric light output includes a multilayered structure comprising a p-n junction, where at least one layer of the multilayered structure comprises a surface configured to provide a peak emission in a direction away from a normal to a mounting surface, the surface being a top or bottom surface of the layer. | 11-08-2012 |
20120280262 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THEREOF - A semiconductor light emitting device comprises a circuit, a reflector, an LED chip, an encapsulation layer and a luminescent conversion layer. The encapsulation layer comprises an annular projection formed outside the encapsulation layer. The circuit and the LED chip are covered by the encapsulation layer, wherein the annular projection of the encapsulation layer is inside the reflector; the encapsulation layer also fills in an interspace between two electrodes of the circuit. Therefore the semiconductor light emitting device is rigid and strongly resistant to water vapor and similar contaminants. | 11-08-2012 |
20120280263 | COMPOSITE HIGH REFLECTIVITY LAYER - A high efficiency light emitting diode with a composite high reflectivity layer integral to said LED or package to improve emission efficiency. One embodiment of a light emitting diode (LED) chip comprises a LED and a composite high reflectivity layer integral to the LED to reflect light emitted from the active region. One embodiment of a LED package comprises a LED mounted on a substrate with an encapsulant over said LED and a composite high reflectivity layer arranged to reflect emitted light. The composite layer comprises a plurality of layers such that at least one of said plurality of layers has an index of refraction lower than the encapsulant and a reflective layer on a side of said plurality of layers opposite the LED. In some embodiments, conductive vias are included through the composite layer to allow an electrical signal to pass through the layer to the LED. | 11-08-2012 |
20120280264 | Wavelength conversion chip for use with light emitting diodes and method for making same - A solid-state light source has a wavelength conversion chip affixed to a light emitting diode. Optical coatings, vias, light extraction elements, electrical connections or electrical bond pads can be fabricated on the wavelength conversion chips. | 11-08-2012 |
20120280265 | LIGHT EMITTING ELEMENT AND IMAGE DISPLAY DEVICE USING THE LIGHT EMITTING ELEMENT - The present invention provides a light emitting element which emits linearly polarized light, has high efficiency, can show a higher luminance and has also adequate productivity. The light emitting element that includes an active layer composed of a semiconductor which generates light includes: a polarizer layer that allows a polarized component in a first direction among the lights generated in the active layer to pass therethrough, reflects a polarized component in a second direction which is perpendicular to the first direction, is formed on a semiconductor of the same group as the active layer, and contains a semiconductor or a metal of the same group as the active layer; a reflective layer that is provided in the opposite side of the polarizer layer with respect to the active layer, and reflects light which has been reflected by the polarizer layer; and a wavelength plate layer that is provided between the polarizer layer and the reflective layer, changes polarization states of the light which has been reflected by the polarizer layer and the light which has been reflected by the reflective layer, and contains a semiconductor of the same group as the active layer. | 11-08-2012 |
20120280266 | Light Emitting Element and Light Emitting Device Using the Same - An object of the present invention is to provide a light emitting element having slight increase in driving voltage with accumulation of light emitting time. Another object of the invention is to provide a light emitting element having slight increase in resistance with increase in film thickness. In an aspect of the invention, a light emitting element includes a first layer, a second layer and a third layer between mutually-facing first and second electrodes. The first layer is provided to be closer to the first electrode than the second layer. The third layer is provided to be closer to the second electrode than the second layer. The first layer contains a bipolar substance and a substance exhibiting an electron accepting ability with respect to the bipolar substance. The second layer contains a bipolar substance and a substance exhibiting an electron donating ability with respect to the bipolar substance. The third layer contains a light emitting substance. | 11-08-2012 |
20120280267 | LIGHTING DEVICE WITH REVERSE TAPERED HEATSINK - A solid state lighting devices includes a heatsink having a first end arranged proximate to a base end, and a second end arranged between the first end and a solid state emitter, wherein at least a portion of the heatsink is wider at point intermediate the first end and the second end than the width of the heatsink at the second end. Such reverse angled heatsink reduces obstruction of light. A heatsink may include multiple fins and a heatpipe. | 11-08-2012 |
20120280268 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed are a semiconductor light emitting device. The semiconductor light emitting device includes a plurality of compound semiconductor layers including a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer; a pad on the plurality of compound semiconductor layers; an electrode layer under the plurality of compound semiconductor layers; and a supporting member disposed under the plurality of compound semiconductor layers and corresponding to the pad. | 11-08-2012 |
20120280269 | LIGHT EMITTING DEVICE - A light emitting device according to the embodiment may include a light emitting structure including a first semiconductor layer, an active layer, and a second semiconductor layer; an electrode on the light emitting structure; a protection layer under a peripheral region of the light emitting structure; and an electrode layer under the light emitting structure, wherein the protection layer comprises a first layer, a second layer, and a third layer, wherein the first layer comprises a first metallic material, and wherein the second layer is disposed between the first layer and the third layer, the second layer has an insulating material or a conductive material. | 11-08-2012 |
20120286307 | SEMICONDUCTOR LIGHT EMITTING STRUCTURE - A semiconductor light emitting structure including a substrate, a second type electrode layer, a reflecting layer, an insulating layer, a first type electrode layer, a first type semiconductor layer, an active layer and a second type semiconductor layer is provided. The second type electrode layer formed on the substrate has a current spreading grating formed by several conductive pillars and conductive walls, which are staggered and connected to each other. The reflecting layer and the insulating layer are formed on the second type electrode layer in sequence, and cover each conductive pillar and each conductive wall. The first type electrode layer, the first type semiconductor layer and the active layer are formed on the insulating layer in sequence. The second type semiconductor layer is formed on the active layer, and covers each conductive pillar and each conductive wall. | 11-15-2012 |
20120286308 | LED PACKAGE STRUCTURE AND METHOD OF FABRICATING THE SAME - An LED package structure and a method of fabricating the same. The LED package structure includes: a package unit including a submount with a cavity, and a light emitting chip disposed in the cavity; a first light-pervious element disposed in the cavity; a multi-layered dam structure concentrically disposed on the first light-pervious element or around a rim of the cavity; a first light-pervious packaging material filled in the dam structure; and a second light-pervious element that combines with the dam structure. Accordingly, the multi-layered dam structure provides an advantage of eliminating gaps and overcomes the problem resulting from the uneven thickness of the first light-pervious packaging material used in the prior technique, thereby ensuring high illumination efficiency and enhanced airtightness. | 11-15-2012 |
20120286309 | SEMICONDUCTOR LIGHT EMITTING DIODE CHIP AND LIGHT EMITTING DEVICE USING THE SAME - A semiconductor light emitting device includes: a light emitting diode unit including a light-transmissive substrate having a face sloped upwardly at a lower edge thereof. A rear reflective lamination body is formed on the lower face and the surrounding sloped face of the light-transmissive substrate. The rear reflective lamination body includes an optical auxiliary layer and a metal reflective film formed on a lower face of the optical auxiliary layer. A junction lamination body is provided to a lower face of the rear reflective lamination body. The junction lamination body including a junction metal layer made of a eutectic metal material and a diffusion barrier film. | 11-15-2012 |
20120286310 | LIGHT EMITTING DIODE DEVICE - A light emitting diode device includes: a cathode lead frame; an anode lead frame which is electrically insulated from the cathode lead frame; a light emitting diode chip which is electrically connected to the cathode lead frame and the anode lead frame respectively; a synthetic resin member which forms an indentation receiving the light emitting diode chip and fixes the cathode lead frame and the anode lead frame; and a metallic heat-radiation/light-reflection member which covers at least a portion of the indentation and covers an upper surface of the synthetic resin member. | 11-15-2012 |
20120286311 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURE - A light emitting diode (LED) device and packaging for same is disclosed. In some aspects, the LED is manufactured using a vertical configuration including a plurality of layers. Certain layers act to promote mechanical, electrical, thermal, or optical characteristics of the device. The device avoids design problems, including manufacturing complexities, costs and heat dissipation problems found in conventional LED devices. Some embodiments include a plurality of optically permissive layers, including an optically permissive cover substrate or wafer stacked over a semiconductor LED and positioned using one or more alignment markers. | 11-15-2012 |
20120286312 | LIGHT-EMITTING DEVICE AND ELECTRONIC DEVICE USING THE LIGHT-EMITTING DEVICE - An object is to provide a method for manufacturing a light-emitting device including a flexible substrate, in which separation is performed without separation at the interface between the light-emitting layer and the electrode. A spacer formed of a light absorbing material which absorbs laser light is formed over a partition of one of substrates, a coloring layer is formed over the other substrate, and the substrates are bonded to each other with the use of a bonding layer. The light-emitting layer and the electrode which are formed over the spacer are irradiated with laser light through the coloring layer, so that at least the bonding layer among the light-emitting layer, the electrode, the coloring layer, and the bonding layer is melted to form a fixed portion where the bonding layer and the spacer are bonded by welding. | 11-15-2012 |
20120286313 | RADIATION-EMITTING SEMICONDUCTOR COMPONENT - A radiation-emitting semiconductor component includes a semiconductor body having an active layer which emits electromagnetic radiation of a first wavelength λ | 11-15-2012 |
20120286314 | WHITE LED LIGHTING DEVICE, AND OPTICAL LENS - Disclosed are a white LED lighting device and an optical lens used in it. The white LED lighting device comprises a white LED and an optical lens. The white LED includes: a LED chip which emits blue light: and a fluorescent material which is excited by emission light of the LED chip and converts a wavelength into fluorescence of a complementary color of blue. The optical lens is formed with a scattering light guide which is given uniform scattering power in terms of a volume. The scattering light guide includes scattering particles for the scattering efficiency in a short wavelength range of light to be higher than that in a long wavelength range of light. | 11-15-2012 |
20120286315 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - (OBJECT) The object is to provide a lightened semiconductor device and a manufacturing method thereof by pasting a layer to be peeled to various base materials. | 11-15-2012 |
20120286316 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed are a semiconductor light emitting device. The semiconductor light emitting device comprises a light emitting structure including a first conductive semiconductor layer, a second conductive semiconductor layer, and an active; an electrode on a first region of the first conductive semiconductor layer; a conductive support member under the light emitting structure; a metal layer between the light emitting structure and the conductive support member; and a reflective layer between the metal layer and the light emitting structure, wherein the metal layer is physically contacted with a lower surface of the reflective layer, wherein the reflective layer includes a first layer and a second layer, wherein the first layer has a different material from the second layer, wherein the metal layer has a protrusion, wherein the first conductive semiconductor layer includes a roughness. | 11-15-2012 |
20120292650 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes an n-type layer, a light emitting layer, a p-type layer, and a transparent electrode. The n-type layer includes a nitride semiconductor and has a thickness not more than 500 nm. The light emitting layer is provided on the n-type layer. The p-type layer is provided on the light emitting layer and includes a nitride semiconductor. The transparent electrode contacts the n-type layer. The n-type layer is disposed between the transparent electrode and the light emitting layer. | 11-22-2012 |
20120292651 | LIGHT EMITTING DEVICE PACKAGE AND MANUFACTURING METHOD THEREOF - There is provided a light emitting device package including: a substrate having a cavity formed therein; a heat sink provided on a bottom surface of the cavity to be adjacent to an inner wall of the cavity; a light emitting device mounted on the heat sink; and a phosphor layer provided within the cavity and covering the heat sink and the light emitting device. | 11-22-2012 |
20120292652 | SURFACE LIGHT EMITTING DEVICE - The surface light emitting device includes an organic EL element, a protection substrate, a protection part, and a light extraction structure part. The element has a first face and a second face opposite to the first face, and emits light from the first face. The substrate has transparency for light emitted from the element, and is placed facing the first face, and has a primary surface facing the first face of the element. The protection part is placed facing the second face of the element, and constitutes a housing in combination with the substrate and accommodates the element so as to protect the element from water. The structure part is interposed between the first face of the element and the substrate, and suppresses reflection of light emitted from the element on at least one of the first face of the element and the primary surface of the substrate. | 11-22-2012 |
20120292653 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Provided is a semiconductor light emitting device. The semiconductor light emitting device includes: a light emitting structure; an electrode layer under the light emitting structure; a light transmitting layer under of the light emitting structure; a reflective electrode layer connected to the electrode layer; and a conductive supporting member under the reflective electrode layer and electrically connected to the reflective electrode layer, wherein the reflective electrode layer includes a first part in contact with an under surface of the electrode layer and a second part spaced apart from the electrode layer. | 11-22-2012 |
20120292654 | LIGHT EMITTING DEVICE - A light emitting device includes an active layer; at least a portion of the active layer constitutes a gain region. The gain region is continuous from a first end surface and a second end surface. The gain region includes a first portion extending from the first end surface to a first reflective surface in a direction tilted with respect to a normal to the first side surface as viewed two-dimensionally; a second portion extending from the second end surface to the second reflective surface in a direction tilted with respect to a normal to the first side surface as viewed two-dimensionally; and a third portion extending from the first reflective surface to the second reflective surface in a direction tilted with respect to a normal to the first reflective surface as viewed two-dimensionally. | 11-22-2012 |
20120299036 | THERMALLY ENHANCED LIGHT EMITTING DEVICE PACKAGE - A thermally enhanced light emitting device package includes a substrate, a chip attached to the substrate, an encapsulant overlaid on the chip, and a plurality of non-electrically conductive carbon nanocapsules mixed in the encapsulant to facilitate heat dissipation from the chip. | 11-29-2012 |
20120299037 | Organic light-emitting device and method of manufacturing the same - An organic light-emitting device including a substrate, an anode layer on the substrate, the anode layer including WO | 11-29-2012 |
20120299038 | LIGHT EMITTING DEVICE AND LIGHT EMITTING APPARATUS - A light emitting device may be provided that includes a substrate, a light emitting structure, a first electrode under the first semiconductor layer, a reflective electrode layer under the second conductive semiconductor layer, a second electrode under the reflective electrode layer, and a support member under the first semiconductor layer and the reflective electrode layer around the first and second electrodes. A first connection electrode may be provided under the first electrode. At least a part of the first connection electrode is provided in the support member. A second connection electrode may be provided under the second electrode At least a part of the second connection electrode may be provided in the support member. | 11-29-2012 |
20120299039 | EPOXY RESIN COMPOSITION FOR OPTICAL SEMICONDUCTOR DEVICE AND OPTICAL SEMICONDUCTOR DEVICE USING THE SAME - The present invention relates to an epoxy resin composition for an optical semiconductor device, including the following ingredients (A) to (E): (A) an epoxy resin; (B) an acid anhydride curing agent; (C) a curing accelerator; (D) a specific silicone resin; and (E) a specific alcohol compound. | 11-29-2012 |
20120299040 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - There is provided a semiconductor light emitting device including: a light transmissive substrate; a light emitting part; first and second electrodes electrically connected to the first and second conductivity type semiconductor layers, respectively; and a rear reflective part including a reflective metallic layer, and a light transmissive dielectric layer interposed between the light transmissive substrate and the reflective metallic layer. | 11-29-2012 |
20120299041 | Optoelectronic Semiconductor Component - An optoelectronic semiconductor component includes a radiation emitting semiconductor chip having a radiation coupling out area. Electromagnetic radiation generated in the semiconductor chip leaves the semiconductor chip via the radiation coupling out area. A converter element is disposed downstream of the semiconductor chip at its radiation coupling out area. The converter element is configured to convert electromagnetic radiation emitted by the semiconductor chip. The converter element has a first surface facing away from the radiation coupling out area. A reflective encapsulation encapsulates the semiconductor chip and portions of the converter element at side areas in a form-fitting manner. The first surface of the converter element is free of the reflective encapsulation. | 11-29-2012 |
20120299042 | SEMICONDUCTOR LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, AND SEMICONDUCTOR LIGHT EMITTING DEVICE PACKAGE USING THE SAME - There is provided a semiconductor light emitting device, a method of manufacturing the same, and a semiconductor light emitting device package using the same. A semiconductor light emitting device having a first conductivity type semiconductor layer, an active layer, a second conductivity type semiconductor layer, a second electrode layer, and insulating layer, a first electrode layer, and a conductive substrate sequentially laminated, wherein the second electrode layer has an exposed area at the interface between the second electrode layer and the second conductivity type semiconductor layer, and the first electrode layer comprises at least one contact hole electrically connected to the first conductivity type semiconductor layer, electrically insulated from the second conductivity type semiconductor layer and the active layer, and extending from one surface of the first electrode layer to at least part of the first conductivity type semiconductor layer. | 11-29-2012 |
20120299043 | LIGHT-EMITTING SEMICONDUCTOR DEVICE AND PACKAGE THEREOF - The present application discloses a light-emitting semiconductor device including a transparent layer having an upper surface, a lower surface, and a sidewall; a wavelength conversion structure arranged on the upper surface; an epitaxial structure arranged on the lower surface and having a side surface devoid of the transparent layer and the wavelength conversion structure; and a reflective wall arranged to cover the sidewall. | 11-29-2012 |
20120299044 | LIGHTING SET, LIGHTING DEVICE, AND DISPLAY DEVICE - Disclosed are: a lighting device that stably supplies high-quality surface light; a lighting set that is one part of the lighting device; and a display device equipped with the lighting device. In an LED package (PG), supporting sections ( | 11-29-2012 |
20120299045 | ORGANIC ELECTROLUMINESCENT DEVICE WITH INTEGRATED LAYER FOR COLOUR CONVERSION - The invention relates, inter alia, to an opto-electronic device having at least two electrodes ( | 11-29-2012 |
20120305955 | Luminescent Particles, Methods and Light Emitting Devices Including the Same - A luminescent particle includes a luminescent compound that is configured to perform a photon down conversion on a portion of received light. The luminescent compound includes a host compound material and an activator material that is combined with the host compound material. The activator material is provided in a quantity that limits a conversion efficiency of the luminescent compound to limit a decrease in the decrease in luminous intensity of light emitted from the luminescent compound and thus provide a given color shift of the a combined emission wavelength from a non-excited state to a steady-state excited condition. | 12-06-2012 |
20120305956 | LED PHOSPHOR PATTERNING - The present disclosure provides a method of patterning a phosphor layer on a light emitting diode (LED) emitter. The method includes providing at least one LED emitter disposed on a substrate; forming a polymer layer over the at least one LED emitter; providing a mask over the polymer layer and the at least one LED emitter; etching the polymer layer through the mask to expose the at least one LED emitter within a cavity having polymer layer walls; and coating the at least one LED emitter with phosphor. | 12-06-2012 |
20120305957 | SOLID STATE LIGHTING DEVICES HAVING SIDE REFLECTIVITY AND ASSOCIATED METHODS OF MANUFACTURE - Solid state lighting devices having side reflectivity and associated methods of manufacturing are disclosed herein. In one embodiment, a method of forming a solid state lighting device includes attaching a solid state emitter to a support substrate, mounting the solid state emitter and support substrate to a temporary carrier, and cutting kerfs through the solid state emitter and the substrate to separate individual dies. The solid state emitter can have a first semiconductor material, a second semiconductor material, and an active region between the first and second semiconductor materials. The individual dies can have sidewalls that expose the first semiconductor material, active region and second semiconductor material. The method can further include applying a reflective material into the kerfs and along the sidewalls of the individual dies. | 12-06-2012 |
20120305958 | RED NITRIDE PHOSPHORS - Provided according to embodiments of the invention are phosphor compositions that include Ca | 12-06-2012 |
20120305959 | LIGHT-EMITTING DIODE DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting diode (LED) device, includes a substrate, having a first and a second surfaces, a first bonding layer, disposed on the first surface, a first epitaxial structure, having a third and a fourth surfaces and comprising a first and a second groove, wherein the first epitaxial structure comprises a second electrical type semiconductor layer, an active layer and a first electrical type semiconductor layer sequentially stacked on the first bonding layer, and the first groove extends from the fourth surface to the first electrical type semiconductor layer via the active layer, the second groove extends from the fourth surface to the third surface, a first electrical type conductive branch, a first electrical type electrode layer, an insulating layer, filled in the first and the second grooves, and a second electrical type electrode layer, electrically connected to the second electrical type semiconductor layer. | 12-06-2012 |
20120305960 | LED PACKAGE AND METHOD FOR MAKING THE SAME - An LED package includes a substrate, an electrode structure, an LED die, a packaging portion, and a covering portion. The electrode structure is formed on the substrate. The LED die is mounted on the substrate, and electrically connected to the electrode structure. The packaging portion covers the LED die. The covering portion surrounds a periphery of the LED package and seals a joint between the substrate, the electrode structure and the packaging portion. The covering portion is made of silicone-titanate resin with reactive monomers, wherein the reactive monomers comprises more than 60% of heptane, 7.0% to 13.0% of allytrimethoxysilane, 5.0% to 10.0% of tetrabutyl titanate, and less than 0.1% of tetramethoxysilane. | 12-06-2012 |
20120305961 | LED DEVICE AND METHOD FOR MANUFACTURING THE SAME - An LED device comprises a substrate, an LED chip and a luminescent conversion layer. The substrate comprises a first electrode, a second electrode and a reflector located on top faces of the first and the second electrodes. The LED chip is disposed on the first electrode and electrically connected to the first and the second electrodes. The luminescent conversion layer is located inside the reflector and comprises a first luminescent conversion layer and a second luminescent conversion layer with different specific gravities. A manufacturing method for the LED device is also provided. | 12-06-2012 |
20120305962 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - A light emitting device package is disclosed. The light emitting device package includes a light emitting device disposed on a first lead frame, the light emitting device having an electrode pad on an upper surface thereof, a first wire to electrically interconnect a second lead frame spaced apart from the first lead frame and the electrode pad, and a first bonding ball disposed on the second lead frame, the first bonding ball spaced apart from a first contact point, which is in contact with the first wire and the second lead frame, wherein the first bonding ball is disposed between the first wire and the second lead frame to electrically interconnect the first wire and the second lead frame. | 12-06-2012 |
20120305963 | LIGHT-EMITTING DEVICE AND LUMINAIRE - According to one embodiment, a light-emitting device includes a substrate, a reflecting layer formed on the substrate, a light-emitting element placed on the reflecting layer, and a sealing resin layer that covers the reflecting layer and the light-emitting element. The oxygen permeability of the sealing resin layer is equal to or lower than 1200 cm | 12-06-2012 |
20120305964 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting element includes a light emitting element includes a semiconductor stacked body including a light emitting layer, a reflection layer, a support substrate, a first bonding electrode and a second bonding electrode. The reflection layer is made of a metal and has a first surface and a second surface opposite to the first surface. The semiconductor stacked body is provided on a side of the first surface of the reflection layer. The first bonding electrode is provided between the second surface and the support substrate and includes a convex portion projected toward the support substrate and a bottom portion provided around the convex portion in plan view. The second bonding electrode includes a concave portion fitted in the convex portion of the first bonding electrode and is capable of bonding the support substrate and the first bonding electrode. | 12-06-2012 |
20120305965 | LIGHT EMITTING DIODE SUBSTRATE AND LIGHT EMITTING DIODE - A light emitting diode (LED) substrate includes a sapphire substrate which is characterized by having a surface consisting of irregular hexagonal pyramid structures, wherein a pitch of the irregular hexagonal pyramid structure is less than 10 μm. A symmetrical cross-sectional plane of each of the irregular hexagonal pyramid structures has a first base angle and a second base angle, wherein the second base angle is larger than the first base angle, and the second base angle is 50° to 70°. This LED substrate has high light-emitting efficiency. | 12-06-2012 |
20120305966 | ORGANIC LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - Provided are an organic light emitting diode and a method of fabricating the same. The organic light emitting diode may include a light-scattering layer, a first electrode, an organic light-emitting layer, and a second electrode, which are sequentially stacked on a substrate, wherein the light-scattering layer may include uneven shaped nanostructures having irregular width and spacing. The method of fabricating the organic light emitting diode may include sequentially stacking a light-scattering medium layer and a metal alloy layer on a substrate, heat treating the metal alloy layer to form etching mask patterns, etching the light-scattering medium layer by using the etching mask patterns to form a light-scattering layer, removing the etching mask patterns, and forming a planarizing layer on the light-scattering layer. | 12-06-2012 |
20120305967 | Flip Chip Type Light Emitting Diode and Manufacturing Method Thereof - The present disclosure provides a flip chip type light emitting diode which comprises a substrate and a light emitting diode chip. The substrate comprises a body, a plurality of third pads, a fourth pad, a first electrode, a second electrode, a plurality of first vias, and a second via. The body has a first surface and a second surface opposite to the first surface. The third pads and the fourth pad are disposed on the first surface of the body. The first electrode and the second electrode are disposed on the second surface of the body. The first vias traverse through the body and are each electrically coupled to a respective one of the third pads and the first electrode. The second via traverses through the body and is electrically coupled to the fourth pad and the second electrode. | 12-06-2012 |
20120305968 | LIGHT EMITTING DEVICE - Provided is a light emitting device that can suppress variation in a resonance frequency of a mode, so that light emission can be enhanced at high efficiency even in a case where photonic crystal, in which defect cavities are periodically arranged, is used. The light emitting device includes: an active layer; a photonic crystal layer including defects introduced therein, the defects disturbing periodicity of a refractive index distribution of photonic crystal; and a cladding layer having a refractive index lower than an effective refractive index of the photonic crystal layer, in which the defects are used as defect cavities. The photonic crystal layer has a structure in which the defect cavities are arranged. Each of the defect cavities has a major axis and a minor axis having different axial lengths, and the major axes are directed in different directions between neighboring defect cavities. | 12-06-2012 |
20120305969 | REFLECTING MATERIAL AND LIGHT EMITTING DIODE DEVICE - A reflecting material contains a silicone resin composition prepared from a polysiloxane containing silanol groups at both ends, an ethylenic silicon compound, a silicon compound containing an epoxy group, an organohydrogenpolysiloxane, a condensation catalyst, and an addition catalyst; and a light reflecting component. | 12-06-2012 |
20120305970 | LIGHT EMITTING DEVICE PACKAGE AND MANUFACTURING METHOD THEREOF - There is provided a light emitting device package including a substrate having a cavity therein; alight emitting device mounted on a bottom surface of the cavity; a first wavelength conversion part including a first phosphor for a wavelength conversion of light emitted from the light emitting device and covering the light emitting device within the cavity; and a second wavelength conversion part including a second phosphor allowing for emission of light having a wavelength different to that of the first phosphor and formed as a sheet on the first wavelength conversion part. | 12-06-2012 |
20120305971 | LIGHT EMITTING DEVICE LENS, LIGHT EMITTING DEVICE MODULE INCLUDING LIGHT EMITTING DEVICE LENS AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE MODULE USING LIGHT EMITTING DEVICE LENS - A lens according to an embodiment of the present invention may include a first depression and a second depression having predetermined patterns in a lower portion of the lens, and a phosphor layer and the lens may be collectively formed by disposing the lens after spraying a phosphor rather than separately forming the phosphor on the LED chip during a manufacture of the LED module. Accordingly, a production tolerance, and the like of an LED module may be removed to improve yield, and a manufacturing process of the LED module may be simplified. A lens may have an upper portion formed in advance in one of a hemispherical shape, an oval shape, and a batwing shape having a concave central portion, thereby implementing a customized lens according to a predetermined application. | 12-06-2012 |
20120305972 | LIGHT EMITTING DIODE DEVICE WITH LUMINESCENT MATERIAL - The invention provides a light emitting diode device comprising a light emitting diode arranged on a substrate and a wavelength converting element. The wavelength converting element contains a luminescent material a Mn | 12-06-2012 |
20120305973 | LIGHT-EMITTING DEVICE AND SURFACE LIGHT SOURCE DEVICE USING THE SAME - To provide a light emitting device which emits high-luminance, uniform white light with reduced variations in luminance, a light emitting element | 12-06-2012 |
20120305974 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device comprises a first nitride semiconductor layer comprising a flat top surface and a plurality of concave regions from the flat top surface, a reflector within the concave regions of the first semiconductor layer, and a second semiconductor layer on the first semiconductor layer. | 12-06-2012 |
20120305975 | Dissipation Module For A Light Emitting Device And Light Emitting Diode Device Having The Same - A light emitting diode device is provided. The light emitting diode device comprises a composite substrate and a light emitting diode disposed on the composite substrate. The composite substrate comprises a first carbon fiber composite layer which is able to conduct heat rapidly in the direction of carbon fiber, such that the heat generated from the light emitting diode module can be dissipated rapidly. | 12-06-2012 |
20120313124 | GALIUM-SUBSTITUTED YTTRIUM ALUMINUM GARNET PHOSPHOR AND LIGHT EMITTING DEVICES INCLUDING THE SAME - Provided herein are phosphor compositions that include a YAG phosphor that is substituted with gallium, such as Y | 12-13-2012 |
20120313125 | LIGHT EMITTING DEVICES WITH EFFICIENT WAVELENGTH CONVERSION AND ASSOCIATED METHODS - Various embodiments of light emitting devices with efficient wavelength conversion and associated methods of manufacturing are described herein. In one embodiment, a light emitting device includes a first semiconductor material, a second semiconductor material spaced apart from the first semiconductor material, and an active region between the first and second semiconductor materials. The active region is configured to produce a light via electroluminescence. The light emitting device also includes a conversion material on the second semiconductor material, the conversion material containing aluminum gallium indium phosphide (AlGaInP) doped with an N-type dopant. | 12-13-2012 |
20120313126 | LED PACKAGE - An LED package comprises an encapsulation layer, an LED die and two electrodes. The LED die is capable of emitting a first light beam with a first wavelength, and, respectively, electrically connecting to the two electrodes. The encapsulation layer covers the LED die, and comprises a luminescent conversion element and a light-compensating element. A heat exhaustion of the luminescent conversion element is converse to that of the light-compensating element. The second and third wave lengths of the second and third light beams generated by the luminescent conversion element and the light-compensating element have oppositely different rates of change when temperatures of the luminescent conversion element and the light-compensating element are increased | 12-13-2012 |
20120313127 | MANUFACTURING METHOD OF LED BASE PLATE, LED BASE PLATE AND WHITE LIGHT LED STRUCTURE - An LED base plate enabling the LED to emit high luminance white light. The base plate has a reflective surface, and protrusions disposed on the reflective surface have top portions formed with curved surfaces. The protrusions have bottom widths of 2 to 4 micrometers and heights of 1.2 to 1.8 micrometers, with adjacent protrusions having spaces of 0.6 to 3 micrometers. An InGaN epitaxy layer is coated on the reflective surface of the base plate and emits ultraviolet of wavelength in the range of 380 to 410 nanometer when the InGaN epitaxy layer is electrified. Ultraviolet light reflected by the reflective surface of the base plate and the protrusions stimulates and mixes fluorescent compounds of zinc oxide and yttrium aluminum garnet to generate complementary light of ultraviolet light. High luminance white light scatteringly emitted is used for illumination. | 12-13-2012 |
20120313128 | Lighting Device and Method for Manufacturing the Same - A lighting device is formed using a light-emitting element by a more simplified method. The lighting device includes a light-emitting element including a light-emitting layer between a first electrode and a second electrode, a substrate provided with the light-emitting element and an uneven region around the periphery of the light-emitting element, a sealing substrate facing the substrate, connection electrodes connected to the first electrode and the second electrode and formed over the uneven region, and a sealant for bonding the substrate and the sealing substrate. The connection electrodes are each formed using a conductive paste, and the sealant is in contact with the connection electrodes and the uneven region provided around the periphery of the light-emitting element. | 12-13-2012 |
20120313129 | ORGANIC ELECTROLUMINESCENT ELEMENT, AND METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT - An object of the present invention is to realize an OLED capable of attaining high luminescence luminance and easy to manufacture. An organic electroluminescence device ( | 12-13-2012 |
20120313130 | SOLID STATE LIGHT EMITTER WITH PUMPED NANOPHOSPHORS FOR PRODUCING HIGH CRI WHITE LIGHT - A solid state white light emitting device includes a semiconductor chip producing near ultraviolet (UV) energy. The device may include a reflector forming and optical integrating cavity. Phosphors, such as doped semiconductor nanophosphors, within the chip packaging of the semiconductor device itself, are excitable by the near UV energy. However the re-emitted light from the phosphors have different spectral characteristics outside the absorption ranges of the phosphors, which reduces or eliminates re-absorption. The emitter produces output light that is at least substantially white and has a color rendering index (CRI) of 75 or higher. The white light output of the emitter may exhibit color temperature in a range along the black body curve. | 12-13-2012 |
20120313131 | LED LEADFRAME OR LED SUBSTRATE, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING LED LEADFRAME OR LED SUBSTRATE - An LED leadframe or LED substrate includes a main body portion having a mounting surface for mounting an LED element thereover. A reflection metal layer serving as a reflection layer for reflecting light from the LED element is disposed over the mounting surface of the main body portion. The reflection metal layer comprises an alloy of platinum and silver or an alloy of gold and silver. The reflection metal layer efficiently reflects light emitted from the LED element and suppresses corrosion due to the presence of a gas, thereby capable of maintaining reflection characteristics of light from the LED element. | 12-13-2012 |
20120313132 | PIXEL STRUCTURE - A pixel structure including an active device, a capacitor electrode line, a light shielding layer, a color filter pattern and a pixel electrode is provided. The active device and the capacitor electrode line are disposed on a substrate. The light shielding layer is disposed on the substrate, and the dielectric constant of the light shielding layer is less than 6. The light shielding layer defines a unit area on the substrate, and a contact hole is formed in the light shielding layer above the active device. A color filter pattern is disposed in the unit area, wherein the dielectric constant of the color filter pattern is less than 6, and the color filter pattern does not fill into the contact hole. The pixel electrode is disposed on the color filter pattern, in which the pixel electrode fills into the contact hole so as to electrically connect with the active device. | 12-13-2012 |
20120319148 | CONFORMAL GEL LAYERS FOR LIGHT EMITTING DIODES AND METHODS OF FABRICATING SAME - Light emitting devices include a light emitting diode die on a mounting substrate and a conformal gel layer on the mounting substrate and/or on the light emitting diode die. The conformal gel layer may at least partially fill a gap between the light emitting diode die and the mounting substrate. A phosphor layer and/or a molded dome may be provided on the conformal gel layer. The conformal gel layer may be fabricated by spraying and/or dispensing the gel that is diluted in the solvent. | 12-20-2012 |
20120319149 | Light-Emitting Device Structure and Method for Manufacturing the Same - A light-emitting device structure and a method for manufacturing the same are described. The light-emitting device structure includes a substrate and an illuminant structure. The substrate has a top surface and a lower surface on opposite sides, and two inclined side surfaces on opposite sides. Two sides of each inclined side surface are respectively connected to the top surface and the lower surface. The illuminant structure is disposed on the top surface. | 12-20-2012 |
20120319150 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a method for manufacturing a semiconductor light emitting device includes: preparing a metal plate including first frames and second frames, the first frames and the second frames being alternately arranged and spaced from each other, a light emitting element being fixed to each of the first frames, the light emitting element being connected to an adjacent one of the second frames via a metal wire; molding a first resin on the metal plate, the first resin covering the first frame, the second frame, and the light emitting element; forming in the first resin a groove defining a resin package including the first frame, the second frame, and the light emitting element; filling a second resin inside the groove; and forming the resin package with an outer edge of the first resin covered with the second resin by cutting the second resin along the groove. | 12-20-2012 |
20120319151 | CATHODE FOR ORGANIC LIGHT EMITTING DEVICE AND ORGANIC LIGHT EMITTING DEVICE USING THE CATHODE - In one aspect, a cathode including the first metal layer, the transparent conductive layer formed on the first metal layer, and the second metal layer formed on the transparent conductive layer is applied to the organic light emitting device and thicknesses of the first metal layer, the transparent conductive layer, and the second metal layer are controlled so that the external light reflection of the organic light emitting device is prevented. The cathode may further include the third metal layer formed on the second metal layer. | 12-20-2012 |
20120319152 | LIGHT EMITTING DEVICE PACKAGE - Provided are a light emitting device package, a method of manufacturing the light emitting device package, and a lighting system. The light emitting device package includes a package body, an electrode layer, a reflective layer, a nanopattern metal layer, a light emitting device, and a molding part. The electrode layer is disposed on the package body. The reflective layer is disposed over the electrode layer. The nanopattern metal layer is disposed over the reflective layer. The light emitting device is displayed over the electrode layer. The molding part is disposed over the light emitting device. | 12-20-2012 |
20120319153 | ENCAPSULATING SHEET AND OPTICAL SEMICONDUCTOR ELEMENT DEVICE - An encapsulating sheet includes an encapsulating resin layer and a wavelength conversion layer laminated on the encapsulating resin layer. The wavelength conversion layer is formed by laminating a barrier layer formed of a light transmissive resin composition and having a thickness of 200 μm to 1000 μm, and a phosphor layer containing a phosphor. | 12-20-2012 |
20120319154 | SILICONE RESIN COMPOSITION, ENCAPSULATING LAYER, REFLECTOR, AND OPTICAL SEMICONDUCTOR DEVICE - A silicone resin composition includes a cage octasilsesquioxane; a polysiloxane containing alkenyl groups at both ends containing an alkenyl group having the number of moles smaller than the number of moles of the hydrosilyl group of the cage octasilsesquioxane; a hydrosilylation catalyst; a hydroxyl group-containing polysiloxane, organohydrogenpolysiloxane, or a polysiloxane containing alkenyl groups at side chain. | 12-20-2012 |
20120319155 | LIGHT-EMITTING DEVICE - Disclosed is a light-emitting device that exhibits good color rendering and highly efficiently emits white light in an incandescent bulb color range. The semiconductor light-emitting device ( | 12-20-2012 |
20120319156 | NITRIDE SEMICONDUCTOR ELEMENT AND MANUFACTURING METHOD THEREFOR - An exemplary nitride-based semiconductor device includes: a nitride-based semiconductor multilayer structure | 12-20-2012 |
20120326187 | SOLID STATE LIGHTING DEVICES WITH IMPROVED CURRENT SPREADING AND LIGHT EXTRACTION AND ASSOCIATED METHODS - Solid state lighting (“SSL”) devices with improved current spreading and light extraction and associated methods are disclosed herein. In one embodiment, an SSL device includes a solid state emitter (“SSE”) that has a first semiconductor material, a second semiconductor material spaced apart from the first semiconductor material, and an active region between the first and second semiconductor materials. The SSL device can further include a first contact on the first semiconductor material and a second contact on the second semiconductor material and opposite the first contact. The second contact can include one ore more interconnected fingers. Additionally, the SSL device can include an insulative feature extending from the first contact at least partially into the first semiconductor material. The insulative feature can be substantially aligned with the second contact. | 12-27-2012 |
20120326188 | REFLECTIVE POCKETS IN LED MOUNTING - An LED device with improved LED efficiency is presented. An LED die is positioned within a pocket formed by a substrate and an opening in a supporting layer arranged thereon. The increase in the LED efficiency is achieved by providing a device where at least a portion of the pocket surface is reflective. This portion of the pocket surface is reflective because it is covered by either a reflective layer of foil or film, or a reflective coating, or it is polished. | 12-27-2012 |
20120326189 | Electrode Including Magnetic Material and Organic Light Emitting Device Including the Electrode - An electrode, which includes a magnetic material to improve the flow of charges, and an organic light emitting device using the electrode. The electrode for the organic light emitting device has an excellent charge injection property, so that it is possible to improve the efficiency of light emission of the organic light emitting device. | 12-27-2012 |
20120326190 | ANODE CONTAINING METAL OXIDE AND ORGANIC LIGHT EMITTING DEVICE HAVING THE SAME - An anode for an organic light emitting device which introduces a metal oxide to improve flows of charges, and an organic light emitting device using the anode. The anode for the organic light emitting device has excellent charge injection characteristics, thereby improving power consumption of the organic light emitting device. | 12-27-2012 |
20120326191 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light emitting device, including a substrate, an epitaxy layer and an interference thin film is provided. The substrate has a first surface and a second surface opposite to the first surface. The epitaxy layer is disposed on the first surface. The interference thin film is disposed on the second surface. The interference thin film is formed by a plurality of first-material thin films and a plurality of second-material thin films alternately stacked with one another. The difference in refractive index between the first-material and second-material thin films is at least 0.7. The reflection spectrum of the interference thin film has at least one pass band, which allows an incident light of a specific wavelength to pass through. For example, the central wavelength of the incident light ranges 532±10 nm or 1064±10 nm, and the reflectance of the incident light is smaller than 40%. | 12-27-2012 |
20120326192 | Materials and Methods for Organic Light-Emitting Device Microcavity - The present teachings provide methods for forming organic layers for an organic light-emitting device (OLED) using a thermal printing process. The method can further use one or more additional processes, such as vacuum thermal evaporation (VTE), to create an OLED stack. OLED stack structures are also provided wherein at least one of the charge injection or charge transport layers is formed by a thermal printing method at a high deposition rate. The organic layer can be subject to post-deposition treatment such as baking. The structure of the organic layer can be amorphous, crystalline, porous, dense, smooth, rough, or a combination thereof, depending on deposition parameters and post-treatment conditions. The organic layer can improve light out-coupling efficiency of an OLED, increase conductivity, decrease index of refraction, and/or modify the emission chromaticity of an OLED. An OLED microcavity is also provided and can be formed by one of more of these methods. | 12-27-2012 |
20120326193 | LIGHT EMITTING DEVICE MODULE - Disclosed is a light emitting device module. The light emitting device module includes a first lead frame and a second lead frame electrically separated from each other, a light emitting device electrically connected to the first lead frame and the second lead frame, the light emitting device includes a light emitting structure having a first conduction type semiconductor layer, an active layer, and a second conduction type semiconductor layer, a dam disposed at the peripheral area of the light emitting device, a resin layer surrounding the light emitting device and disposed at the inner area of the dam, and a reflective member disposed at the peripheral area of the dam and including an inclined plane formed on at least one side surface thereof. | 12-27-2012 |
20120326194 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An OLED display according to an exemplary embodiment includes: a substrate; an organic light emitting diode formed on the substrate; an overcoat covering the organic light emitting diode; and a patterned metal sheet attached on the overcoat and having a plurality of protrusion and depression portions. A plurality of protrusions may be formed in a bottom surface of the patterned metal sheet where the protrusion and depression portions of the patterned metal sheet and the overcoat face each other. | 12-27-2012 |
20120326195 | LED MODULE AND MANUFACTURING METHOD THEREOF - There is provided a manufacturing method of an LED module including: forming an insulating film on a substrate; forming a first ground pad and a second ground pad separated from each other on the insulating film; forming a first division film that fills a space between the first and second ground pads, a second division film deposited on a surface of the first ground pad, and a third division film deposited on a surface of the second ground pad; forming a first partition layer of a predetermined height on each of the division films; sputtering seed metal to the substrate on which the first partition layer is formed; forming a second partition layer of a predetermined height on the first partition layer; forming a first mirror connected with the first ground pad and a second mirror connected with the second ground pad by performing a metal plating process to the substrate on which the second partition layer is formed; removing the first and second partition layers; connecting a zener diode to the first mirror and connecting an LED to the second mirror; and depositing a fluorescent material so as to fill a space formed by the first mirror and the second mirror. | 12-27-2012 |
20120326196 | PHOSPHOR AND LEDS CONTAINING SAME - There is herein described a phosphor for use in LED applications and particularly in phosphor-conversion LEDs (pc-LEDs). The phosphor has a composition represented by (Y | 12-27-2012 |
20120326197 | LED ENCAPSULATION RESIN BODY, LED DEVICE, AND METHOD FOR MANUFACTURING LED DEVICE - An LED encapsulation resin body disclosed in the present application includes: a phosphor; a heat resistance material arranged on, or in the vicinity of, a surface of the phosphor; and a silicone resin in which the phosphor with the heat resistance material arranged thereon is dispersed. | 12-27-2012 |
20130001611 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A light-emitting device includes a light emitting structure comprising a lower layer of the first conductivity type, an active layer, an upper layer of the second conductivity type, a first electrode connected to the lower layer of the first conductivity type, a second electrode connected to the upper layer of the second conductivity type, and an optical member seeded in the light emitting structure. The optical member can include a plurality of particles substantially transparent and having a lower refractive index than the light emitting structure. A plurality of discontinuities are formed at the boundary of the optical member in the light emitting structure. | 01-03-2013 |
20130001612 | Light Emitting Structure, Display Device Including a Light Emitting Structure and Method of Manufacturing a Display Device Including a Light Emitting Structure - A light emitting structure includes a first hole injection layer, a first organic light emitting layer, a charge generation layer, a second hole injection layer, a second organic light emitting layer, an electron transfer layer, and a blocking member. The light emitting structure has first, second, and third sub-pixel regions. The first organic light emitting layer may be on the first hole injection layer. The charge generation layer may be on the first organic light emitting layer. The second hole injection layer may be on the charge generation layer. The second organic light emitting layer may be on the second hole injection layer. The electron transfer layer may be on the second organic light emitting layer. The blocking member may be at at least one of the first to the third sub-pixel regions. | 01-03-2013 |
20130001613 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MAKING THE SAME - A light emitting diode package includes a substrate with a first metal layer, a second metal layer and an insulating layer between the first metal layer and the second metal layer. A cavity is defined in the insulating layer and the second metal layer. The second metal layer surrounding the cavity is divided into a first conductive portion and a second conductive portion. An LED chip is positioned inside the cavity and on an upper surface of the first metal layer. The LED chip has two electrodes electrically connected to the first conductive portion and the second conductive portion respectively. The cavity is filled with an encapsulation to cover the LED chip. A method for manufacturing the LED package is also disclosed. | 01-03-2013 |
20130001614 | LIGHT-EMITTING DIODE DEVICE AND METHOD FOR FABRICATING THE SAME - A light-emitting diode device includes: a substrate including first and second conductors; a light-emitting diode die including first and second polarity sides, and a surrounding surface formed between the first and second polarity sides; an insulator disposed around the surrounding surface; a transparent conductive layer extending from the second polarity side of the light-emitting diode die oppositely of the substrate, along an outer surface of the insulator, and to the second conductor; and a reflecting cup formed on the substrate to define a space with the substrate. The light-emitting diode die, the insulator and the transparent conductive layer are disposed in the space. | 01-03-2013 |
20130001615 | LIGHT EMITTING DEVICE AND LIGHTING SYSTEM WITH THE SAME - Embodiments provide a light emitting device including a light emitting structure having a first conduction type semiconductor layer, an active layer, and a second conduction type semiconductor layer, a metal filter of an irregular pattern on the light emitting structure, and openings between the irregular patterns in the metal filter. | 01-03-2013 |
20130001616 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE INCLUDING THE SAME AND LIGHTING SYSTEM - Disclosed is a light emitting device including a light emitting structure comprising a first conductive type semiconductor layer, an active layer and a second conductive type semiconductor layer, a first electrode disposed on the first conductive type semiconductor layer, a second electrode disposed on the second conductivity type semiconductor layer, and a low temperature oxide film disposed on the light emitting structure, with an irregular thickness. | 01-03-2013 |
20130001617 | LIGHT EMITTING DEVICE - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device comprises a reflective layer, a second conductive type semiconductor layer on the reflective layer, an active layer on the second conductive type semiconductor layer, a first conductive type semiconductor layer on the active layer, and a pad electrode on the first conductive type semiconductor layer. The reflective layer comprises a predetermined pattern. | 01-03-2013 |
20130001618 | LIGHT-EMITTING ELEMENT MOUNTING SUBSTRATE AND LED PACKAGE - A light-emitting element mounting substrate includes an insulative substrate including a single-sided printed circuit board, a pair of wiring patterns formed on one surface of the substrate, the wiring patterns being separated with a first distance, a pair of through-holes penetrating through the substrate in a thickness direction, the through-holes being separated with a second distance, and a pair of filled portions including a metal filled in the pair of through-holes to contact the pair of wiring patterns and to be exposed on a surface of the substrate opposite to the one surface. Each of the pair of filled portions has a horizontal projected area of not less than 50% of an area of each the pair of wiring patterns. | 01-03-2013 |
20130001619 | WAVELENGTH CONVERSION PARTICLE, WAVELENGTH CONVERSION MEMBER USING SAME, AND LIGHT EMITTING DEVICE - A wavelength conversion particle | 01-03-2013 |
20130001620 | Light-Emitting Device, Electronic Device, and Lighting Device - A high-quality light-emitting device having low power consumption, capability of emitting light of a bright color, and less luminance unevenness is provided. Provided is a light-emitting device in which a plurality of light-emitting units each include a light-emitting element which includes a layer (EL layer) containing an organic compound between a first electrode and a second electrode. The first electrode is separated between light-emitting elements. The EL layer includes a layer (light-emitting layer) containing a light-emitting substance and a layer containing a donor substance and an acceptor substance provided between the first electrode and the light-emitting layer. An inversely tapered partition is provided only between adjacent light-emitting units emitting light of different colors. | 01-03-2013 |
20130001621 | CHIP PACKAGE AND METHOD FOR FORMING THE SAME - An embodiment of the invention provides a chip package which includes: a substrate having a first surface and a second surface; an optoelectronic device formed in the substrate; a conducting layer disposed on the substrate, wherein the conducting layer is electrically connected to the optoelectronic device; an insulating layer disposed between the substrate and the conducting layer; a light shielding layer disposed on the second surface of the substrate and directly contacting with the conducting layer, wherein the light shielding layer has a light shielding rate of more than about 80% and has at least an opening exposing the conducting layer; and a conducting bump disposed in the opening of the light shielding layer to electrically contact with the conducting layer, wherein all together the light shielding layer and the conducting bump substantially and completely cover the second surface of the substrate. | 01-03-2013 |
20130001622 | SUBSTRATE FOR MOUNTING OPTICAL SEMICONDUCTOR ELEMENT AND MANUFACTURING METHOD THEREOF, OPTICAL SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD FOR THEREOF - A substrate for mounting optical semiconductor elements is provided, including a base substrate having an insulating layer and a plurality of wiring circuits formed on the upper face of the insulating layer, and having at least one external connection terminal formation opening portion which penetrates the insulating layer and reaches the wiring circuits; and an optical reflection member, which is provided on the upper face of the base substrate, and which forms at least one depressed portion serving as an area for mounting an optical semiconductor element. | 01-03-2013 |
20130001623 | LIGHT-EMITTING APPARATUS AND MANUFACTURING METHOD THEREOF - A light-emitting apparatus includes a substrate, at least one light emitting diode (LED) die, a sealant align layer, and a first sealant. The substrate has a die disposing area. The LED die is disposed on the die disposing area. The sealant align layer is disposed on the substrate. The first sealant at least partially covers the LED die and contacts with the sealant align layer. The light-emitting apparatus can avoid the light emitted from the LED die to be blocked and can have higher light efficiency. | 01-03-2013 |
20130001624 | LIGHT-EMITTING DEVICE - A light-emitting device includes a semiconductor light-emitting stack; a current injected portion formed on the semiconductor light-emitting stack; an extension portion having a first branch radiating from the current injected portion and having a first width, and a first length greater than the first width, and a second branch extending from the first branch and having a second width larger than the first width, and a second length greater than the second width; and an electrical contact structure between the second branch and the semiconductor light-emitting stack. | 01-03-2013 |
20130001625 | LIGHT EMITTING DEVICE HAVING LIGHT EXTRACTION STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A nitride-based light emitting device capable of achieving an enhancement in emission efficiency and an enhancement in reliability is disclosed. The light emitting device includes a semiconductor layer, and a light extracting layer arranged on the semiconductor layer and made of a material having a refractive index equal to or higher than a reflective index of the semiconductor layer. | 01-03-2013 |
20130001626 | LIGHT EMITTING DEVICE - The luminance of different colors of light emitted from EL elements in a pixel portion of a light emitting device is equalized and the luminance of light emitted from the EL elements is raised. The pixel portion of the light emitting device has EL elements whose EL layers contain triplet compounds and EL elements whose EL layers contain singlet compounds in combination. The luminance of light emitted from the plural EL elements is thus equalized. Furthermore, a hole transporting layer has a laminate structure to thereby cause the EL elements to emit light of higher luminance. | 01-03-2013 |
20130001627 | LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes first and second plate electrodes, a light emitting element and an insulator. The first plate electrode includes first and second major surfaces. The second plate electrode includes third and fourth major surfaces. The light emitting element is placed between the first surface and third major surfaces. The light emitting element includes a semiconductor stacked body having a fifth major surface and a sixth major surface, a first electrode and a second electrode. The semiconductor stacked body includes a light emitting layer. Optical axis is made perpendicular to a side surface of the semiconductor stacked body. The insulator is provided in contact with the first and second plate electrodes and including a window. The light beam is enabled to pass through the window and to be emitted outward. | 01-03-2013 |
20130001628 | WHITE LIGHT EMITTING LAMP AND WHITE LED LIGHTING APPARATUS INCLUDING THE SAME - An object is to provide a white light emitting lamp | 01-03-2013 |
20130009183 | REFLECTIVE CIRCUIT BOARD FOR LED BACKLIGHT - An LED device with improved LED efficiency is presented. A top surface of a circuit board carrying the LED die is covered with a reflective layer. The reflective surface on top of the circuit board allows the light reflected off a surface of a waveguide to be recycled by being redirected back to the waveguide. | 01-10-2013 |
20130009184 | ELECTRO-OPTICAL DEVICE - An object of the present invention is to realize a numerical aperture higher than that of a pixel having a conventional construction by using a pixel circuit having a novel construction in an electro-optical device. Therefore, it is utilized that the electric potential of a gate signal line in a row except for an i-th row is set to a constant electric potential in a period except for when a gate signal line ( | 01-10-2013 |
20130009185 | Light Emitting Device and Electronic Device - The invention provides a light emitting device which uses a color conversion layer, with high light emission efficiency and a low driving voltage. The light emitting device includes a light emitting element having a pair of electrodes and a layer containing an organic compound sandwiched between the pair of electrodes, and a color conversion layer which absorbs light emitted from the light emitting element and emits light with a longer wavelength than a wavelength of the absorbed light. A portion of the layer containing an organic compound includes a buffer layer containing a composite material including an organic compound having a hole transporting property and a metal compound. The thickness of the buffer layer is determined so that the light emission efficiency becomes high. | 01-10-2013 |
20130009186 | LED LAMPS - A high power LED lamp has a GaN chip placed over an AlGaInP chip. A reflector is placed between the two chips. Each of the chips has trenches diverting light for output. The chip pair can be arranged to produce white light having a spectral distribution in the red to blue region that is close to that of daylight. Also, the chip pair can be used to provide an RGB lamp or a red-amber-green traffic lamp. The active regions of both chips can be less than 50 microns away from a heat sink. | 01-10-2013 |
20130009187 | NITRIDE-BASED SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A nitride-based semiconductor light-emitting device | 01-10-2013 |
20130009188 | LIGHT-EMITTING DEVICE HAVING A PATTERNED SUBSTRATE AND THE METHOD THEREOF - This disclosure provides a light-emitting device including a patterned substrate and the manufacturing method thereof. The patterned substrate has a plurality of depressions and/or extrusions for scattering light emitted from a light-emitting layer. Each of the plurality of depressions and/or extrusions comprises a top portion, a bottom portion, and a sidewall portion enclosing the top portion and the bottom portion, and at least part of the sidewall portion comprises a curve. Ina preferred embodiment, the light-emitting device further comprises a rough surface formed on at least one of the top portion, the bottom portion, and the sidewall portion. | 01-10-2013 |
20130009189 | LIGHTING USING SOLID STATE DEVICE AND PHOSPHORS TO PRODUCE LIGHT APPROXIMATING A BLACK BODY RADIATION SPECTRUM - Solid state light emitting devices and/or solid state lighting devices use three or more phosphors excited by energy from a solid state source. The phosphors are selected and included in proportions such that the visible light output of such a device exhibits a radiation spectrum that approximates a black body radiation spectrum for the rated color temperature for the device, over at least a predetermined portion of the visible light spectrum. | 01-10-2013 |
20130009190 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A light emitting device comprises:
| 01-10-2013 |
20130015478 | LIGHT EMITTING MODULE AND HEAD LAMP INCLUDING THE SAMEAANM Oh; Nam SeokAACI SeoulAACO KRAAGP Oh; Nam Seok Seoul KRAANM Cho; Yun MinAACI SeoulAACO KRAAGP Cho; Yun Min Seoul KRAANM Lee; Jong WooAACI SeoulAACO KRAAGP Lee; Jong Woo Seoul KR - Disclosed is a light emitting module including a light emitting device package having a circuit board having a cavity, an insulation substrate arranged in the cavity, with a conductive pattern formed thereon, and at least one light emitting device disposed on the insulation substrate, with being electrically connected with the conductive pattern; and a glass cover located on the light emitting device package, with lateral surfaces, a top surface and an open bottom surface, wherein the light emitting device package and the circuit board are electrically connected with each other. | 01-17-2013 |
20130015479 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURING THE SAMEAANM LIN; HSIN-CHIANGAACI HukouAACO TWAAGP LIN; HSIN-CHIANG Hukou TWAANM CHEN; PIN-CHUANAACI HukouAACO TWAAGP CHEN; PIN-CHUAN Hukou TW - An LED package includes a base, an LED chip, and an electrode layer. The base has thereon a first electrical connecting layer and a separated second electrical connecting layer. The LED chip is placed on the base and electrically connected with the first electrical connecting layer and the second electrical connecting layer by flip chip bonding. The electrode layer comprises a first electrode and a separated second electrode, and a receiving groove being defined between the first electrode and the second electrode. The base is received in the receiving groove of the electrode layer with the first electrical connecting layer being electrically connected to the first electrode, and the second electrical connecting layer being electrically connected to the second electrode. | 01-17-2013 |
20130015480 | SEMICONDUCTOR LIGHT EMMITING DEVICEAANM SUGAWARA; YasuharuAACI Kanagawa-kenAACO JPAAGP SUGAWARA; Yasuharu Kanagawa-ken JPAANM Kato; YukoAACI Kanagawa-kenAACO JPAAGP Kato; Yuko Kanagawa-ken JP - According to one embodiment, in a semiconductor light emitting device, a substrate has a first surface and a second surface to face to each other, and side surfaces each having a first region extending approximately vertically from the first surface toward the second surface side and a second region sloping broadly from the first region toward the second surface side. A semiconductor laminated body is provided on the first surface of the substrate and includes a first semiconductor layer of a first conductivity type, an active layer and a second semiconductor layer of a second conductivity type which are laminated in the order. A reflection film is provided on the second surface of the substrate. | 01-17-2013 |
20130015481 | SEMICONDUCTOR LIGHT EMITTING DEVICEAANM YOSHIMURA; KimitakaAACI Fukuoka-kenAACO JPAAGP YOSHIMURA; Kimitaka Fukuoka-ken JPAANM NISHITANI; KatsuhikoAACI Fukuoka-kenAACO JPAAGP NISHITANI; Katsuhiko Fukuoka-ken JPAANM FUJIWARA; AkihiroAACI Fukuoka-kenAACO JPAAGP FUJIWARA; Akihiro Fukuoka-ken JP - According to one embodiment, in a semiconductor light emitting device, a substrate includes a first surface, a second surface opposite to the first surface, lateral surfaces intersected with the first surface and the second surface, first regions each provided on the lateral surface, and second regions each provided on the lateral surface. Each of the first regions has a first width and a first roughness. Each of the second regions has a second width smaller than the first width and a second roughness smaller than the first roughness. The first regions and the second regions are alternately arranged. A proportion of the sum of the first widths to a distance between the first surface and the second surface is 0.5 or more. A semiconductor laminated body is provided above the first surface of the substrate, and includes a first semiconductor layer, an active layer and a second semiconductor layer. | 01-17-2013 |
20130015482 | POLARIZED WHITE LIGHT EMITTING DIODEAANM SU; Jung-ChiehAACI TaipeiAACO TWAAGP SU; Jung-Chieh Taipei TW - A polarized white light emitting diode provides a polarized white light to decrease glare, and increase the extinction ratio. A LED chip is disposed in a cavity between a reflection substrate and a metallic wire-grid polarizing layer, and emits a first color light. The metallic wire-grid polarizing layer is disposed under and in contact with a transparent substrate. A phosphor layer covers over the LED chip, and is disposed in the cavity with an air gap between the phosphor layer and the metallic wire-grid polarizing layer. A second color light is generated by the first color light. The metallic wire-grid polarizing layer multiply reflects a portion of first color light in plural directions in the cavity to produce secondary excitations. The polarized white light transmits through the metallic wire-grid polarizing layer by mixing a portion of first color light with the second color light excited by the first color light. | 01-17-2013 |
20130015483 | SEMICONDUCTOR LIGHT EMITTING DEVICEAANM SHIMOKAWA; KazuoAACI Kanagawa-kenAACO JPAAGP SHIMOKAWA; Kazuo Kanagawa-ken JPAANM HIGUCHI; KazuhitoAACI Kanagawa-kenAACO JPAAGP HIGUCHI; Kazuhito Kanagawa-ken JPAANM OBATA; SusumuAACI Kanagawa-kenAACO JPAAGP OBATA; Susumu Kanagawa-ken JP - According to one embodiment, a semiconductor light emitting device includes a stacked body, a first electrode, a second electrode, a reflective layer, a first metal pillar, a second metal pillar, and a sealing unit. The stacked body includes first and second semiconductor layers, and a light emitting unit. The light emitting unit is provided between the second portion and the second semiconductor layer. The first electrode is provided on the first semiconductor layer. The second electrode is provided on the second semiconductor layer. The reflective layer covers a side surface of the stacked body and insulative and reflective. The first metal pillar is electrically connected to the first electrode. The second metal pillar is electrically connected to the second electrode. The sealing unit seals the first and second metal pillars to leave end portions of the first and second metal pillars exposed. | 01-17-2013 |
20130015484 | LED LAMPS - A high power LED lamp has a GaN chip placed over an AlGaInP chip. A reflector is placed between the two chips. Each of the chips has trenches diverting light for output. The chip pair can be arranged to produce white light having a spectral distribution in the red to blue region that is close to that of daylight. Also, the chip pair can be used to provide an RGB lamp or a red-amber-green traffic lamp. The active regions of both chips can be less than 50 microns away from a heat sink. | 01-17-2013 |
20130015485 | LIGHT EMITTING DEVICE PACKAGE AND A LIGHTING UNIT - Provided are a light emitting device package and a lighting device. The light emitting device package includes a base having a via hole passing through a top surface thereof and a bottom surface thereof, a plurality of electrodes formed on the top surface of the base, the plurality of electrodes being electrically connected to a lower portion of the base through the via hole of the base, a frame disposed on the base, the frame having an opening and a light emitting device electrically connected to at least one of the plurality of electrodes in the opening of the frame. A width of the base is wider than a width of the frame, and material having light reflectivity is disposed on the frame. | 01-17-2013 |
20130015486 | ORGANIC LIGHT-EMITTING ELEMENTAANM Sekine; KoujirouAACI Ibaraki-shiAACO JPAAGP Sekine; Koujirou Ibaraki-shi JPAANM Yokoyama; MitsuruAACI Takatsuki-shiAACO JPAAGP Yokoyama; Mitsuru Takatsuki-shi JP - An organic light-emitting element including an organic light-emitting layer, a transparent substrate, and a transparent electrode disposed between the organic light-emitting layer and the transparent substrate; and which treats the surface of the transparent substrate on the opposite side from the transparent electrode as a light-extraction surface. The transparent substrate has birefringence, and has a refraction index of a P polarized light that is less than a refraction index of an S polarized light. A polarized light wherein an oscillation direction of an electric field is parallel to a laminated surface of the light-emitting layer is designated S polarized light, and a polarized light that includes a vector of the direction of the progression of the light and in which the oscillation direction of the electric field is included in a plane perpendicular to the laminated surface is designated P polarized light. | 01-17-2013 |
20130015487 | SEMICONDUCTOR LIGHT-EMITTING DEVICEAANM Okuno; KojiAACI Kiyosu-shiAACO JPAAGP Okuno; Koji Kiyosu-shi JP - To improve light extraction efficiency. | 01-17-2013 |
20130015488 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR FABRICATING THE SAMEAANM Yoon; Sun JinAACI Ansan-siAACO KRAAGP Yoon; Sun Jin Ansan-si KRAANM Oh; Kwang YongAACI Ansan-siAACO KRAAGP Oh; Kwang Yong Ansan-si KRAANM Bae; Yun JeongAACI Ansan-siAACO KRAAGP Bae; Yun Jeong Ansan-si KR - The present invention relates to a light emitting diode (LED), which enables a filler material for filling up a hole or opening of a substrate to prevent a resin of an encapsulant formed on the substrate from leaking and to enhance cohesion between the substrate and a resin portion formed in the hole or opening, and a method for fabricating the LED package. According to an embodiment of the present invention, there is provided an LED package, which comprises an LED chip; a substrate having the LED chip mounted thereon, the substrate having a hole or opening formed therein; an encapsulant formed on the substrate to encapsulate the LED chip; a resin portion for filling in the hole or opening; and a filler material for filling up a gap between the resin portion and the substrate. | 01-17-2013 |
20130020597 | POSTS IN GLUE LAYER FOR GROUP-III NITRIDE LEDS - A semiconductor light emitting device and a method for making the semiconductor light emitting device are described. The semiconductor light emitting device includes an epitaxial structure having a first type doped layer, a light emitting layer, and a second type doped layer. The epitaxial structure may further include an undoped layer. A substrate is bonded to at least one surface of the epitaxial structure with an adhesive layer. One or more posts are located in the adhesive layer. The posts may have different widths depending on the location of the posts and/or the posts may only be located under certain portions of the epitaxial structure. | 01-24-2013 |
20130020598 | LIGHT EMITTING DEVICE PACKAGE AND FABRICATION METHOD THEREOF - A light emitting device package includes: an undoped semiconductor substrate having first and second surfaces opposed to each other; first and second conductive vias penetrating the undoped semiconductor substrate; a light emitting device mounted on one region of the first surface; a bi-directional Zener diode formed by doping an impurity on the second surface of the undoped semiconductor substrate and having a Zener breakdown voltage in both directions; and first and second external electrodes formed on the second surface of the undoped semiconductor substrate such that they connect the first and second conductive vias to both ends of the bi-directional Zener diode region, respectively. | 01-24-2013 |
20130020599 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device is provided. The semiconductor light emitting device includes a light emitting structure including a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer. A first electrode is electrically connected to the first conductivity-type semiconductor layer. A light-transmissive conductive layer is disposed on the second conductivity-type semiconductor layer. A second electrode includes a reflective metal layer and an insulating layer. | 01-24-2013 |
20130020600 | LIGHT EMITTING DIODE PACKAGE - A light emitting diode (LED) package is disclosed. The LED package includes a first metal line layer and a second metal line layer bonded to a circuit substrate, a thin film substrate disposed on the first metal line layer and the second metal line layer and configured to include an opening that exposes the first metal line layer and the second metal line layer, and an LED disposed in the opening and brought into contact with the first metal line layer and the second metal line layer. | 01-24-2013 |
20130020601 | LIGHT EMITTING DEVICE - A light emitting device is configured to achieve a white color by mixing light from respective phosphors. The light emitting device includes: a light emitting element for emitting ultraviolet or short-wavelength visible light having a peak wavelength in a wavelength range of 380 to 420 nm; a first phosphor excited by the ultraviolet or short-wavelength visible light to emit visible light having a peak wavelength in a wavelength range of 560 nm to 600 nm; a second phosphor excited by the ultraviolet or short-wavelength visible light to emit visible light having a complementary relationship with visible light emitted by the first phosphor; and a light transmitting member which is a light transmitting layer for covering the light emitting element, and has the first phosphor and the second phosphor dispersed therein. | 01-24-2013 |
20130020602 | TRANSPARENT LIGHT EMITTING DIODES - A transparent light emitting diode (LED) includes a plurality of III-nitride layers, including an active region that emits light, wherein all of the layers except for the active region are transparent for an emission wavelength of the light, such that the light is extracted effectively through all of the layers and in multiple directions through the layers. Moreover, the surface of one or more of the III-nitride layers may be roughened, textured, patterned or shaped to enhance light extraction. | 01-24-2013 |
20130020603 | LIGHT EMITTING DEVICE - A light emitting device according to the embodiment includes a first electrode; a light emitting structure including a first semiconductor layer over the first electrode, an active layer over the first semiconductor layer, and a second semiconductor layer over the second semiconductor layer; a second electrode over the second semiconductor layer; and a connection member having one end making contact with the first semiconductor layer and the other end making contact with the second semiconductor layer to form a schottky contact with respect to one of the first and second semiconductor layers. | 01-24-2013 |
20130020604 | SLIM LED PACKAGE - Disclosed herein is a slim LED package. The slim LED package includes first and second lead frames separated from each other, a chip mounting recess formed on one upper surface region of the first lead frame by reducing a thickness of the one upper surface region below other upper surface regions of the first lead frame, an LED chip mounted on a bottom surface of the chip mounting recess and connected with the second lead frame via a bonding wire, and a transparent encapsulation material protecting the LED chip while supporting the first and second lead frames. | 01-24-2013 |
20130020605 | LED MODULE - An LED module according to the present invention includes an LED unit | 01-24-2013 |
20130026513 | OLED ASSEMBLY AND LUMINAIRE WITH REMOVABLE DIFFUSER - An OLED assembly comprises a base and a planar OLED device mounted on the base. A planar light diffuser sheet is removably attached relative to the base and OLED device. A releasable attachment mechanism is operably configured between the light diffuser sheet and the base. The light diffuser sheet is oriented relative to the OLED device so as to provide a selected diffusive property to light emitted from the OLED device. The light diffuser sheet is removable from the base upon release of the attachment mechanism and can be substituted with a different light diffuser sheet. A luminaire may incorporate the OLED assembly, wherein the luminaire has fixture in which the OLED assembly is received. | 01-31-2013 |
20130026514 | LIGHT EMITTING DEVICE - The invention provides a light emitting device. A light emitting device includes a light emitting component capable of radiating a light. A first fluorescent layer is capable of radiating a first light of a first wavelength range while being excited by the light. A second fluorescent layer is capable of radiating a second light of a second wavelength range while being excited by the light. A first fluorescent layer is between the light emitting component and the second fluorescent layer, and the first wavelength range is longer than the second wavelength range. | 01-31-2013 |
20130026515 | LED PACKAGE WITH A FRESNEL LENS - A LED package with a Fresnel lens includes a base, a LED chip, a surrounding body and a lens. The lens is a Fresnel lens which refracts the beam of light from the LED chip to one definite direction for spotlighting the emitting light in a certain orientation. | 01-31-2013 |
20130026516 | LIGHT-EMITTING DIODE (LED) PACKAGE STRUCTURE AND PACKAGING METHOD THEREOF - A light-emitting diode (LED) package structure and a packaging method thereof are provided. The packaging method includes: forming first conductive layers on a silicon substrate, and forming a reflection cavity and electrode via holes from a top surface of the silicon substrate; forming a reflection layer on predetermined areas of a surface of the reflection cavity, and forming second conductive layers and metal layers on surfaces of the electrode via holes; and mounting a chip and forming an encapsulant, so as to fabricate the LED package structure. In the present invention, there is no need to perform at least two plating processes for connecting upper and lower conductive layers of the silicon substrate in the electrode via holes, and the problem of poor connection of the conductive layers in the electrode via holes can be avoided, thereby making the fabrication processes simplified and time-effective and also improving the overall production yield. | 01-31-2013 |
20130026517 | ORGANIC LUMINANCE DEVICE, METHOD FOR MANUFACTURING SAME AND LIGHTING APPARATUS INCLUDING SAME - An organic luminance device includes a base substrate, a organic luminance multi-layered structure and a cover substrate. Furthermore, a protective film is used to wrap the light emitting surface and at least one lateral surface of the base substrate to prevent the substrate from crack. The protective film may be doped with one or more dopants having a refractive index different from original material of the protective film. | 01-31-2013 |
20130026518 | WAFER LEVEL LED PACKAGE AND METHOD OF FABRICATING THE SAME - Disclosed are a wafer level LED package and a method of fabricating the same. The method of fabricating a wafer level LED package includes: forming a plurality of semiconductor stacks on a first substrate, each of the semiconductor stacks comprising a first-conductivity-type semiconductor layer, a second-conductivity-type semiconductor layer, and an active region disposed between the first-conductivity-type semiconductor layer and the second-conductivity-type semiconductor layer; preparing a second substrate comprising first lead electrodes and second lead electrodes arranged corresponding to the plurality of semiconductor stacks; bonding the plurality of semiconductor stacks to the second substrate; and cutting the first substrate and the second substrate into a plurality of packages after the bonding is completed. Accordingly, the wafer level LED package is provided. | 01-31-2013 |
20130026519 | LIGHT-EMITTING DEVICE - A structure of a light-emitting device includes the following components: a substrate; an epitaxial structure on the substrate, the epitaxial structure including at least a first conductivity type semiconductor layer, a light-emitting active layer, and a second conductivity type semiconductor layer; a first electrode on the first conductivity type semiconductor layer; a transparent conductive layer between the first electrode and the first conductivity type semiconductor layer; and a three-dimensional distributed Bragg reflector (DBR) layer between the transparent conductive layer and the first conductivity type semiconductor layer. | 01-31-2013 |
20130026520 | LIGHT-EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - An LED package includes a substrate, an LED chip arranged on the substrate, and a light transmission layer arranged on a light output path of the LED chip. The substrate includes a first electrode and a second electrode separated and electrically insulated from the first electrode. The LED chip is electrically connected to the first electrode and the second electrode of the substrate. The light transmission layer comprises two parallel transparent plates and a fluorescent layer sandwiched between the two transparent plates. The LED package further includes a transparent encapsulation layer sealing the LED chip therein, and in one embodiment, the light transmission layer is located on the encapsulation layer and in another embodiment, the encapsulation layer also seals the light transmission layer therein. A method for manufacturing the LED package is also provided. | 01-31-2013 |
20130026521 | LIGHT EMITTING DEVICES AND METHODS OF MANUFACTURING THE SAME - The inventive concept provides light emitting devices and methods of manufacturing a light emitting device. The light emitting device may include a transparent substrate including a first region and a second region, a first transparent electrode disposed on a first surface of the transparent substrate, a second transparent electrode facing and spaced apart from the first transparent electrode, an organic light emitting layer disposed between the first and second transparent electrodes, an assistant electrode disposed between the first and second transparent electrodes and selectively masking the second region, and a light path changing structure disposed on a second surface of the transparent substrate and selectively masking the second region. | 01-31-2013 |
20130026522 | SURFACE-MOUNT LIGHT EMITTING DEVICE - A surface-mount light emitting device is provided comprising a light emitting element ( | 01-31-2013 |
20130026523 | CHIP PACKAGE AND METHOD FOR FORMING THE SAME - An embodiment of the invention provides a chip package which includes: a substrate having a first surface and a second surface; an optoelectronic device formed in the substrate; a conducting layer disposed on the substrate, wherein the conducting layer is electrically connected to the optoelectronic device; an insulating layer disposed between the substrate and the conducting layer; a first light shielding layer disposed on the second surface of the substrate; and a second light shielding layer disposed on the first light shielding layer and directly contacting with the first light shielding layer, wherein a contact interface is between the first light shielding layer and the second light shielding layer. | 01-31-2013 |
20130026524 | LIGHT EMITTING DIODE - A light emitting diode (LED) is provided. The LED comprises a semiconductor composite layer stacked laterally and a phosphor substrate. The phosphor substrate covers a lateral surface of the semiconductor composite layer. | 01-31-2013 |
20130026525 | LIGHT EMITTING DEVICES, SYSTEMS, AND METHODS OF MANUFACTURING - A light emitting device includes: a substrate; an n layer; an active light emitting region, a p layer; and a support portion configured to provide both mechanical support and improve light transmission disposed over a light emitting side of the device. | 01-31-2013 |
20130026526 | LIGHT-EMITTING DIODE HOUSING COMPRISING FLUOROPOLYMER - A light-emitting diode housing comprising fluoropolymer is disclosed. The light-emitting diode housing supports a light-emitting diode chip and reflects at least a portion of the light emitted from the light-emitting diode chip. | 01-31-2013 |
20130026527 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE LIGHT EMITTING DEVICE - Provided is a light emitting device having strong bonding strength between the light emitting element and the wavelength converting member is provided. In the light emitting device, a light emitting element and a wavelength converting member are bonded. Particularly, the light emitting element has, from the wavelength converting member side, a first region and a second region, the wavelength converting member has, from the light emitting element side, a third region and a fourth region. The first region has an irregular atomic arrangement compared with the second region, the third region has an irregular atomic arrangement compared with the fourth region, and the first region and the third region are directly bonded. | 01-31-2013 |
20130032837 | Fluorescent Coating and a Method for Making the Same - Disclosed is a fluorescent coating and a method for making the same. At first, fluorescent powder is mixed with an anti-electrostatic solution. The mixture is cleared of impurities before it is dried and sintered. Thus, the fluorescent powder is coated with the anti-electrostatic material. The fluorescent powder coated with the anti-electrostatic material is plated on a side of a light-emitting diode (“LED”) chip by electrophoresis, thus forming a mixing zone on the side of the LED chip. Hence, the mixing zone is not vulnerable to deterioration or itiolation when it is subjected to heat in use. Accordingly, the life of the LED chip is long, and the illumination of the LED chip is high. | 02-07-2013 |
20130032838 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device having a base, a mounting material and a chip of a semiconductor light emitting element is provided. The mounting material is provided on the base. The chip of the semiconductor light emitting element is fixed onto the base via the mounting material. The chip of the semiconductor light emitting element is provided with a sapphire substrate, an active region, a light shielding portion and anode and cathode electrodes for supplying an electric power to the active region. The active region is provided on the sapphire substrate and has a light emitting layer for emitting light by supplying electric power. The light shielding portion is formed on the sapphire substrate on the side of the mounting material. The light shielding portion prevents the mounting material from being irradiated with the light produced in the light emitting layer. | 02-07-2013 |
20130032839 | LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - A manufacturing method for an LED with roughened lateral surfaces comprises following steps: providing an LED wafer with an electrically conductive layer disposed thereon; providing a photoresist layer on the electrically conductive layer; roughening a lateral surface of the electrically conductive layer by wet etching; forming a depression in the LED wafer by dry etching and roughening a sidewall of the LED wafer defining the depression; and disposing two pads respectively in the depression and the conducting layer. The disclosure also provides an LED with roughened lateral surfaces. A roughness of the roughened lateral surfaces is measurable in micrometers. | 02-07-2013 |
20130032840 | ORGANIC LIGHT EMITTING DEVICES - Organic light emitting devices are provided. The organic light emitting device may include a substrate having a first refractive index, a first electrode on the substrate, a second electrode disposed between the substrate and the first electrode and having a thickness equal to or greater than one-hundredth of a minimum wavelength of visible light and equal to or smaller than five-hundredths of a maximum wavelength of the visible light, and an organic light emitting layer disposed between the first and second electrodes and having a second refractive index. | 02-07-2013 |
20130032841 | Light-Emitting Device and Lighting Device - A light-emitting device which has various emission colors and can be manufactured efficiently and easily is provided. A first conductive layer formed of a semi-transmissive and semi-reflective conductive film is provided in a first light-emitting element region, so that the intensity of light in a specific wavelength region is increased with a cavity effect. As a result, the light-emitting device as a whole can emit desired light. When the first conductive layer is formed using a material with low electric resistance, voltage drop in a transparent conductive layer in the light-emitting device can be prevented. Accordingly, a light-emitting device with less emission unevenness can be manufactured. By applying such a structure to a white-light-emitting device, desired white light emission or white light emission with an excellent color rendering property can be obtained. Further, a large-area lighting device including a white-light-emitting device with less emission unevenness can be provided. | 02-07-2013 |
20130032842 | LIGHT EMITTING DEVICE PACKAGE AND METHOD OF MANUFACTURING THE SAME - There are provided a light emitting device package and a method of manufacturing the same. The light emitting device package includes a body part including a through hole formed in a thickness direction; at least one light emitting device disposed within the through hole; and a wavelength conversion part filling the through hole and supporting the light emitting device. | 02-07-2013 |
20130032843 | LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - A light emitting diode (LED) package and a manufacturing method thereof are provided. The LED package includes a substrate including a circuit layer, an LED mounted on the substrate, and a plurality of protruded reflection units disposed in a region excluding an LED mounting region on the substrate and configured to reflect light generated from the LED. | 02-07-2013 |
20130032844 | LIGHT EMITTING PACKAGE - The present invention discloses a light emitting package, comprising: a base; a light emitting device on the base; an electrical circuit layer electrically connected to the light emitting device; a gold layer on the electrical circuit layer; a wire electrically connected between the light emitting device and the gold layer; a screen member having an opening and disposed on the base adjacent to the light emitting device; and a lens covering the light emitting device, wherein a cross-sectional shape of the screen member is substantially rectangular, and a width of the cross-sectional shape of the screen member being larger than a height of the cross sectional shape of the screen member, wherein a bottom surface of the screen member is positioned higher than the light emitting device, and wherein an entire uppermost surface of the screen member is in contact with the lens. | 02-07-2013 |
20130037837 | MINIATURE LEADLESS SURFACE MOUNT LAMP WITH DOME AND REFLECTOR CUP - A package for a light source is disclosed. In particular, a Plastic Leaded Chip Carrier (PLCC) is described which provides many features offered by traditional surface mount technology lamps, but also has a decreased height, increased light output, and enables a smaller viewing angle as compared to traditional surface mount technology lamps. | 02-14-2013 |
20130037838 | Method and Apparatus for Coupling Light-Emitting Elements with Light-Converting Material - Light-emitting elements such as LEDs are associated with light-converting material such as phosphor and/or other material. A donor substrate comprising the light-converting and/or other material is suitably placed relative to a target substrate associated with the light-emitting elements. A laser or other energy source is then used to transfer the light-converting and/or other material in a pattern via writing or masking from the donor substrate to the target substrate in accordance with the pattern. Addressability and targetability of the transfer process facilitates precise patterning of the target substrate. | 02-14-2013 |
20130037839 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element of the present invention includes a support substrate, a semiconductor film including a light emitting layer, a surface electrode provided on the surface on a light-extraction-surface side of the semiconductor film, and a light reflecting layer. The surface electrode includes first electrode pieces that form ohmic contact with the semiconductor film and a second electrode piece electrically connected to the first electrode pieces. The light reflecting layer includes a reflecting electrode, and the reflecting electrode includes third electrode pieces that form ohmic contact with the semiconductor film and a fourth electrode piece electrically connected to the third electrode pieces and placed opposite to the second electrode piece. Both the second electrode piece and the fourth electrode piece form Schottky contact with the semiconductor film so as to form barriers to prevent forward current in the semiconductor film. | 02-14-2013 |
20130037840 | EPOXY RESIN COMPOSITION FOR OPTICAL SEMICONDUCTOR DEVICE AND OPTICAL SEMICONDUCTOR DEVICE USING THE SAME - The present invention relates to an epoxy resin composition for an optical semiconductor device having an optical semiconductor element mounting region and having a reflector that surrounds at least a part of the region, the epoxy resin composition being an epoxy resin composition for forming the reflector, the epoxy resin composition including the following ingredients (A) to (E): (A) an epoxy resin; (B) a curing agent; (C) a white pigment; (D) an inorganic filler; and (E) a specific release agent. | 02-14-2013 |
20130037841 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device comprises a substrate; a light emitting structure comprising a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer on the substrate; an electrode layer on the second conductive semiconductor layer; and an electrode on the electrode layer, wherein the substrate comprises a plurality of convex portions, wherein the electrode layer comprises a plurality of holes corresponding to a region of at least one of the plurality of convex portions of the substrate, wherein an insulating material is disposed in the plurality of holes on the light emitting structure. | 02-14-2013 |
20130037842 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE - A light emitting device ( | 02-14-2013 |
20130037843 | LIGHT EMITTING TRANSISTOR - A light emitting transistor of the present invention has a light emitting layer, both a source electrode and a drain electrode both of which are connected with the light emitting layer electrically, an insulation layer arranged on the light emitting layer, a gate electrode arranged on the insulation layer. The light emitting layer is made from an organic semiconductor material. The light emitting transistor has also a periodic structure and the gate electrode to which an AC voltage is applied. And the emission intensity can be high, and width of the emission spectrum can be reduced. In addition, it is easy to control the amplitude of the emitting light and the width of emission spectrum reproducibly. | 02-14-2013 |
20130037844 | Light-Emitting Device and Method for Manufacturing Same - A light-emitting device ( | 02-14-2013 |
20130037845 | LEAD FRAME, AND LIGHT EMITTING DIODE MODULE HAVING THE SAME - A light emitting diode (LED) module includes a lead frame having a number (N) of conducting arms spaced apart from each other, where N≧3, and at least one LED die mounted on one of any two neighbor conducting arms. Any two neighbor conducting arms are electrically coupled each other. | 02-14-2013 |
20130037846 | RED LIGHT EMITTING PHOSPHOR, METHOD FOR MANUFACTURING THE SAME AND LIGHT EMITTING APPARATUS EMPLOYING RED LIGHT EMITTING PHOSPHOR - The present invention relates to a divalent europium-activated nitride red light emitting phosphor substantially represented by a general formula: (MI | 02-14-2013 |
20130043499 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device (A) having a simple configuration whereby it is possible to easily and accurately confirm whether or not ultraviolet light is being emitted, the semiconductor light-emitting device comprising: a semiconductor light-emitting element ( | 02-21-2013 |
20130043500 | LIGHT EMITTING DEVICE - A light emitting device includes: a semiconductor multilayer film formed on a principal surface of a substrate, and including an active layer configured to generate light at a first wavelength; and a fluorescent material layer formed on the semiconductor multilayer film, and forming a first two-dimensional periodic structure. The fluorescent material layer generates light at a second wavelength by being excited by the first wavelength light, the semiconductor multilayer film has an optical waveguide through which the first wavelength light and the second wavelength light are guided, and the light radiated from an end face of the optical waveguide includes a higher proportion of light having an electric field oriented in a direction horizontal to the principal surface than a proportion of light having an electric field oriented in a direction perpendicular to the principal surface. | 02-21-2013 |
20130043501 | LED MODULE - An LED module A | 02-21-2013 |
20130043502 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device | 02-21-2013 |
20130049039 | SOLID-STATE RADIATION TRANSDUCER DEVICES HAVING FLIP-CHIP MOUNTED SOLID-STATE RADIATION TRANSDUCERS AND ASSOCIATED SYSTEMS AND METHODS - Solid-state radiation transducer (SSRT) devices and methods of manufacturing and using SSRT devices are disclosed herein. One embodiment of the SSRT device includes a radiation transducer (e.g., a light-emitting diode) and a transmissive support assembly including a transmissive support member, such as a transmissive support member including a converter material. A lead can be positioned at a back side of the transmissive support member. The radiation transducer can be flip-chip mounted to the transmissive support assembly. For example, a solder connection can be present between a contact of the radiation transducer and the lead of the transmissive support assembly. | 02-28-2013 |
20130049040 | PHOSPHOR INCORPORATED IN A THERMAL CONDUCTIVITY AND PHASE TRANSITION HEAT TRANSFER MECHANISM - A thermal conductivity and phase transition heat transfer mechanism has an opto-luminescent phosphor contained within the vapor chamber of the mechanism. The housing includes a section that is thermally conductive and a member that is at least partially optically transmissive, to allow emission of light produced by excitation of the phosphor. A working fluid also is contained within the chamber. The pressure within the chamber configures the working fluid to absorb heat during operation of the lighting device, to vaporize at a relatively hot location at or near at least a portion of the opto-luminescent phosphor as the working fluid absorbs heat, to transfer heat to and condense at a relatively cold location, and to return as a liquid to the relatively hot location. Also, the working fluid is in direct contact with or contains at least a portion of the opto-luminescent phosphor. | 02-28-2013 |
20130049041 | THERMAL CONDUCTIVITY AND PHASE TRANSITION HEAT TRANSFER MECHANISM INCLUDING OPTICAL ELEMENT TO BE COOLED BY HEAT TRANSFER OF THE MECHANISM - A thermal conductivity and phase transition heat transfer mechanism incorporates an active optical element. Examples of active optical elements include various phosphor materials for emitting light, various electrically driven light emitters and various devices that generate electrical current or an electrical signal in response to light. The thermal conductivity and phase transition between evaporation and condensation, of the thermal conductivity and phase transition heat transfer mechanism, cools the active optical element during operation. At least a portion of the active optical element is exposed to a working fluid within a vapor tight chamber of the heat transfer mechanism. The heat transfer mechanism includes a member that is at least partially optically transmissive to allow passage of light to or from the active optical element and to seal the chamber of the heat transfer mechanism with respect to vapor contained within the chamber. | 02-28-2013 |
20130049042 | LIGHT EMITTING DEVICE - This disclosure discloses a light-emitting device. The light-emitting device comprises: a substrate; a first light-emitting stack comprising a first active layer; a bonding interface formed between the substrate and the first light-emitting stack; and a contact structure formed on the first light-emitting stack and comprising first, second and third contact layers. Each of the first, second and third contact layers comprises a doping material. | 02-28-2013 |
20130049043 | ENGINEERED SUBSTRATES FOR SEMICONDUCTOR DEVICES AND ASSOCIATED SYSTEMS AND METHODS - Engineered substrates for semiconductor devices are disclosed herein. A device in accordance with a particular embodiment includes a transducer structure having a plurality of semiconductor materials including a radiation-emitting active region. The device further includes an engineered substrate having a first material and a second material, at least one of the first material and the second material having a coefficient of thermal expansion at least approximately matched to a coefficient of thermal expansion of at least one of the plurality of semiconductor materials. At least one of the first material and the second material is positioned to receive radiation from the active region and modify a characteristic of the light. | 02-28-2013 |
20130049044 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM HAVING THE SAME - Disclosed are a light emitting device package and a lighting system including the same. The light emitting device package includes a first lead frame and a second lead frame disposed on an insulating layer and electrically separated from each other by a separation part, and a light emitting device disposed on the second lead frame and electrically connected to the first lead frame, and the second lead frame includes a through part disposed opposite to the separation part such that the light emitting device is located therebetween. | 02-28-2013 |
20130049045 | LIGHT EMITTING DEVICE PACKAGE - Embodiments disclose a light emitting device package including an insulating layer, a first lead frame and a second lead frame disposed on the insulating layer electrically separate from each other, a light emitting device disposed on the second lead frame electrically connected to the first lead frame and the second lead frame, the light emitting device includes a light emitting structure having a first conduction type semiconductor layer, an active layer, and a second conduction type semiconductor layer and a lens which encloses the light emitting device, wherein the insulating layer has an end portion projected beyond at least one of an end portion of the first lead frame and an end portion of the second lead frame, to form an opened region which exposes the insulating layer. | 02-28-2013 |
20130049046 | COLOR TUNABLE ORGANIC LIGHT EMITTING DIODE - The inventive concept provides an organic light emitting diode that can change its color. A color change is embodied by a micro cavity effect caused by a metal thin film partly formed on a positive pole. The organic light emitting diode includes a positive pole, an organic luminous layer and a negative pole that can be sequentially stacked on a substrate, and further include a metal thin film layer having first strip lines extending in a first direction and being arranged in a second direction crossing the first direction on the positive pole. | 02-28-2013 |
20130049049 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package includes: a package main body having a chip mounting region surrounded by side walls; lead frames spaced apart from one another, at least one portion thereof being positioned in the chip mounting region; a light emitting device mounted on the chip mounting region; a wire connecting the lead frame and the light emitting device; a lens disposed on the light emitting device; and a lens support unit formed to be higher than the wire in the chip mounting region and supporting the lens such that the lens does not come into contact with the wire. | 02-28-2013 |
20130049050 | NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT, NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE, AND METHOD OF MANUFACTURING NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT - A nitride semiconductor light-emitting device includes a nitride semiconductor light-emitting element, a package substrate and an optically transparent resin sealing portion. The nitride semiconductor light-emitting element includes a substrate, a nitride semiconductor multilayer portion having a light-emitting layer and a protective layer. The nitride semiconductor multilayer portion is provided on the substrate. The protective layer is provided on an upper portion of the nitride semiconductor multilayer portion. The resin sealing portion seals the nitride semiconductor light-emitting element that is mounted on the package substrate. An air gap layer is formed in at least one of an area between the substrate and the light-emitting layer in the nitride semiconductor light-emitting element, an area between the light-emitting layer and the protective layer in the nitride semiconductor light-emitting element and an area in the package substrate. | 02-28-2013 |
20130049053 | SEMICONDUCTOR LIGHT EMITTING DEVICE INCLUDING METAL REFLECTING LAYER - A semiconductor light emitting device includes a semiconductor structure, a transparent electrically-conducting layer, a dielectric film, and a metal reflecting layer. The semiconductor structure includes an active region. The transparent electrically-conducting layer is formed on the upper surface of the semiconductor structure. The dielectric film is formed on the upper surface of the transparent electrically-conducting layer. The metal reflecting layer is formed on the upper surface of the dielectric film. The dielectric film has at least one opening whereby partially exposing the transparent electrically-conducting layer. The transparent electrically-conducting layer is electrically connected to the metal reflecting layer through the opening. A barrier layer is partially formed and covers the opening so that the barrier layer is interposed between the transparent electrically-conducting layer and the metal reflecting layer. | 02-28-2013 |
20130049054 | LIGHT-REFLECTIVE ANISOTROPIC CONDUCTIVE ADHESIVE AGENT, AND LIGHT EMITTING DEVICE - A light-reflective anisotropic conductive adhesive and light-emitting device capable of maintaining luminous efficiency of a light-emitting element and preventing the occurrence of a crack to obtain conduction reliability are provided. The light-reflective anisotropic conductive adhesive contains a thermosetting resin composite, conductive particles, and a light-reflective acicular insulating particles. These light-reflective acicular insulating particles are inorganic particles of at least one type selected from the group including titanium oxide, zinc oxide, and titanate. | 02-28-2013 |
20130056772 | LIGHT-EMITTING DEVICE WITH NARROW DOMINANT WAVELENGTH DISTRIBUTION AND METHOD OF MAKING THE SAME - This application discloses a light-emitting device with narrow dominant wavelength distribution and a method of making the same. The light-emitting device with narrow dominant wavelength distribution at least includes a substrate, a plurality of light-emitting stacked layers on the substrate, and a plurality of wavelength transforming layers on the light-emitting stacked layers, wherein the light-emitting stacked layer emits a first light with a first dominant wavelength variation; the wavelength transforming layer absorbs the first light and converts the first light into the second light with a second dominant wavelength variation; and the first dominant wavelength variation is larger than the second dominant wavelength variation. | 03-07-2013 |
20130056773 | LED PACKAGE AND METHOD OF THE SAME - LED package includes a substrate with pre-formed P-type through-hole and N-type through-hole through the substrate; a reflective layer formed on an upper surface of the substrate; a LED die having P-type pad and N-type pad aligned with the P-type through-hole and the N-type through-hole; wherein the LED die is formed on the upper surface of the substrate; a refilling material within the P-type through-hole and the N-type through-hole thereby forming electrical connection from the P-type pad and the N-type pad; and a lens formed over the upper surface of the substrate. | 03-07-2013 |
20130056774 | LENS, PACKAGE AND PACKAGING METHOD FOR SEMICONDUCTOR LIGHT-EMITTING DEVICE - This invention provides lenses having a pendant shape profile and their applications and forming methods. In an embodiment, the lenses are used to encapsulate one or more light-emitting diode chips so as to increase the light extraction efficiency. | 03-07-2013 |
20130056775 | LIGHT SOURCE DEVICE AND LIGHTING DEVICE - A light source can include: a light source that emits light of a predetermined wavelength within a wavelength region covering the wavelength of ultraviolet light and that of visible light; and a wavelength conversion layer containing a fluorescent material of at least one type that is excited by excitation light from the fixed light source to emit fluorescent light of a wavelength longer than that of light emitted from the fixed light source. The fixed light source and the wavelength conversion layer can be spaced from each other. The light source device can employ a reflection system of extracting at least fluorescent light from an incident surface of the wavelength conversion layer through which excitation light from the fixed light source enters the wavelength conversion layer. The wavelength conversion layer can have a surface structure with depressions or projections. | 03-07-2013 |
20130056776 | PLATE - A plate including a substrate, a metal reflection layer and an oxidation protection layer is provided. The substrate has a first surface and a second surface opposite to the first surface. The metal reflection layer is disposed on the first surface of the substrate. The oxidation protection layer covers the metal reflection layer. The metal reflection layer is disposed between the oxidation protection layer and the first surface of the substrate. At least one light emitting diode chip is adapted to eutectic bonding on the plate. | 03-07-2013 |
20130056777 | III-NITRIDE LIGHT-EMITTING DEVICES WITH REFLECTIVE ENGINEERED GROWTH TEMPLATES AND MANUFACTURING METHOD - A light emitter includes a first mirror that is an epitaxially grown metal mirror, a second mirror, and an active region that is epitaxially grown such that the active region is positioned at or close to, at least, one antinode between the first mirror and the second mirror. | 03-07-2013 |
20130056778 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a light emitting device includes a substrate, a first electrode, a second electrode, an insulating section, a light emitting section, and a third electrode. The substrate with a groove is provided at a surface. The first electrode is provided inside the groove. The second electrode is provided on the substrate and the first electrode. The insulating section is provided on the second electrode. The light emitting section is provided on the second electrode and the insulating section. The third electrode is provided on the light emitting section. The first electrode has a side surface inclined away from a portion of the light emitting section provided on the second electrode toward bottom portion side of the groove. | 03-07-2013 |
20130056779 | LIGHT-EMITTING DIODE DEVICES - An LED device includes a die carrier having a die mounting surface and electrical connection regions. An LED die is mounted on the die mounting surface of the die carrier. The LED die includes a substrate, a first type semiconductor layer disposed atop the substrate, a second type semiconductor layer disposed atop the first type semiconductor layer, an another first type semiconductor layer disposed atop the second type semiconductor layer, at least three through holes each extending from the substrate to a corresponding semiconductor layer, an insulative layer formed on inner walls of the through holes, and electrically conductive linkers mounted within the through holes. Each electrically conductive linker has an end electrically connected to a corresponding semiconductor layer and an opposite end protruding outwardly from the corresponding through hole for electrical connection to a corresponding electrical connection region. A light transmissible protective layer covers the LED die. | 03-07-2013 |
20130056780 | LIGHT EMITTING DEVICE - A light emitting device, comprising: a package which is formed of a resin and has a recess which is provided with a bottom face and two pairs of opposite inner walls surrounding the bottom face, the package having two pairs of opposite side walls made of the inner walls and corresponding outer walls; a lead frame exposed at the bottom face; a light emitting element which is provided on the lead frame; and a sealing resin provided in the recess for sealing the light emitting element, wherein the lead frame has a bottom portion and a reflector portion exposed along one of the pair of opposite inner walls, and a first angle between the reflector portion and the bottom face is greater than a second angle between another one of the pair of opposite inner walls which is opposite to the reflector portion and the bottom face, is provided. | 03-07-2013 |
20130056781 | LIGHT EMITTING DEVICE - The light emitting device has a light emitting element | 03-07-2013 |
20130056782 | Optoelectronic Semiconductor Part Containing Alkali-Free and Halogen-Free Metal Phosphate - An optoelectronic semiconductor part comprising a light source, a housing and electrical connections, wherein the optoelectronic semiconductor part comprises a component which contains metal phosphate, and wherein the metal phosphate is substantially alkali-free and halogen-free. | 03-07-2013 |
20130062638 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device has a semiconductor laminate including first and second conductivity type semiconductor layers respectively providing first and second main surfaces and an active layer. The semiconductor laminate is divided into first and second regions. At least one contact hole is formed to pass through the active layer from the second main surface of the first region. A first electrode is formed on the second main surface to be connected to the first conductivity type semiconductor layer of the first region and the second conductivity type semiconductor layer of the second region. A second electrode is formed on the second main surface of the first region to be connected to the second conductivity type semiconductor layer of the first region and the first conductivity type semiconductor layer of the second region. | 03-14-2013 |
20130062639 | METHOD FOR FABRICATING LIGHT EMITTING DIODE (LED) DEVICES HAVING OUTPUT WITH SELECTED CHARACTERISTICS - A method for fabricating a light emitting diode (LED) device includes the steps of forming (or providing) a plurality of LED dice, forming a plurality of wavelength conversions layers, and then evaluating at least one electromagnetic radiation emission characteristic of each LED die and at least one color characteristic of each wavelength conversion layer. The method also includes the steps of comparing the evaluated characteristic of each LED die and the evaluated characteristic of each wavelength conversion layer to a database, selecting a selected LED die and a selected wavelength conversion layer based on the evaluating and comparing steps, and then attaching the selected wavelength conversion layer to the selected LED die. | 03-14-2013 |
20130062640 | LIGHT EMITTING DIODE (LED) PACKAGE HAVING WAVELENGTH CONVERSION MEMBER AND WAFER LEVEL FABRICATION METHOD - A light emitting diode (LED) package includes a substrate and a light emitting diode (LED) die on the substrate configured to emit electromagnetic radiation in a first spectral region. The (LED) package also includes a dielectric layer on the (LED) die and a wavelength conversion member on the dielectric layer configured to convert the electromagnetic radiation in the first spectral region to electromagnetic radiation in a second spectral region. The (LED) package also includes an interconnect comprising a conductive trace on the wavelength conversion member and on the dielectric layer in electrical contact with a die contact on the (LED) die and with a conductor on the substrate, and a transparent dome configured as a lens encapsulating the (LED) die. | 03-14-2013 |
20130062641 | LED LAMP - A LED lamp is disclosed which has a plurality of light unit, each of the light unit has at least one flat metal lead for heat dissipation and the lower part of the metal lead is mounted on a heat sink for a further heat dissipation. | 03-14-2013 |
20130062642 | LED PACKAGE DEVICE - An LED package device comprises a substrate, a first electrode, a second electrode, a reflector, an encapsulation layer and an LED die. The substrate includes a top surface and a bottom surface opposite to the top surface, wherein the first and the second electrodes are located on the top surface of the substrate. A sum of the areas of the first and the second electrodes on the top surface is smaller than ¼-⅔ the area of the top surface. Therefore, an increased contacting area between the reflector and the substrate is formed to enhance the tightness of the LED package device. | 03-14-2013 |
20130062643 | LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes: a first lead, a recess being provided in the first lead; a light emitting element fixed to a bottom surface of the recess via a conductive paste at a back surface on an opposite side to a light emitting surface of the light emitting element; and a second lead disposed away from the first lead and electrically connected to the light emitting element via a metal wire. An area of the bottom surface is larger than an area of the light emitting surface. The paste is put in with a thickness sufficient to cover at least part of a side surface in contact with the light emitting surface and the back surface of the light emitting element and at least part of a wall surface of the recess in the recess. | 03-14-2013 |
20130062644 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a method for manufacturing a semiconductor light emitting device includes: preparing a metal plate including first and second frames, the first frames being disposed alternately with the second frames to be apart from the second frames, a light emitting element being affixed to each of the first frames and connected via a metal wire to an adjacent second frame; forming a first resin on a first major surface of the metal plate to cover the first and second frames, and the light emitting elements; making a trench from a second major surface side; and filling a second resin into an interior of the trench from the first major surface side. The method further includes forming the resin packages by dividing the second resin along the trench, an outer edge of the first resin being covered with the second resin. | 03-14-2013 |
20130062645 | LIGHT EMITTING DEVICE - Embodiments provide a light emitting device comprising a support member, a light emitting structure disposed on the support member, the light emitting structure comprising a first semiconductor layer comprises a first and second regions, a second semiconductor layer disposed on the second region, and an active layer between the first and second semiconductor layers, a first electrode disposed on the first semiconductor layer and a second electrode disposed on the second semiconductor layer, wherein the support member includes metal ions to convert light of a first wavelength emitted from the active layer into light of a second wavelength different from the first wavelength. | 03-14-2013 |
20130062646 | SYSTEM AND METHOD FOR FABRICATING LIGHT EMITTING DIODE (LED) DICE WITH WAVELENTH CONVERSION LAYERS - A system for fabricating light emitting diode (LED) dice includes a wavelength conversion layer contained on a substrate on an adhesive layer configured to have reduced adhesiveness upon exposure to a physical energy, such as electromagnetic radiation or heat. The system also includes a curing apparatus configured to reduce the adhesiveness of the adhesive layer to facilitate removal of the wavelength conversion layer from the substrate, and an attachment apparatus configured to remove the wavelength conversion layer from the substrate and to attach the wavelength conversion layer to a light emitting diode (LED) die. A method for fabricating light emitting diode (LED) dice includes the steps of exposing the adhesive layer on the substrate to the physical energy to reduce the adhesiveness of the adhesive layer, removing the wavelength conversion layer from the substrate, and attaching the wavelength conversion layer to the light emitting diode (LED) die. | 03-14-2013 |
20130062647 | LIGHT EMITTING DEVICES INCLUDING WAVELENGTH CONVERTING MATERIAL - Light-emitting devices and associated methods are provided. The light emitting devices can have a wavelength converting material-coated emission surface. | 03-14-2013 |
20130062648 | LIGHT-EMITTING DEVICE AND LIGHT-EMITTING DEVICE MANUFACTURING METHOD - A light-emitting device includes: a light-emitting element that generates ultraviolet light; a first wavelength conversion layer placed on the light-emitting element, the first wavelength conversion layer including a plurality of types of phosphor particles dispersed in a transparent resin, each of the plurality of types of phosphor particles converting the ultraviolet light into light having a longer wavelength; and a second wavelength conversion layer placed on at least a part of the first wavelength conversion layer, the second wavelength conversion layer including at least any of the plurality types of phosphor particles dispersed in a transparent resin. | 03-14-2013 |
20130062649 | LIGHT-EMITTING DEVICE - Disclosed is a light-emitting device having a wide luminous-intensity distribution characteristic with a simple structure. The light-emitting device includes a resin package in which an LED chip, a first inner portion of a first lead terminal, and a second inner portion of a second lead terminal are accommodated and which has a second recess portion formed so that a portion including a first recess portion of the first inner portion of the first lead terminal as well as a portion of the second inner portion of the second lead terminal are exposed to a bottom portion of the second recess portion, and a resin portion containing phosphors and filled in the first recess portion of the first lead terminal and in the second recess portion of the resin package. A photoreflective filler is contained in a region opposed to the LED chip of the resin portion including the phosphors. | 03-14-2013 |
20130062650 | LED PACKAGE AND MOLD OF MANUFACTURING THE SAME - The present disclosure provides a light emitting diode (LED) package, which includes a first substrate with electrodes disposed on a top thereof and a second substrate with an LED chip disposed on a top thereof. The LED chip is connected with the electrodes via wires. A first package layer is disposed on the top of the first substrate to cover the wires and electrodes. A fluorescent layer is disposed on the top of the second substrate to cover the LED chip. The present disclosure also provides a mold and a method of manufacturing the LED package. | 03-14-2013 |
20130062651 | CARRIER FOR A LIGHT EMITTING DEVICE - A semiconductor light emitting device is mounted on a support substrate. The support substrate is disposed in an opening in a carrier. In some embodiments, the support substrate is a ceramic tile and the carrier is a low cost material with a lateral extent large enough to support a lens molded over or attached to the carrier. | 03-14-2013 |
20130062652 | LED DEVICES HAVING LENSES AND METHODS OF MAKING SAME - Disclosed herein are LED devices having lenses and methods of making the devices. The LED devices are made using an optical layer comprising a plurality of lens features. The optical layer is disposed relative to the LED die such that at least one LED die is optically coupled to at least one lens feature. A lens can then be made from the lens feature and excess optical layer removed to provide the device. | 03-14-2013 |
20130062653 | METHODS FOR PACKAGING LIGHT EMITTING DEVICES AND RELATED MICROELECTRONIC DEVICES - A method for forming a light emitting device includes providing a light emitting diode (LED) configured to emit light of a first color and providing a plurality of semi-spherical lenses made of a silicone material that contains no phosphor material. Each of the lenses has a layer of phosphor material attached thereto. The method also includes testing the plurality of lenses to select a subset of lenses that converts light of the first color to light of a second color. The method further includes forming the light emitting device using the LED, one of the selected subset of lenses, and a heat conductive substrate. In an embodiment, after the testing of the plurality of lenses, one of the selected subset of lenses is disposed overlying the LED. In another embodiment, the testing of the plurality of lenses is conducted with a light source other than the LED. | 03-14-2013 |
20130062654 | LIGHT EXTRACTION SHEET, ORGANIC ELECTROLUMINESCENCE ELEMENT AND ILLUMINATION DEVICE - Disclosed is a novel light extraction sheet which not only improves light extraction efficiency but suppresses color change with the angle of observation, an organic EL dement employing this light extraction sheet, and art illumination device employing the element. The light extraction sheet is featured in that it comprises a transparent resin film and provided thereon, a light scatter layer containing a binder resin and light scattering particles with an average particle size of front 0.2 to 1.0 μm dispersed in the binder resin and a concavo-convex layer containing a binder resin and spherical particles with an. average particle size of from 3 to 10 μm. | 03-14-2013 |
20130069091 | PROGRESSIVE-REFRACTIVITY ANTIREFLECTION LAYER AND METHOD FOR FABRICATING THE SAME - The present invention discloses a progressive-refractivity antireflection layer and a method for fabricating the same to eliminate light reflection occurring in an interface. The present invention is characterized in being fabricated via depositing a first material and a second material, and having a refractivity (n | 03-21-2013 |
20130069092 | LIGHT-EMITTING DIODE AND METHOD MANUFACTURING THE SAME - An LED includes a base, first and second electrodes embedded in the base, and an LED chip electrically connected with the first and second electrodes. The first electrode includes a first main body portion and three first branch portions. The second electrode includes a second main body and three second branch portions. The first and second branch portions are exposed at sidewalls of the base. One of the first branch portions and one of the second branch portions are exposed at two opposite lateral sides of the base respectively, and another one of the first branch portions and another one of the second branch portions are exposed at the same lateral side of the base. This disclosure also discloses a manufacture method for making the LED. | 03-21-2013 |
20130069093 | OPTOELECTRONIC DEVICE HAVING CONDUCTIVE SUBSTRATE - An optoelectronic device includes a conductive substrate; a polymer filled groove configured to separate the conductive substrate into a first semiconductor substrate and a second semiconductor substrate; a first front side electrode on the first semiconductor substrate and a second front side electrode on the second semiconductor substrate; and a light emitting diode (LED) chip on the first semiconductor substrate in electrical communication with the first front side electrode and with the second front side electrode. | 03-21-2013 |
20130069094 | PACKAGE STRUCTURE OF SEMICONDUCTOR LIGHT EMITTING ELEMENT - A package structure of semiconductor light emitting element is provided. The package structure of semiconductor light emitting element includes a substrate, a light emitting element and a transparent conductive board. A first electrode and a second electrode are disposed on the substrate. The light emitting element is disposed on the substrate and between the first electrode and the second electrode. A first bonding pad and a second bonding pad are disposed on the light emitting element. The transparent conductive board has a first surface and a second surface opposite to the first surface. The second surface of the transparent conductive board is located over the light emitting element for electrically connecting the first electrode and the first bonding pad and electrically connecting the second electrode and the second bonding pad. | 03-21-2013 |
20130069095 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT - A semiconductor light-emitting element ( | 03-21-2013 |
20130069096 | LIGHT EMITTING DEVICE AND LIGHT-EMITTING SYSTEM INCLUDING THE SAME - A light-emitting device having improved light conversion efficiency, a light-emitting system including the same, and fabricating methods of the light-emitting device and the light-emitting system, are provided. The light-emitting device includes one or more light-emitting elements arranged on one surface of a substrate, and a phosphor layer disposed inside or on the substrate to a predetermined thickness and partially wavelength-converts the light emitted from the one or more light-emitting elements into light having different wavelength, wherein a light conversion efficiency of the phosphor layer is maximized when the phosphor layer has the predetermined thickness. | 03-21-2013 |
20130069097 | TOP GATE TYPE THIN-FILM TRANSISTOR, DISPLAY DEVICE, AND ELECTRONIC APPARATUS - The present invention provides a thin-film transistor manufactured on a transparent substrate having a structure of a top gate type crystalline silicon thin-film transistor in which a light blocking film, a base layer, a crystalline silicon film, a gate insulating film, and a gate electrode film arranged not to overlap at least a channel region are sequentially formed on the transparent substrate; wherein the channel region having channel length L, LDD regions having LDD length d on both sides of the channel region, a source region, and a drain region are formed in the crystalline silicon film; the light blocking film is divided across the channel region; and interval x between the divided light blocking films is equal to or larger than channel length L and equal to or smaller than a sum of channel length L and a double of LDD length d (L+2d). Thereby, the cost for manufacturing the thin-film transistor is low, and the photo leak current of the thin-film transistor is suppressed. | 03-21-2013 |
20130069098 | LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a semiconductor light emitting element to emit a first light, a mounting member, first and second wavelength conversion layers and a transparent layer. The first wavelength conversion layer is provided between the element and the mounting member in contact with the mounting member. The first wavelength conversion layer absorbs the first light and emits a second light having a wavelength longer than a wavelength of the first light. The semiconductor light emitting element is disposed between the second wavelength conversion layer and the first wavelength conversion layer. The second wavelength conversion layer absorbs the first light and emits a third light having a wavelength longer than the wavelength of the first light. The transparent layer is provided between the element and the second wavelength conversion layer. The transparent layer is transparent to the first, second, and third lights. | 03-21-2013 |
20130069099 | CHIP-ON-BOARD LED STRUCTURE - A chip-on-board (COB) LED structure includes a ceramic substrate, a thermally radiative heat dissipation film, a thermally conductive binding layer, an LED chip, a nano-enamel layer, a circuit layer, a plurality of electrical connection lines, a fluorescent glue and a package resin. The LED chip is bound to the thermally radiative heat dissipation film formed on the ceramic substrate by the thermally conductive binding layer, the nano-enamel layer encloses the thermally radiative heat dissipation film for electrical insulation and protection, and the circuit layer has a circuit pattern formed on the nano-enamel layer. The electrical connection lines are configured to electrically connect the LED chip to the circuit layer, the fluorescent glue is coated on the LED chip to provide the effect of fluorescence, and the package resin encloses the circuit layer, the electrical connection lines, the nano-enamel layer and the fluorescent glue. | 03-21-2013 |
20130075772 | LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is a light-emitting device including (a) a layer structure obtained by sequentially growing on a base substrate a first compound semiconductor layer of a first conductivity type, (b) an active layer formed of a compound semiconductor, and (c) a second compound semiconductor layer of a second conductivity type; a second electrode formed on the second compound semiconductor layer; and a first electrode electrically connected to the first compound semiconductor layer. The layer structure formed of at least a part of the second compound semiconductor layer in a thickness direction of the second compound semiconductor layer. The first compound semiconductor layer has a thickness greater than 0.6 μm. A high-refractive index layer formed of a compound semiconductor material having a refractive index higher than a refractive index of a compound semiconductor material of the first compound semiconductor layer is formed in the first compound semiconductor layer. | 03-28-2013 |
20130075773 | LIGHT EMITTING DEVICE - An object of the present invention is to provide a light emitting device which increases the emission efficiency of phosphor by reducing self-absorption of light by phosphor and by reducing absorption of fluorescent light by an encapsulating resin, and which increases the efficiency of light extraction from the phosphor layer by preventing light scattering caused by the phosphor. | 03-28-2013 |
20130075774 | Light Converting And Emitting Device With Minimal Edge Recombination - Light emitting system ( | 03-28-2013 |
20130075775 | Multicolored Light Converting LED With Minimal Absorption - Light emitting systems are disclosed. More particularly light emitting systems that utilize wavelength converting semiconductor layer stacks, and preferred amounts of potential well types in such stacks to achieve more optimal performance are disclosed | 03-28-2013 |
20130075776 | LIGHT DISTRIBUTION CONTROLLER, LIGHT-EMITTING DEVICE USING THE SAME, AND METHOD FOR FABRICATING LIGHT DISTRIBUTION CONTROLLER - A light distribution controller of a light-emitting device includes a first optical member formed of ZnO disposed over an LED interposing a transparent adhesive, and a second optical member which covers the first optical member. The first optical member includes a first concave portion having an opening in a regular hexagon shape whose area gradually increases. In the first concave portion, inner wall surfaces having inclined surfaces, each of whose bases is formed by one side of the hexagon of the opening shape, are formed. Outside of the first optical member, outer wall surfaces each having a trapezoidal shape are formed. The second optical member includes a second concave portion arranged so that light at an annular peak in the light distribution characteristic of the light traveled through the first optical member is totally reflected. | 03-28-2013 |
20130082290 | LIGHT EMITTING DEVICES HAVING LIGHT COUPLING LAYERS WITH RECESSED ELECTRODES - A light emitting device comprises a first layer of an n-type semiconductor material, a second layer of a p-type semiconductor material, and an active layer between the first layer and the second layer. A light coupling structure is disposed adjacent to one of the first layer and the second layer. In some cases, the light coupling structure is disposed adjacent to the first layer. An orifice formed in the light coupling structure extends to the first layer. An electrode formed in the orifice is in electrical communication with the first layer. | 04-04-2013 |
20130082291 | Light Emitting Devices with Low Packaging Factor - A light emitting diode that when encapsulated within an overmolded hemispherical lens has a packaging factor less than 1.2. | 04-04-2013 |
20130082292 | Light Emitting Diode Packaging Structure and Method of Fabricating the Same - A method of fabricating alight emitting diode packaging structure provides a metallized ceramic heat dissipation substrate and a reflector layer, and the metallized ceramic heat dissipation substrate is bonded with the reflector layer through an adhesive. The reflector layer has an opening for a surface of the metallized ceramic heat dissipation substrate to be exposed therefrom. The reflector layer may be formed with ceramic or polymer plastic material, to enhance the refractory property and the reliability of the package structure. In addition, the packaging structure of the present invention may make use of existing packaging machine for subsequent electronic component packaging, without increasing the fabrication cost. | 04-04-2013 |
20130082293 | LED PACKAGE DEVICE - An LED package device comprises a substrate, an LED chip, a reflector and a covering layer. The covering layer completely encapsulates the reflector, the LED chip and the substrate to enhance the robustness and unitary integrity of the LED package device; two electrodes comprising two bulges penetrate through the covering layer to reach a base of the LED package device. The LED package device is able to function as a side emitting type of LED package. Front sides of the two bulges are level with a front side of the LED package device and configured for being mounted to a printed circuit board and electrically connecting therewith. | 04-04-2013 |
20130082294 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD OF THE SAME - According to one embodiment, a light-emitting unit which emits light, a wavelength conversion unit which includes a phosphor and which is provided on a main surface of the light-emitting unit, and a transparent resin which is provided on top of the wavelength conversion unit, are prepared. The transparent resin has a greater modulus of elasticity and/or a higher Shore hardness than the wavelength conversion unit. | 04-04-2013 |
20130082295 | LIGHT-EMITTING ELEMENT INCLUDING LIGHT-EMITTING LAYER SANDWICHED BETWEEN TWO SEMICONDUCTOR LAYERS - A light-emitting element includes a sapphire substrate as a substrate, a light-emitting layer arranged on the substrate in a state of being sandwiched in a thickness direction between an n-type semiconductor layer and a p-type semiconductor layer as two semiconductor layers having conductivity types different from one another, and a transparent electrode layer arranged so as to overlap with p-type semiconductor layer as one of the two semiconductor layers located farther away from the substrate, and a flat layer of a transparent material having a higher refractive index than transparent electrode layer and provided so as to cover at least a part of an upper surface of transparent electrode layer, and a irregularity layer arranged on an upper side of said flat layer. | 04-04-2013 |
20130082296 | LED Device with Embedded Top Electrode - An LED device and a method of manufacturing, including an embedded top electrode, are presented. The LED device includes an LED structure and a top electrode. The LED structure includes layers disposed on a substrate, including an active light-emitting region. A top layer of the LED structure is a top contact layer. The top electrode is embedded into the top contact layer, wherein the top electrode electrically contacts the top contact layer. | 04-04-2013 |
20130082297 | ULTRAVIOLET SEMICONDUCTOR LIGHT-EMITTING ELEMENT - An ultraviolet semiconductor light-emitting element comprises a light-emitting layer which is arranged between an n-type nitride semiconductor layer and a p-type nitride semiconductor layer, an n-electrode that is in contact with the n-type nitride semiconductor layer, and a p-electrode that is in contact with the p-type nitride semiconductor layer. The p-type nitride semiconductor layer is provided with a p-type contact layer that has a band gap smaller than that of the light-emitting layer and is in ohmic contact with the p-electrode. A depressed part is formed in a reverse side surface of a surface of the p-type nitride semiconductor layer that faces the light-emitting layer so as to avoid a formation region on which the p-electrode is formed. A reflective film that reflects ultraviolet light emitted from the light-emitting layer is formed on an inner bottom surface of the depressed part. | 04-04-2013 |
20130087818 | LIGHT EMITTING DIODE - A light emitting diode including a substrate, a first semiconductor layer, an active layer, and a second semiconductor layer is provided. The substrate includes a first surface and a second surface, and the second surface is a light emitting surface of the LED. The first semiconductor layer, the active layer, and the second semiconductor layer are stacked on the first surface in that order. A first electrode electrically is connected with the first semiconductor layer. A second electrode is electrically connected with the second semiconductor layer. A number of three-dimensional nano-structures are located on at least one surface of the substrate and aligned side by side, and a cross section of each of the three-dimensional nano-structure is M-shaped. | 04-11-2013 |
20130087819 | LIGHT EMITTING DIODE - A light emitting diode is provided. The light emitting diode includes a first semiconductor layer, an active layer and a second semiconductor layer. The active layer is sandwiched between the first semiconductor layer and the second semiconductor layer, and a surface of the second semiconductor layer which is away from the active layer is a light emitting surface. A first electrode is electrically connected with the first semiconductor layer. A second electrode is electrically connected with the second semiconductor layer. A number of three-dimensional nano-structures are formed on the light emitting surface. The number of the three-dimensional nano-structure are aligned side by side, and a cross-section of thee three-dimensional nano-structure is M-shaped. | 04-11-2013 |
20130087820 | LIGHT EMITTING DIODE - A light emitting diode is provided. The light emitting diode includes a first semiconductor layer, an active layer, a second semiconductor layer, a first electrode and a second electrode. The active layer is sandwiched between the first semiconductor layer and the second semiconductor layer, and a surface of the second semiconductor layer which is away from the active layer is a light extraction surface of the LED. The first electrode is electrically connected with the first semiconductor layer. The second electrode electrically connected with the second semiconductor layer. A number of three-dimensional nano-structures are formed on the light extraction surface of LED, the number of the three-dimensional nano-structures are aligned side by side, and a cross section of each three-dimensional nano-structure is M-shaped. | 04-11-2013 |
20130087821 | PHOSPHOR-CONVERTED SINGLE-COLOR LED INCLUDING A LONG-WAVELENGTH PASS FILTER - A phosphor-converted single-color LED is provided. The phosphor-converted single-color LED includes a long-wavelength pass filter having a special construction. The phosphor-converted single-color LED has high color purity and efficiency despite the use of a phosphor in the form of a nano/micro powder. | 04-11-2013 |
20130087822 | LIGHT EMITTING DIODE PACKAGE AND FABRICATION METHOD THEREOF - There is provided a light emitting diode (LED) package including: a package main body; an LED chip mounted on the package main body; and a hydrophobic pattern formed on the package main body spaced apart from the LED chip; and a resin unit encapsulating the LED chip and the resin unit is defined by the hydrophobic pattern. The LED package and a fabrication thereof which incur less production costs and have various patterns and enhanced intensity of illumination can be provided. | 04-11-2013 |
20130087823 | LIGHT EMITTING DIODE CHIP, LIGHT EMITTING DIODE PACKAGE STRUCTURE, AND METHOD FOR FORMING THE SAME - A light emitting diode chip, a light emitting diode package structure and a method for forming the same are provided. The light emitting diode chip includes a bonding layer, which has a plurality of voids, or a minimum horizontal distance between a surrounding boundary of the light emitting diode chip and the bonding layer is larger than 0. The light emitting diode chip, the light emitting diode package structure and the method may improve the product yields and enhance the light emitting efficiency. | 04-11-2013 |
20130092964 | HIGHLY RELIABLE PHOTOLUMINESCENT MATERIALS HAVING A THICK AND UNIFORM TITANIUM DIOXIDE COATING - Described herein are coated photoluminescent materials and methods for preparing such coated photoluminescent materials. More particularly, provided herein are phosphors coated with titanium dioxide, methods for preparing phosphors coated with titanium dioxide, and solid-state light emitting devices which include phosphors coated with titanium dioxide. | 04-18-2013 |
20130092965 | LIGHT EMITTING DEVICE - An object of the present invention is to provide a light emitting device exhibiting a superior emission efficiency which enables easy adjustment of an emission spectrum. | 04-18-2013 |
20130092966 | Optoelectronic Component and Method for Producing an Optoelectronic Component and a Compound Structure - An optoelectronic component includes a housing. At least one semiconductor chip is arranged in the housing. The semiconductor chip includes an active layer suitable for producing or detecting electromagnetic radiation. A casting compound at least partially surrounds the semiconductor chip. Reflective particles are embedded in the casting compound. | 04-18-2013 |
20130092967 | LED DEVICE AND METHOD FOR MANUFACTURING SAME - An object of the present invention is to provide an LED device which prevents a sealing material from being colored even under high-temperature and high-humidity environment, and suppresses the decrease in luminescent efficiency. | 04-18-2013 |
20130092968 | NITRIDE-BASED SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A nitride-based semiconductor light-emitting device | 04-18-2013 |
20130092969 | TL retrofit LED module inside sealed glass tube - This invention relates to a light emitting diode device ( | 04-18-2013 |
20130099265 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device. The light emitting device includes a light emitting structure comprising a first area comprising a first semiconductor layer doped with a first dopant, a second semiconductor layer doped with a second dopant and a first active layer, and a second area comprising a third semiconductor layer doped with the first dopant and comprising an exposed region, a fourth semiconductor layer arranged on the third semiconductor layer except for the exposed region and doped with the second dopant and a second active layer, and provided with first and second trenche formed from the fourth semiconductor layer to the first semiconductor layer and separated from each other, a first electrode comprising first and second electrode pad, a second electrode, and a third electrode arranged on the fourth semiconductor layer and comprising a third electrode pad, a fourth electrode pad and a fifth electrode pad. | 04-25-2013 |
20130099266 | RADIATION-EMITTING SEMICONDUCTOR DEVICE - A radiation-emitting semiconductor device includes a chip connection region, a radiation-emitting semiconductor chip, and a light-absorbing material, wherein the radiation-emitting semiconductor chip is fixed to the chip connection region, the chip connection region is covered with the light-absorbing material at selected locations at which said chip connection region is not covered by the radiation-emitting semiconductor chip, and the radiation-emitting semiconductor chip is free of the light-absorbing material in locations. | 04-25-2013 |
20130099267 | LIGHT EMITTING DEVICE PACKAGE AND MANUFACTURING METHOD THEREOF - A light emitting device (LED) package and a manufacturing method thereof are provided. The LED package may include a package body including a cavity, a first lead frame and a second lead frame that are disposed in the cavity of the package body, and an LED mounted on a bottom surface of the cavity of the package body, the LED including a transparent substrate, a first semiconductor layer, an active layer, and a second semiconductor layer that are laminated sequentially in one of a first direction that is parallel to the bottom surface of the cavity and a second direction that is inclined with respect to the bottom surface of the cavity. | 04-25-2013 |
20130099268 | WAFER-SCALED LIGHT-EMITTING STRUCTURE - This invention discloses a wafer-scaled light-emitting structure comprising a supportive substrate; an anti-deforming layer; a bonding layer; and a light-emitting stacked layer, wherein the anti-deforming layer reduces or removes the deformation like warp caused by thinning of the substrate. | 04-25-2013 |
20130099269 | ELECTRONIC ASSEMBLY - An electronic assembly includes a first substrate and a second substrate, a hole through the first substrate, the second substrate having a trace with an indentation, an electronic device mounted over the indentation in the trace, and the first substrate is attached to the second substrate such that the electronic device is positioned within the hole through the first substrate. | 04-25-2013 |
20130099270 | LEAD FRAME FOR OPTICAL SEMICONDUCTOR DEVICE, METHOD OF PRODUCING THE SAME, AND OPTICAL SEMICONDUCTOR DEVICE - A lead frame for an optical semiconductor device, having a reflection layer at least on one side or each side of the outermost surface of a substrate, partially or entirely, in which the reflection layer has, on the outermost surface at least in a region where light emitted by an optical semiconductor element is reflected, a microstructure with at least the surface thereof having been mechanically deformed, which is converted from a plating microstructure formed of a metal or an alloy thereof; a method of producing the same, and an optical semiconductor device having the same. | 04-25-2013 |
20130099271 | LIGHT-EMITTING DEVICE AND LIGHTING APPARATUS INCORPORATIONG SAME - A light-emitting device is provided that can extract light in all directions and that has wide directivity. This light-emitting device includes: an elongated bar-shaped package extending sideways, the package being formed such that a plurality of leads are formed integrally with a first resin with part of the leads exposed; a light-emitting element that is fixed onto at least one of the leads and that is electrically connected to at least one of the leads; and a second resin sealing the light-emitting element. In the light-emitting device, the first resin and the second resin are formed of optically transparent resin, and the leads have outer lead portions used for external connection and protruding sideways from both left and right ends of the package. | 04-25-2013 |
20130105837 | JETTING A HIGHLY REFLECTIVE LAYER ONTO AN LED ASSEMBLY | 05-02-2013 |
20130105838 | LIGHT EMITTING DIODE | 05-02-2013 |
20130105839 | SEMICONDUCTOR NANOPARTICLE-BASED MATERIALS FOR USE IN LIGHT EMITTING DIODES, OPTOELECTRONIC DISPLAYS AND THE LIKE | 05-02-2013 |
20130105840 | MULTI-PORT LIGHT SOURCES OF PHOTONIC INTEGRATED CIRCUITS | 05-02-2013 |
20130105841 | LIGHT-REFLECTIVE CONDUCTIVE PARTICLE, ANISOTROPIC CONDUCTIVE ADHESIVE, AND LIGHT-EMITTING DEVICE | 05-02-2013 |
20130105842 | Light-Emitting Module and Illumination Device | 05-02-2013 |
20130105843 | LIGHT-EMITTING DIODE AND DEPOSITION APPARATUS FOR FABRICATING THE SAME | 05-02-2013 |
20130105844 | FLIP-CHIP LIGHT EMITTING DIODE | 05-02-2013 |
20130105845 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE | 05-02-2013 |
20130105846 | SEMICONDUCTOR LIGHT EMITTING DEVICE | 05-02-2013 |
20130105847 | LIGHT EMITTING DEVICE PACKAGE, LIGHTING DEVICE INCLUDING THE SAME, AND IMAGE DISPLAY DEVICE | 05-02-2013 |
20130105848 | LIGHT EMITTING DEVICE PACKAGE | 05-02-2013 |
20130105849 | SEMICONDUCTOR LIGHT EMITTING MODULE AND METHOD OF MANUFACTURING THE SAME | 05-02-2013 |
20130113005 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND FABRICATION METHOD THEREOF - A semiconductor light emitting device and a fabrication method thereof are provided. The semiconductor light emitting device includes a light emitting structure including a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer. A reflective structure is formed on the light emitting structure and includes a nano-rod layer comprised of a plurality of nano-rods and air filling space between the plurality of nano-rods and a reflective metal layer formed on the nano-rod layer. | 05-09-2013 |
20130113006 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND FABRICATION METHOD THEREOF - A semiconductor light emitting device include an n-type semiconductor layer, an active layer disposed on the n-type semiconductor layer, and a first p-type semiconductor layer disposed on the active layer. The first p-type semiconductor layer has an uneven structure formed on a surface thereof. A second p-type semiconductor layer has an impurity concentration higher than that of the first p-type semiconductor layer. The second p-type semiconductor layer is disposed on the first p-type semiconductor layer and has an uneven structure formed on a surface thereof. A reflective metal layer is formed on the second p-type semiconductor layer. | 05-09-2013 |
20130113007 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device including a light emitting structure including a first conductive type semiconductor layer, an active layer and a second conductive type semiconductor layer, a first electrode layer, a second electrode layer disposed between the light emitting structure and the first electrode layer, and an insulating layer surrounding the edge of the second electrode layer under the second conductive type semiconductor layer, the insulating layer being disposed between the second electrode layer and the first electrode layer, wherein the first electrode layer passes through the second electrode layer, the second conductive type semiconductor layer and the active layer, and contacts the first conductive type semiconductor layer, and the second electrode layer comprises a plurality of first reflective layers that contact the second conductive type semiconductor layer and are spaced from one another by a predetermined distance. | 05-09-2013 |
20130113008 | WAVELENGTH CONVERSION SHEET FILLED WITH LARGE AMOUNT OF PHOSPHOR, METHOD OF PRODUCING LIGHT EMITTING SEMICONDUCTOR DEVICE USING THE SHEET, AND LIGHT EMITTING SEMICONDUCTOR DEVICE - A wavelength conversion sheet filled with a large amount of phosphor, enabling the phosphor to be easily dispersed uniformly and in a large amount near the surface of an LED element. Specifically, the sheet includes: a layer formed from a heat-curable resin composition, which contains 100 parts by mass of a resin component and 100 to 2,000 parts by mass of a particulate phosphor in which the proportion of particles having a sphericity of 0.7 to 1.0 is not less than 60% of all the particles, and which exists in a plastic solid or semisolid state in an uncured state at normal temperature, wherein the average particle diameter of the phosphor is not more than 60% of the thickness of the layer formed from the heat-curable resin composition, and the maximum particle diameter thereof is not more than 90% thereof. | 05-09-2013 |
20130113009 | METHOD OF MANUFACTURING LIGHT EMITTING DEVICE PACKAGE - A method of manufacturing a light emitting device (LED) package includes forming a reflector using nano-imprinting to increase an intensity of light extracted toward an external environment by increasing an angle of a reflector. | 05-09-2013 |
20130113010 | Optoelectronic Component and Method for Producing an Optoelectronic Component - An optoelectronic component comprising an optoelectronic semiconductor chip ( | 05-09-2013 |
20130113011 | FULL SPECTRUM SOLID STATE WHITE LIGHT SOURCE, METHOD FOR MANUFACTURING AND APPLICATIONS - A method of manufacturing a down-conversion substrate for use in a light system includes forming a first crystallography layer including one or more phosphor materials and, optionally, applying at least one activator to the crystallography layer, heating the crystallography layer at high temperature to promote crystal growth in the crystallography layer, and drawing out the crystallography layer and allowing the crystallography layer to cool to form the down-conversion substrate. A light system includes an excitation source for emitting short wavelength primary emissions; and a down-conversion substrate disposed in the path of at least some of the primary emissions from the excitation source to convert at least a portion of the primary emissions into longer-wavelength secondary emissions, wherein the substrate includes one or more crystallography layers, wherein each crystallography layer includes one or more phosphor materials, and optionally at least one activator. Down-converted secondary light may be produced by the system. | 05-09-2013 |
20130113012 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT, LAMP, ELECTRONIC DEVICE AND MACHINE - A semiconductor light-emitting element ( | 05-09-2013 |
20130113013 | METAL FOIL LAMINATE, SUBSTRATE FOR MOUNTING LED, AND LIGHT SOURCE DEVICE - Provided is a metal foil laminate that: has heat resistance; has high reflectance in the visible light range; has little decrease in reflectance in environments with a high-temperature thermal load; is compatible with large surface areas; and can be used for printed circuit boards for mounting LEDs that have excellent adhesion with metals. The metal foil laminate is characterized in that: a laminate has metal foil on at least one side of a resin layer (A) containing a polyorganosiloxane and an inorganic filler; the 90° peel strength between said resin layer (A) and said metal foil is at least 0.95 kN/m, and the mean reflectance at wavelengths of 400 to 800 nm on the surface that is exposed when the resin layer (A) is exposed by peeling and removing said metal foil is at least 80%; and the decrease in the reflectance at a wavelength of 470 nm after being treated with heat for 10 minutes at 260° C. is not more than 5%. | 05-09-2013 |
20130119418 | METHODS OF FORMING OPTICAL CONVERSION MATERIAL CAPS AND LIGHT EMITTING DEVICES INCLUDING PRE-FORMED OPTICAL CONVERSION MATERIAL CAPS - A method of forming can be provided by applying an optical conversion material to a mold to form a unitary layer of optical conversion material and removing the unitary layer of optical conversion material from the mold. | 05-16-2013 |
20130119419 | MAGNETICALLY ADJUSTING COLOR-CONVERTING MATERIALS WITHIN A MATRIX AND ASSOCIATED DEVICES, SYSTEMS, AND METHODS - Magnetically adjusting color-converting particles within a matrix and associated devices, systems, and methods are disclosed herein. A magnetic-adjustment process can include applying a magnetic field to a mixture including a non-solid matrix and a plurality of color-converting particles (e.g. magnetically anisotropic color-converting particles). The magnetic field can cause the plurality of color-converting particles to move into a generally non-random alignment (e.g., a generally non-random magnetic alignment and/or a generally non-random shape alignment) within the non-solid matrix. The non-solid matrix then can be solidified to form a solid matrix. A magnetic-adjustment process can be performed in conjunction with testing and/or product binning of solid-state radiation transducer devices. For example, a position, direction, strength, or duration of a magnetic field used to perform a magnetic-adjustment process can be controlled according to optical output collected from a solid-state radiation transducer device. Measuring the optical output and performing the magnetic-adjustment process can be at least partially concurrent. | 05-16-2013 |
20130119420 | LIGHT EMITTING DEVICE - A light emitting device is disclosed. The light emitting device includes an electrode, which includes a reflective electrode layer disposed over a second semiconductor layer and a bonding electrode layer disposed in at least a partial region of an outer side surface of the reflective electrode layer while coming into contact with the second semiconductor layer. Thus, it may be possible to enhance bonding reliability between the electrode and the semiconductor layer. | 05-16-2013 |
20130119421 | LIGHT EMITTING DIODE EPITAXIAL STRUCTURE AND MANUFACTURING METHOD OF THE SAME - An LED epitaxial structure includes a substrate, a buffer layer, a functional layer and a light generating layer. The buffer layer is located on a top surface of the substrate. The functional layer includes a plurality of high-temperature epitaxial layers and low-temperature epitaxial layers alternatively arranged between the buffer layer and light generating layer. A textured structure is formed in the low-temperature epitaxial layer. A SiO2 layer including a plurality of convexes is located on the textured structure to increase light extraction efficiency of the LED epitaxial structure. A manufacturing method of the LED epitaxial structure is also disclosed. | 05-16-2013 |
20130119422 | SEMICONDUCTOR LIGHT EMITTING DEVICE, LIGHT EMITTING MODULE, LIGHTING APPARATUS AND DISPLAY ELEMENT - A semiconductor light emitting device has a multilayer epitaxial structure for emitting light by a light emitting layer located between a first conductive layer and a second conductive layer. The multilayer epitaxial structure can be grown directly on a base substrate. A reflective layer can be provided in the multilayer epitaxial structure between the base substrate and the first conductive layer. A distributive Bragg reflector can be positioned adjacent the substrate. A surface of the multilayer epitaxial structure can be conformed to provide improved light extraction. A phosphorus film encapsulates the multilayer epitaxial structure and its respective side surfaces. | 05-16-2013 |
20130119423 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND PACKAGE - A semiconductor light emitting device and package containing the same include: a light emitting structure including a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer. A light extraction layer is disposed on the light emitting structure and includes a light-transmissive thin film layer having light transmittance, a nano-rod layer including nano-rods disposed on the light-transmissive thin film layer, and a nano-wire layer including nano-wires disposed on the nano-rod layer. | 05-16-2013 |
20130119424 | LIGHT EMITTING DEVICE AND LIGHT EMITTING APPARATUS HAVING THE SAME - A light emitting device is provided a transmissive substrate; a first pattern portion including a protrusions; a second pattern portion including a concaves having a width smaller than a width of each protrusion; a light emitting structure under the transmissive substrate and including a first conductive semiconductor layer, a second conductive semiconductor layer and an active layer; a first electrode under the first conductive semiconductor layer; a reflective electrode layer under the second conductive semiconductor layer; a second electrode under the reflective electrode layer; a first connection electrode under the first electrode; a second connection electrode under the second electrode; and an insulating support member around the first electrode and the first connection electrode and around the second electrode and the second connection electrode and including a ceramic-based thermal diffusion agent. | 05-16-2013 |
20130119425 | LIGHT EMITTING ELEMENT AND LIGHT EMITTING DEVICE USING THE SAME - An object of the present invention is to provide a light emitting element having slight increase in driving voltage with accumulation of light emitting time. Another object of the invention is to provide a light emitting element having slight increase in resistance value with increase in film thickness. A light emitting element of the invention includes a first layer for generating holes, a second layer for generating electrons and a third layer comprising a light emitting substance between first and second electrodes. The first and third layers are in contact with the first and second electrodes, respectively. The second and third layers are connected to each other so as to inject electrons generated in the second layer into the third layer when applying the voltage to the light emitting element such that a potential of the second electrode is higher than that of the first electrode. | 05-16-2013 |
20130119426 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREFOR - A low-cost and productivity-oriented surface mount light-emitting device is provided. The light-emitting device includes an insulating film | 05-16-2013 |
20130126921 | INVERTED OPTICAL DEVICE - Inverted optical device. In accordance with an embodiment of the present invention, a plurality of piggyback substrates are attached to a carrier wafer. The plurality of piggyback substrates are dissimilar in composition to the carrier wafer. The plurality of piggyback substrates are processed, while attached to the carrier wafer, to produce a plurality of integrated circuit devices. A flip wafer is attached to the plurality of light emitting diodes, away from the carrier wafer and the carrier wafer is removed. The plurality of light emitting diodes may be singulated to form individual light emitting diode devices. | 05-23-2013 |
20130126922 | LIGHT EMITTING DIODE INCORPORATING LIGHT CONVERTING MATERIAL - An LED includes an LED chip, an encapsulant for encapsulating the LED chip, and a lens attached to the encapsulant. The lens includes a main body, and a light converting unit with a light converting material distributed therein. The main body defines a receiving space facing the LED chip. The light converting unit is received in the main body. Light emitted by the LED chip passes through the light converting unit and then enters into the main body of the lens. The light converting material of the light converting unit changes a wavelength of the light of the LED chip when the light passes through the light converting unit. | 05-23-2013 |
20130126923 | SUBMOUNT FOR LIGHT EMITTING DIODE AND METHOD FOR FABRICATING THE SAME - A submount for a light emitting diode and a method for fabricating the same are provided. The method includes the following steps: (a) providing a silicon substrate; (b) forming a mask layer on the silicon substrate to expose a part of the silicon substrate; (c) forming a first silicon oxide layer in the part of the silicon substrate which is exposed; and (d) removing the mask layer and the first silicon oxide layer, so as to form a recess in the silicon substrate. | 05-23-2013 |
20130126924 | LIGHT-EMITTING DIODE ELEMENT, METHOD FOR MANUFACTURING LIGHT GUIDE STRUCTURE THEREOF AND EQUIPMENT FOR FORMING THE SAME - A light-emitting diode (LED) element is provided. The LED element includes a substrate, a diode structure layer and several light-guide structures. The light-guide structures are formed on at least one of the substrate and the diode structure layer. Each light-guide structure has an inner sidewall, and several spiral slits formed on the inner side wall. | 05-23-2013 |
20130126925 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device, and a method for manufacturing the semiconductor light-emitting device, in which light propagating through a light-emitting layer and reaching an edge surface of a semiconductor film can be extracted to the exterior in an efficient manner. The semiconductor light-emitting device comprises a semiconductor film including a light-emitting layer made from a group III nitride semiconductor. The semiconductor film has a tapered edge surface inclined diagonally with respect to a light extraction surface. The light extraction surface has a relief structure comprising a plurality of protrusions having a shape originating from the crystal structure of the semiconductor film. The average size of the protrusions in a first region in the vicinity of an edge section of the light extraction surface is smaller than the average size of the protrusions in a second region. | 05-23-2013 |
20130126926 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND MANUFACTURING METHOD OF THE SAME - A semiconductor light emitting device which has a wavelength converting part on a semiconductor film and can eliminate unevenness in emission color without a reduction in light output. The semiconductor film includes a light emitting layer. The support substrate is bonded to the semiconductor film via a light-reflecting layer and has a support surface supporting the semiconductor film and edges located further out than the side surfaces of the semiconductor film. The light-shielding part covers the side surfaces of the semiconductor film and part of the support surface around the semiconductor film in plan view. The wavelength converting part contains a fluorescent substance and is provided over the support substrate to bury the semiconductor film and the light-shielding part therein. The wavelength converting part has a curved surface shape in which its thickness increases when going from the edges toward the center of the semiconductor film. | 05-23-2013 |
20130126927 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a substrate having a wiring pattern formed thereon, and a semiconductor light emitting element mounted on one main surface of the substrate and electrically connected to the wiring pattern. The substrate has, on the one main surface, a serrated structure reflecting at least part of light emitted from said semiconductor light emitting element to the substrate, to a direction perpendicular to the one main surface. | 05-23-2013 |
20130126928 | LIGHT EMITTING DIODE CHIP, AND METHODS FOR MANUFACTURING AND PACKAGING THE SAME - A light emitting diode chip includes a substrate, an epitaxial layer, two inclined plane units, and two electrode units. The substrate has top and bottom surfaces. The epitaxial layer is disposed on the top surface of the substrate. Each of the inclined plane units is inclined downwardly and outwardly from the epitaxial layer toward the bottom surface of the substrate, and includes an inclined sidewall formed on the epitaxial layer, and a substrate inclined wall formed on the substrate. Each of the electrode units includes an electrode disposed on the epitaxial layer, and a conductive portion extending from the electrode to the substrate inclined wall along corresponding one of the inclined plane units. | 05-23-2013 |
20130126929 | METHOD FOR MANUFACTURING NANO-IMPRINT MOULD, METHOD FOR MANUFACTURING LIGHT-EMITTING DIODE USING THE NANO IMPRINT MOULD MANUFACTURED THEREBY, AND LIGHT-EMITTING DIODE MANUFACTURED THEREBY - A method of manufacturing a light emitting diode, includes a process of forming an n-type nitride semiconductor layer, a light emitting layer, and a p-type nitride semiconductor layer on a temporary substrate, a process of forming a p-type electrode on the p-type nitride semiconductor layer, a process of forming a conductive substrate on the p-type electrode, a process of removing the temporary substrate to expose the n-type nitride semiconductor layer, a process of forming a nanoimprint resist layer on the n-type nitride semiconductor layer, a process of pressing the nanoimprint mold on the nanoimprint resist layer to transfer the nano-pattern onto the nanoimprint resist layer, and a process of separating the nanoimprint mold from the nanoimprint resist layer having the nano-pattern and etching a portion of the nanoimprint resist layer having the nano-pattern to form an n-type electrode. | 05-23-2013 |
20130126930 | LIGHT SOURCE DEVICE - Disclosed herein is a light source device for converting excited light into long-wavelength light having a longer wavelength than the excited light. The light source includes: an excited light source emitting excited light; a wavelength conversion member including a light transmission plate and a wavelength conversion layer formed on the light transmission plate and receiving the excited light from the excited light source and emitting long-wavelength light having a longer wavelength than the excited light, the excited light emitted from the excited light source being incident upon one side of the wavelength conversion layer; a light reflection member provided at one side of the wavelength conversion member and including an excited light transmission window transmitting the excited light; and a filter member provided at the other side of the wavelength conversion member and reflecting the excited light and transmitting the long-wavelength light. | 05-23-2013 |
20130134459 | Micro-Bead Blasting Process for Removing a Silicone Flash Layer - Using compression molding to form lenses over LED arrays on a metal core printed circuit board leaves a flash layer of silicone covering the contact pads that are later required to connect the arrays to power. A method for removing the flash layer involves blasting particles of sodium bicarbonate at the flash layer. A nozzle is positioned within thirty millimeters of the top surface of the flash layer. The stream of air that exits from the nozzle is directed towards the top surface at an angle between five and thirty degrees away from normal to the top surface. The particles of sodium bicarbonate are added to the stream of air and then collide into the top surface of the silicone flash layer until the flash layer laterally above the contact pads is removed. The edge of silicone around the cleaned contact pad thereafter contains a trace amount of sodium bicarbonate. | 05-30-2013 |
20130134460 | COATED COLOR-CONVERTING PARTICLES AND ASSOCIATED DEVICES, SYSTEMS, AND METHODS - Coated color-converting particles and associated devices, systems, and methods are disclosed herein. A coating of the coated color-converting particles can include, for example, a parylene, such as a fluorinated parylene. In particular embodiments, the coating can be configured to protect a color-converting material of a particle core of the coated color-converting particles from detrimental reactions. For example, the coating can prevent, slow, or otherwise inhibit detrimental reactions between the color-converting material and a matrix material or between the color-converting material and an environmental constituent that can diffuse through a matrix. In particular embodiments, the coated color-converting particles can be incorporated into a matrix to form a composite. The composite can be used, for example, with a radiation transducer. Methods associated with the coated color-converting particles can include, for example, separating coated color-converting particles having acceptable coatings from coated color-converting particles having unacceptable coatings using relative buoyancy. | 05-30-2013 |
20130134461 | DISPLAY APPARATUS - A display apparatus includes an organic electroluminescence (OEL) device and a color filter. At different correlated color temperatures (CCTs), a light emitting spectrum of the OEL device is adjusted to meet specific display requirements and improve the display quality of the display apparatus. In addition, a light filtering spectrum of the color filter is adjusted simultaneously to match the light emitting spectrum of the OEL device, so that the display apparatus has an excellent display effect. | 05-30-2013 |
20130134462 | Light emitting diode having multi-cell structure and method of manufacturing the same - Disclosed is a light emitting diode having a multi-cell structure including a number of unit cells. The light emitting diode is capable of reducing light loss of the light emitting diode surface and improving light efficiency by bonding pads to be formed for contact between mesa etching regions for forming an electrode of the existing n-type semiconductor layers and p-type semiconductor layers. The light emitting diode is also capable of controlling chip size and manufacturing chips of different sizes from each other even when going through the same chip manufacturing process as the related art. | 05-30-2013 |
20130134463 | LED PACKAGE AND LIGHT EMITTING DEVICE HAVING THE SAME - An exemplary LED package includes first and second electrodes, an LED die and an encapsulation. An inner wall of each first and second electrode includes a first oblique plane. The LED die is surrounded by and electrically connected to the first and second electrodes. The LED die includes an outputting surface. The encapsulation is filled between the first electrode ant the second electrode and covers the LED die, and includes opposite first and second outer surfaces, wherein the second outer surface acts as an outputting surface of the LED package. A reflective layer is coated on the first outer surface of the encapsulation. The first oblique plane of the electrode structure is light reflective and extends aslant from the outputting surface of the LED die towards the outputting surface of the LED package along a direction away from the LED die. | 05-30-2013 |
20130134464 | LIGHT EMITTING DIODE DEVICE AND FLIP-CHIP PACKAGED LIGHT EMITTING DIODE DEVICE - The present invention relates to a light emitting diode (LED) and a flip-chip packaged LED device. The present invention provides an LED device. The LED device is flipped on and connected electrically with a packaging substrate and thus forming the flip-chip packaged LED device. The LED device mainly has an Ohmic-contact layer and a planarized buffer layer between a second-type doping layer and a reflection layer. The Ohmic-contact layer improves the Ohmic-contact characteristics between the second-type doping layer and the reflection layer without affecting the light emitting efficiency of the LED device and the flip-chip packaged LED device. The planarized buffer layer id disposed between the Ohmic-contact layer and the reflection layer for smoothening the Ohmic-contact layer and hence enabling the reflection layer to adhere to the planarized buffer layer smoothly. Thereby, the reflection layer can have the effect of mirror reflection and the scattering phenomenon on the reflected light can be reduced as well. | 05-30-2013 |
20130134465 | VERTICAL TOPOLOGY LIGHT EMITTING DEVICE - A vertical topology light emitting device comprises a conductive adhesion structure having a first surface and a second surface; a conductive support structure on the first surface; a reflective structure on the second surface, the reflective structure also serving as a first electrode; a semiconductor structure on the reflective structure; and a second electrode on the semiconductor structure. | 05-30-2013 |
20130134466 | LED PACKAGE - An LED package is provided, which includes a base, a lighting device, and a sealing material. The lighting device is disposed on the base. The sealing material is disposed on the lighting material, and the out surface of the sealing material includes a plurality of micro-structures. The micro-structures comprise of protruded micro-structures, depressed micro-structures or any combination thereof. At least of a partial of a light from the lighting element is transmitted to an ambient through the micro-structure. | 05-30-2013 |
20130134467 | ELEMENT-CONNECTING BOARD, PRODUCING METHOD THEREOF, AND LIGHT-EMITTING DIODE DEVICE - An element-connecting board is a lead frame for allowing a light emitting diode element to be connected to one side thereof in a thickness direction. The element-connecting board includes the lead frame which is provided with a plurality of leads disposed with spaces from each other and a first insulating resin portion which is light reflective and fills the spaces. | 05-30-2013 |
20130134468 | OPTICAL SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME - In an optical semiconductor package, a method of manufacturing the same, and an optical semiconductor device according to the present invention, a thermosetting resin such as an unsaturated polyester resin is used for a reflector | 05-30-2013 |
20130134469 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes a stacked structural body, a first, a second and a third conductive layer. The stacked structural body includes first and second semiconductors and a light emitting layer provided therebetween. The second semiconductor layer is disposed between the first conductive layer and the light emitting layer. The first conductive layer is transparent. The first conductive layer has a first major surface on a side opposite to the second semiconductor layer. The second conductive layer is in contact with the first major surface. The third conductive layer is in contact with the first major surface and has a reflectance higher than a reflectance of the second conductive layer. The third conductive layer includes an extending part extending in parallel to the first major surface. At least a portion of the extending part is not covered by the second conductive layer. | 05-30-2013 |
20130140591 | STRUCTURE AND METHOD FOR LED WITH PHOSPHOR COATING - The present disclosure provides a light emitting diode (LED) apparatus. The LED apparatus includes an LED emitter having a top surface; and a phosphor feature disposed on the LED emitter. The phosphor feature includes a first phosphor film disposed on the top surface of the LED emitter and having a first dimension defined in a direction parallel to the top surface of the LED emitter; a second phosphor film disposed on the first phosphor film and having a second dimension defined in the direction; and the second dimension is substantially less than the first dimension. | 06-06-2013 |
20130140592 | LIGHT EMITTING DIODE WITH IMPROVED LIGHT EXTRACTION EFFICIENCY AND METHODS OF MANUFACTURING SAME - A light emitting diode structure and methods of manufacturing the same are disclosed. In an example, a light emitting diode structure includes a crystalline substrate having a thickness that is greater than or equal to about 250 μm, wherein the crystalline substrate has a first roughened surface and a second roughened surface, the second roughened surface being opposite the first roughened surface; a plurality of epitaxy layers disposed over the first roughened surface, the plurality of epitaxy layers being configured as a light emitting diode; and another substrate bonded to the crystalline substrate such that the plurality of epitaxy layers are disposed between the another substrate and the first roughened surface of the crystalline substrate. | 06-06-2013 |
20130140593 | LIGHT EMITTING DIODE - A light emitting diode including a substrate, a first semiconductor layer, an active layer, and a second semiconductor layer is provided. The first semiconductor layer includes a first surface and a second surface, and the first surface is connected to the substrate. The active layer and the second semiconductor layer are stacked on the second surface in that order, and a surface of the second semiconductor layer away from the active layer is configured as the light emitting surface. A first electrode electrically is connected with the first semiconductor layer. A second electrode is electrically connected with the second semiconductor layer. A number of three-dimensional nano-structures are located on the surface of the first surface of the first semiconductor layer and aligned side by side, and a cross section of each of the three-dimensional nano-structure is M-shaped. | 06-06-2013 |
20130140594 | LIGHT EMITTING DIODE - A light emitting diode including a substrate, a first semiconductor layer, an active layer, and a second semiconductor layer is provided. A surface of the substrate away from the active layer is configured as the light emitting surface. The first semiconductor layer includes a first surface and a second surface, and the first surface is connected to the substrate. The active layer and the second semiconductor layer are stacked on the second surface in that order. A first electrode electrically is connected with the first semiconductor layer. A second electrode is electrically connected with and covers a surface of the second semiconductor layer. A number of three-dimensional nano-structures are located on the surface of the first surface of the first semiconductor layer and the light emitting surface, and a cross section of each of the three-dimensional nano-structure is M-shaped. | 06-06-2013 |
20130140595 | LIGHT EMITTING DIODE - A light emitting diode including a first semiconductor layer, an active layer, and a second semiconductor layer is provided. The first semiconductor layer includes a first surface and a second surface. The active layer and the second semiconductor layer are stacked on the second surface in that order, and a surface of the second semiconductor layer away from the active layer is configured as the light emitting surface. A first electrode is electrically connected with and covers the first surface of the first semiconductor layer. A second electrode is electrically connected with the second semiconductor layer. A number of three-dimensional nano-structures are located on the surface of the first surface of the first semiconductor layer and the light emitting surface, and a cross section of each of the three-dimensional nano-structure is M-shaped. | 06-06-2013 |
20130140596 | LIGHT EMITTING DIODE - A light emitting diode including a first semiconductor layer, an active layer, and a second semiconductor layer is provided. The first semiconductor layer includes a first surface and a second surface, and the first surface is connected to the substrate. The active layer and the second semiconductor layer are stacked on the second surface in that order, and a surface of the second semiconductor layer away from the active layer is configured as the light emitting surface. A first electrode covers the entire surface of the first semiconductor layer. A second electrode is electrically connected with the second semiconductor layer. A number of three-dimensional nano-structures are located on the surface of the first surface of the first semiconductor layer and aligned side by side, and a cross section of each of the three-dimensional nano-structure is M-shaped. | 06-06-2013 |
20130140597 | Organic Light Emitting Device and Manufacturing Method Thereof - In an organic light emitting device and a method of manufacturing the organic light emitting device, reflective layers are formed on pixel definition layers to prevent the generation of an open edge defect (or a non-transfer defect) in forming light emitting layers. The organic light emitting device includes a base, first electrodes patterned and formed on the base, light emitting layers formed on the first electrodes, and a second electrode formed on the light emitting layers. Pixel definition layers are formed between the patterned first electrodes, and reflective layers are disposed in the pixel definition layers. | 06-06-2013 |
20130140598 | METHOD FOR PRODUCING AN OPTOELECTRONIC SEMICONDUCTOR CHIP, AND OPTOELECTRONIC SEMICONDUCTOR CHIP - A method for producing an optoelectronic semiconductor chip is specified, comprising the following steps: providing an n-conducting layer ( | 06-06-2013 |
20130146908 | ILLUMINATION DEVICE - An illumination device including a substrate, a first conductive layer, a second conductive layer, a self-illuminating layer, and a first auxiliary conductive pattern layer is provided. The first conductive layer and the second conductive layer are disposed on the substrate. The self-illuminating layer is located between the first conductive layer and the second conductive layer to define an illumination region on the substrate. The first auxiliary conductive pattern layer is in contact with the first conductive layer and has an impedance smaller than that of the first conductive layer. A ratio of a perimeter (um) of the first auxiliary conductive pattern layer occupied in the illumination region to an area (um | 06-13-2013 |
20130146909 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a semiconductor layer including a light emitting layer, a p-side electrode provided on a second surface of the semiconductor layer, and an n-side electrode provided on the semiconductor layer to be separated from the p-side electrode. The p-side electrode includes a plurality of contact metal selectively provided on the semiconductor layer in contact with the second surface, a transparent film provided on the semiconductor layer in contact with the second surface between the plurality of contact metal, and a reflective metal provided on the contact metal and on the transparent film in contact with the contact metal, the reflective metal including silver. A surface area of a surface of the reflective metal on the light emitting layer side is greater than the sum total of a surface area of the plurality of contact metal contacting the semiconductor layer. | 06-13-2013 |
20130146910 | LIGHT EMITTING DIODE CHIP - A light emitting diode chip includes a semiconductor layer sequence, the semiconductor layer sequence having an active layer that generates electromagnetic radiation, wherein the light emitting diode chip has a radiation exit area at a front side. At a rear side lying opposite the radiation exit area, the light emitting diode chip has, at least in regions, a mirror layer containing silver. A functional layer that reduces corrosion and/or improves adhesion of the mirror layer is arranged on the mirror layer, wherein a material from which the functional layer is formed is also distributed in the entire mirror layer. The material of the functional layer has a concentration gradient in the mirror layer, wherein the concentration of the material of the functional layer in the mirror layer decreases proceeding from the functional layer in the direction toward the semiconductor layer sequence. | 06-13-2013 |
20130146911 | LIGHT EMITTING DIODE PACKAGE AND LENS MODULE USED THEREIN - An LED package includes an LED die and a lens module. The lens module covers the LED die. Light emitted from the LED die travels through the lens module. The lens module includes a concave lens and a convex lens with a smaller radial dimension than that of the concave lens. The concave lens covers the LED die. The convex lens is attached on a center of a surface of the concave lens away from the LED die. Optical axes of the concave lens and the convex lens are both collinear with a central axis of the LED die. Light from the LED die is diverged by the lens module to a peripheral side of the LED package. | 06-13-2013 |
20130146912 | ELECTRONIC DEVICE - An electronic device including an insulating substrate, a plurality of conductive vias and a chip is provided. The insulating substrate has an upper surface and a lower surface opposite to each other. The conductive vias pass through the insulating substrate. The chip is disposed on the upper surface of the insulating substrate and includes a chip substrate, a semiconductor layer and a plurality of contacts. The semiconductor layer is located between the chip substrate and the contacts. The contacts are electrically connected to the conductive vias. The material of the insulating substrate and the material of the chip substrate are the same. | 06-13-2013 |
20130146913 | ELECTRONIC DEVICE - An electronic device including an insulating substrate, a chip and a patterned conductive layer is provided. The insulating substrate has an upper surface and a lower surface opposite to each other. The chip is disposed above the upper surface of the insulating substrate. The patterned conductive layer is disposed between the upper surface of the insulating substrate and the chip. The chip is electrically connected to an external circuit via the patterned conductive layer. Heat generated by the chip is transferred to external surroundings via the patterned conductive layer and the insulating substrate. | 06-13-2013 |
20130146914 | METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING APPARATUS AND SEMICONDUCTOR LIGHT EMITTING APPARATUS - A method for manufacturing a semiconductor light emitting apparatus having first semiconductor layer and second semiconductor layer sandwiching a light emitting layer, first and second electrodes provided on respective major surfaces of the first semiconductor and second semiconductor layers to connect thereto, stacked dielectric films having different refractive indexes provided on portions of the major surfaces not covered by the first and second electrodes, and a protruding portion erected on at least a portion of a rim of at least one of the first and second electrodes. The mounting member includes a connection member connected to at least one of the first and second electrodes. The method includes causing the semiconductor light emitting device and a mounting member to face each other, and causing the connection member to contact and join to the at least one of the first and second electrodes using the protruding portion as a guide. | 06-13-2013 |
20130146915 | LIGHT EMITTING DIODE AND FLIP-CHIP LIGHT EMITTING DIODE PACKAGE - A light emitting diode including a first doped layer, a light emitting layer, a second doped layer and a substrate is provided. A plurality of first grooves penetrate through the second doped layer and the light emitting layer. Thus, a partial surface of the first doped layer is exposed. At least one of the plurality of first grooves extends to edges of the second dope layer and the light emitting layer. An insulating layer is disposed over a part of second doped layer and extends to sidewalls of the first grooves. A first contact is set in the first grooves and electrically connected to the first doped layer. A second contact is set on the second doped layer and electrically connected to the second doped layer. By the first grooves, the first contact can be electrically connected to the first doped layer for improving current spreading. | 06-13-2013 |
20130146916 | NITRIDE SEMICONDUCTOR ULTRAVIOLET LIGHT-EMITTING DEVICE - A nitride semiconductor ultraviolet light-emitting device includes at least one first conductivity-type nitride semiconductor layer, a nitride semiconductor emission layer, at least one second conductivity-type nitride semiconductor layer and a transparent conductive film of crystallized Mg | 06-13-2013 |
20130146917 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes: a semiconductor lamination including a first semiconductor layer of a first conductivity type, an active layer formed on the first semiconductor layer, and a second semiconductor layer of a second conductivity type formed on the active layer; a rhodium (Rh) layer formed on one surface of the semiconductor lamination; a light reflecting layer containing Ag, formed on the Rh layer and having an area smaller than the Rh layer; and a cap layer covering the light reflecting layer. Migration of Ag is suppressed. | 06-13-2013 |
20130146918 | Yttrium aluminum garnet phosphor, method for preparing the same, and light-emitting diode containing the same - The present invention relates to yttrium aluminum garnet phosphor, a method of preparing the same and a light-emitting diode containing the same. The yttrium aluminum garnet phosphor of the present invention is represented by the following formula (I): | 06-13-2013 |
20130146919 | RADIATION-EMITTING COMPONENT - A radiation-emitting component includes a semiconductor layer stack having an active region that emits electromagnetic radiation, and at least one surface of the semiconductor layer stack or of an optical element that transmits the electromagnetic radiation wherein the surface has a normal vector, wherein on the at least one surface of the semiconductor layer stack or of the optical element through which the electromagnetic radiation passes, an antireflection layer is arranged such that, for a predetermined wavelength, it has a minimum reflection at a viewing angle relative to the normal vector of the surface at which an increase in a zonal luminous flux of the electromagnetic radiation has approximately a maximum. | 06-13-2013 |
20130146920 | ULTRAVIOLET LIGHT EMITTING DEVICE - The ultraviolet light emitting device includes a substrate; a light emitting structure on the substrate, and including a plurality of compound semiconductors, each including at least a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer; a first electrode layer on the first conductive semiconductor layer; and a second electrode layer on the second conductive semiconductor layer. The first electrode layer is spaced apart from a side surface of the active layer, and is provided along a peripheral portion of the active layer. At least one of the first and second electrode layers is a reflective layer. | 06-13-2013 |
20130146921 | LIGHT EMITTING DEVICE AND FABRICATING METHOD THEREOF - A light emitting device and a fabricating method thereof are described. The light emitting device includes a substrate, a light emitting chip, a tubular structure, and a fluorescent conversion layer. The tubular structure is formed on a surface of the substrate. The light emitting chip is disposed on the surface of the substrate and is surrounded by the tubular structure. The fluorescent conversion layer is disposed in the tubular structure and covers the light emitting chip. A ratio of a maximal vertical thickness and a maximal horizontal thickness of the fluorescent conversion layer at the light emitting chip is between 0.1 and 10. A distance for the light ray to pass through the fluorescent conversion layer is controlled by using the tubular structure, so as to solve a problem of the conventional art that fluorescent powder coating package technique results in non-uniform color temperature of the emitted light. | 06-13-2013 |
20130146922 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The light emitting device includes a first conductive type semiconductor layer; an active layer on the first conductive type semiconductor layer; and a first electrode pad including a plurality of reflective layers on the first conductive type semiconductor layer. | 06-13-2013 |
20130146923 | LIGHT EMITTING APPARATUS - Provided is a light emitting apparatus. The light emitting apparatus includes a substrate; a light emitting device on the substrate; a fluorescent layer formed on the substrate and the light emitting device to surround the light emitting device; an encapsulant resin layer formed on the substrate and the fluorescent layer to surround the fluorescent layer; and a lens disposed on the light emitting device and supported by the substrate, wherein the lens includes a lens body having a first recess formed at a center of a top surface of the lens body and a second recess formed at a center of a bottom surface of the lens body, and a lens supporter provided at the bottom surface of the lens body to support the lens body such that the lens body is spaced apart from the substrate. | 06-13-2013 |
20130146924 | LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a semiconductor light emitting element, a mounting member, a first wavelength conversion layer, and a first transparent layer. The semiconductor light emitting element emits a first light. The semiconductor light emitting element is placed on the mounting member. The first wavelength conversion layer is provided between the semiconductor light emitting element and the mounting member in contact with the mounting member. The first wavelength conversion layer absorbs the first light and emits a second light having a wavelength longer than a wavelength of the first light. The first transparent layer is provided between the semiconductor light emitting element and the first wavelength conversion layer in contact with the semiconductor light emitting element and the first wavelength conversion layer. The first transparent layer is transparent to the first light and the second light. | 06-13-2013 |
20130146925 | LIGHT EMITTING DIODE HAVING DISTRIBUTED BRAGG REFLECTOR - A light-emitting diode (LED) according to an exemplary embodiment includes a light-emitting structure arranged on a first surface of a substrate, the light-emitting structure including a first conductivity-type semiconductor layer, a second conductivity-type semiconductor layer, and an active layer interposed between the first conductivity-type semiconductor layer and the second conductivity-type semiconductor layer. A first distributed Bragg reflector is arranged on a second surface of the substrate opposite to the first surface, the first distributed Bragg reflector to reflect light emitted from the light-emitting structure. The first distributed Bragg reflector has a reflectivity of at least 90% with respect to blue, green, and red light. | 06-13-2013 |
20130146926 | ILLUMINATING APPARATUS - Provided is a lighting apparatus that is suitable as a substitute for a conventional halogen lamp when positively utilizing leaked light. The lighting apparatus comprises: a heat dissipator | 06-13-2013 |
20130146927 | METHOD FOR COATING PHOSPHOR, APPARATUS TO PERFORM THE METHOD, AND LIGHT EMITTING DIODE COMPRISING PHOSPHOR COATING LAYER - A method of forming a phosphor coating layer on a light emitting diode (LED) chip using electrophoresis includes separating phosphor particles in a suspension according to a particle size, and coating the phosphor particles on a surface of the LED chip by sequentially depositing the separated phosphor particles on the surface of the LED chip according to the particle size. An apparatus to form a phosphor coating layer on an LED chip includes an electrophoresis bath to accommodate a suspension containing phosphor particles separated into layers according to a particle size, and electrodes disposed inside the electrophoresis bath. The electrodes may include a cathode electrode on which the LED chip may be arranged, and an anode electrode. | 06-13-2013 |
20130146928 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A nitride semiconductor light-emitting element | 06-13-2013 |
20130146929 | LIGHT EMITTING DIODE - Disclosed is a light emitting diode (LED) comprising a light emitting stacked structure and an electrode structure formed to have a pattern on the light emitting stacked structure. The electrode structure of the LED includes a cluster of reflectors disposed along the pattern on the light emitting stacked structure, and a pad material layer formed to entirely cover the reflectors. | 06-13-2013 |
20130146930 | PHOSPHOR AND LIGHT EMITTING DEVICE - The present invention provides a phosphor emitting green fluorescence when being effectively excited by excitation light in a wavelength range from blue light to near-ultraviolet light, having an emission intensity that does not vary significantly with variation in the wavelength of the excitation light, and being manufactured easily. The phosphor includes a chemical structure represented by the following general formula (A): | 06-13-2013 |
20130153944 | SEMICONDUCTOR PACKAGE STRUCTURE - A semiconductor package structure includes an insulating substrate, a patterned conductive layer, a light emitting diode (LED) chip and a conductive connection part. The insulating substrate has an upper surface divided into an element configuration region and an element bonding region. The patterned conductive layer includes plural circuits located in the element configuration region and at least one bonding pad located in the element bonding region. The LED chip is flip chip bonded on the patterned conductive layer and electrically connected to the circuits. The conductive connection part has a first end point electrically connected to the bonding pad and a second end point electrically connected to an external circuit. The bonding pad and a corner of the LED chip are disposed correspondingly. A horizontal distance between an apex of the corner and the first end point of the conductive connection part is greater than or equal to 30 micrometers. | 06-20-2013 |
20130153945 | LIGHT-EMITTING ELEMENT MOUNTING PACKAGE, LIGHT-EMITTING ELEMENT PACKAGE, AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a light-emitting element mounting package including laminating a metallic layer on an insulating layer; forming a light-emitting element mounting area which includes a pair of electroplating films formed by electroplating using the metallic layer as a power supply layer on the metallic layer; forming a light-emitting element mounting portion in which a plurality of wiring portions are separated by predetermined gaps, by removing predetermined portions of the metallic layer, wherein, in the forming the light-emitting element mounting portion, the metallic layer is removed so that one of the pair of electroplating films belongs to one wiring portion of the plurality of wiring portions and another of the pair of electroplating films belongs to another wiring portion adjacent to the one wiring portion. | 06-20-2013 |
20130153946 | LIGHT-EMITTING ELEMENT MOUNTING PACKAGE, LIGHT-EMITTING ELEMENT PACKAGE, AND METHOD OF MANUFACTURING THESE - A light-emitting element mounting package includes a light-emitting element mounting portion that includes a plurality of wiring portions arranged interposing a predetermined gap between the wiring portions facing each other, and an insulating layer on which the light-emitting element mounting portion is mounted, wherein an upper surface of the light-emitting element mounting portion is exposed on the insulating layer, wherein cutout portions are formed on lower sides of side edges of the wiring portions and contact the insulating layer. | 06-20-2013 |
20130153947 | LIGHT-EMITTING DEVICE - A light-emitting device, a method of fabricating the light-emitting device, a light-emitting device package and a lighting system are provided. The light-emitting device may include a substrate | 06-20-2013 |
20130153948 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light emitting device includes: a support substrate; a metal layer provided on the support substrate; a semiconductor layer provided on the metal layer and including a light emitting layer; a contact layer containing a semiconductor, selectively provided between the semiconductor layer and the metal layer, and being in contact with the semiconductor layer and the metal layer; and an insulating film provided between the semiconductor layer and the metal layer at a position not overlapping the contact layer. | 06-20-2013 |
20130161669 | LIGHT-EMITTING DIODE WITH CURRENT DIFFUSION STRUCTURE AND A METHOD FOR FABRICATING THE SAME - An LED with a current diffusion structure comprises an N-type semiconductor layer, a light emitting layer, a P-type semiconductor layer, an N-type electrode, a P-type electrode and a current blocking layer. The N-type semiconductor layer, light emitting layer and P-type semiconductor layer form a sandwich structure. The N-type and P-type electrodes are respectively arranged on the N-type and P-type semiconductor layers. The current blocking layer has the pattern of the N-type electrode and is embedded inside the N-type semiconductor layer. Thereby not only current generated by the N-type electrode detours the current blocking layer and uniformly passes through the light emitting layer, but also prevents interface effect to increase impedance. Thus is promoted lighting efficiency of LED. Further, as main light-emitting regions of the light emitting layer are far from the N-type electrode, light shielded by the N-type electrode is reduced and illumination of LED is thus enhanced. | 06-27-2013 |
20130161670 | LIGHT EMITTING DIODE PACKAGES AND METHODS OF MAKING - Light emitting, diode (LED) packages and processes with improved heat dissipation. In certain embodiments, only metal solder resides in the space between the leadframe and the circuit board, providing good heat conduction from the LED chip to the circuit board. In certain embodiments, sidewalls of the leadframe are tilted to provide improved light emission. | 06-27-2013 |
20130161671 | LIGHT EMITTING DIODE WITH SIDEWISE LIGHT OUTPUT STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A light emitting diode (LED) includes a substrate, an electrode structure positioned on the substrate, an LED component electrically connected to the electrode structure, and a lens structure positioned on the substrate and covering the LED component. The lens structure includes a rugged structure adjacent to the substrate; the roughness of the rugged structure decreases gradually along a direction from a center of the lens structure center toward a peripheral edge thereof. The present disclosure also provides a method for manufacturing the LED light source. | 06-27-2013 |
20130161672 | LIGHT EMITTING DIODE PACKAGE WITH IMPROVED OPTICAL LENS STRUCTURE - An LED package includes a substrate, two electrodes, an LED die and a lens. The substrate includes a top surface, a bottom surface, a plurality of side surfaces interconnecting the top surface with the bottom surface, and two opposite notches depressed downward from lateral peripheral portions of the top surface. The two electrodes penetrate through the substrate, and each of the two electrodes is exposed at both the top surface and the bottom surface of the substrate. The LED die is arranged on the substrate and electrically connected to the two electrodes. The lens is arranged on the substrate and covers the LED die. The lens includes a contacting surface adjoining the top surface of the substrate, and two protrusions extending from lateral peripheral portions of the contacting surface and respectively embedded in the two notches. | 06-27-2013 |
20130161673 | LIGHT EMITTING DIODE PACKAGE HAVING FLUORESCENT FILM DIRECTLY COATED ON LIGHT EMITTING DIODE DIE AND METHOD FOR MANUFACTURING THE SAME - A method for packaging an LED, includes steps: providing a supporting board and then dripping a gel mixed with fluorescent therein on the supporting board; scraping the gel over the supporting board with a scraper form a gelatinous fluorescent film on the supporting board, and solidifying the gelatinous fluorescent film pieces to form a solidified fluorescent film; cutting the solidified fluorescent film into individual pieces, and peeling the solid fluorescent films from the supporting board; attaching one piece of the fluorescent film on a light outputting surface of an LED die; mounting the LED die on a substrate, and electrically connecting the LED die to the circuit structure; and forming an encapsulation on the substrate to cover the LED die. | 06-27-2013 |
20130161674 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING SAME - A semiconductor light emitting element includes a stacked body, a metal reflection layer and a metal pad portion. The stacked body is made of In | 06-27-2013 |
20130161675 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device. The light emitting device comprises a light emitting structure comprising a plurality of compound semiconductor layers; and a light extraction structure on the light emitting structure. The light extraction structure comprises a plurality of first layers and a plurality of second layers which are alternately disposed with each other to have a negative refraction index. | 06-27-2013 |
20130161676 | GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE - The invention provides a Group III nitride semiconductor light-emitting device which has a light extraction face at the n-layer side and which provides high light emission efficiency. The light-emitting device is produced through the laser lift-off technique. The surface of the n-GaN layer of the light-emitting device is roughened. On the n-GaN layer, a transparent film is formed. The transparent film satisfies the following relationship: 0.28≦n×d | 06-27-2013 |
20130161677 | INTEGRATED POLARIZED LIGHT EMITTING DIODE WITH A BUILT-IN ROTATOR - The invention is directed to an integrated polarized light emitting diode device that has a light emitting diode, a metal grating, an oxide layer, and a built-in photonic crystal rotator. Additional teachings include a method for making the integrated polarized light emitting diode, a method for improving the polarization selectivity and energy efficiency of a light emitting diode, and a method for rotating polarization of a light emitting diode. | 06-27-2013 |
20130161678 | SURFACE-TREATED FLUORESCENT MATERIAL AND PROCESS FOR PRODUCING SURFACE-TREATED FLUORESCENT MATERIAL - Provided are a surface treated phosphor having high dispersibility and remarkably improved moisture resistance without degradation in fluorescence properties, and a method of producing the surface treated phosphor. | 06-27-2013 |
20130161679 | ELECTROLUMINESCENT ELEMENT, ELECTROLUMINESCENT ELEMENT MANUFACTURING METHOD, DISPLAY DEVICE, AND ILLUMINATION DEVICE - An electroluminescent element ( | 06-27-2013 |
20130168712 | Organic Light-Emitting Display Apparatus and Method of Manufacturing the Same - An organic light-emitting display apparatus includes: a substrate; a pixel electrode disposed on the substrate; a counter electrode disposed on the pixel electrode and capable of transmitting light; an organic emission layer disposed between the pixel electrode and the counter electrode so as to emit light toward at least the counter electrode; and a light-transmitting layer disposed on the counter electrode along a path of light emitted from the organic emission layer and including at least one inorganic film and organic films separated by the inorganic film. At least two of the organic films each include a first material having a first refractive index and a second material having a second refractive index. The first refractive index is greater than the second refractive index, and the first material is dispersed in the second material in the form of plurality of particles. | 07-04-2013 |
20130168713 | LED DEVICE HAVING UNIFORM DISTRIBUTION OF LIGHT INTENSITY OF LIGHT FILED - An LED device includes a substrate having a top surface, an LED chip arranged on the top surface of the substrate, an encapsulant arranged on the top surface of the substrate and covering the LED chip, and an optical element arranged over the encapsulant. The optical element includes a light input surface adjacent to the encapsulant and a light output surface opposite to the light input surface. The refractive index of the optical element is larger than that of the encapsulant. | 07-04-2013 |
20130168714 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - A light emitting diode package structure is provided, including a substrate, a seal assembly, an optical element, at least one light emitting diode chip, and a packaging material layer. The seal assembly is disposed on the substrate. The optical element is disposed on the seal assembly, and an enclosed space is formed between the optical element, the seal assembly, and the substrate. The light emitting diode chip is disposed on the substrate and located in the enclosed space. The packaging material layer is located in the enclosed space and at least disposed on an upper surface of the light emitting diode chip, wherein the packaging material layer includes a liquid with high viscosity and a plurality of solid particles, and the viscosity of the liquid with high viscosity is more than 3000 mPa·s. | 07-04-2013 |
20130168715 | LIGHT EMITTING ELEMENT - A light emitting element includes a resonator structure which has a first reflecting member, a second reflecting member, and a light emission layer placed between the first reflecting member and the second reflecting member, and part of light resonated between the first reflecting member and the second reflecting member is transmitted through the first reflecting member or the second reflecting member in the resonator structure. A wavelength at which a resonator output spectrum from the resonator structure has a maximum value is located between a wavelength at which an inner light emission spectrum of the light emission layer has a maximum value and a wavelength at which relative luminous efficiency has a maximum value. | 07-04-2013 |
20130168716 | LIGHT EMITTING DIODE ASSEMBLY HAVING A DEFORMABLE LENS - A light emitting diode assembly includes a base, a light emitting chip mounted on the base, an elastic lens covering the light emitting chip, two rotation members rotatably arranged on the base, and two stopper poles fixed on the base. The two rotation members are capable of driving the elastic lens to rotate with respect to the two stopper poles. The stopper poles compress the elastic lens to cause the elastic lens to deform resiliently when the elastic lens is rotated by the rotation members to engage with the stopper poles. | 07-04-2013 |
20130168717 | ENCAPSULATING SHEET, PRODUCING METHOD OF OPTICAL SEMICONDUCTOR DEVICE, OPTICAL SEMICONDUCTOR DEVICE, AND LIGHTING DEVICE - An encapsulating sheet, encapsulating an optical semiconductor element, includes a first layer which contains a phosphor and a second layer which contains a phosphor, is laminated on the first layer, and encapsulates the optical semiconductor element. The ratio of the volume of the phosphor in the first layer to that of the phosphor in the second layer is 90:10 to 55:45. | 07-04-2013 |
20130168718 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LED MODULE - A semiconductor light emitting device includes a semiconductor laminate including first and second conductivity-type semiconductor layers and an active layer formed therebetween, and divided into first and second regions. At least one contact hole is formed on the first region and connected to a portion of the first conductivity-type semiconductor layer through the active layer. A first electrode is formed to be connected to the first conductivity-type semiconductor layer of the first region and connected to the second conductivity-type semiconductor layer of the second region through the at least one contact hole. A second electrode is formed and connected to the second conductivity-type semiconductor layer of the first region. First and second electrode pads and a support substrate are formed. | 07-04-2013 |
20130168719 | METHOD FOR FORMING A LIGHT CONVERSION MATERIAL - A method and system for manufacturing a light conversion structure for a light emitting diode (LED) is disclosed. The method includes forming a transparent, thermally insulating cover over an LED chip. The method also includes dispensing a conversion material onto the cover to form a conversion coating on the cover, and encapsulating the LED, the silicone cover, and the conversion coating within an encapsulant. Additional covers and conversion coatings can be added. | 07-04-2013 |
20130168720 | Optoelectronic Device - An optoelectronic component includes at least one radiation-emitting semiconductor element. At least one converter element is used to convert the electromagnetic radiation emitted by the semiconductor element. At least one filter element, which includes filter particles or is formed by the same, scatters and/or absorbs at least one pre-definable wavelength range of the electromagnetic radiation emitted by the semiconductor element more strongly than a wavelength range that is different from the predefined wavelength range. The filter particles have a d50 value, measured in Q0, of at least 0.5 nm to no more than 500 nm and/or the filter particles are designed at least in some areas in a thread-like manner and in a thread-like region have a diameter that is at least 0.5 nm and no more than 500 nm. | 07-04-2013 |
20130168721 | LIGHT EMITTING DEVICE - A light emitting device includes a light transmissive substrate, a semiconductor layer formed on the substrate, and having an n-type layer, a light emitting layer, and a p-type layer, a reflective electrode formed on the semiconductor layer, and reflecting light from the light emitting layer toward the substrate, a barrier electrode formed on the reflective electrode, and a cover electrode formed on the barrier electrode. The reflective electrode includes a Ag layer, the cover electrode includes an layer, and the barrier electrode reduces interdiffusion between Ag and Al. | 07-04-2013 |
20130175561 | Light-Emitting Device - The invention relates to reducing effect of light that is emitted from a light-emitting element and then enters between a base and a frame member. A light-emitting device ( | 07-11-2013 |
20130175562 | SOLID-STATE RADIATION TRANSDUCER DEVICES HAVING AT LEAST PARTIALLY TRANSPARENT BURIED-CONTACT ELEMENTS, AND ASSOCIATED SYSTEMS AND METHODS - Solid-state radiation transducer (SSRT) devices having buried contacts that are at least partially transparent and associated systems and methods are disclosed herein. An SSRT device configured in accordance with a particular embodiment can include a radiation transducer including a first semiconductor material, a second semiconductor material, and an active region between the first semiconductor material and the second semiconductor material. The SSRT device can further include first and second contacts electrically coupled to the first and second semiconductor materials, respectively. The second contact can include a plurality of buried-contact elements electrically coupled to the second semiconductor material. Individual buried-contact elements can have a transparent portion directly adjacent to the second semiconductor material. The second contact can further include a base portion extending between the buried-contact elements, such as a base portion that is least partially planar and reflective. | 07-11-2013 |
20130175563 | LED CHIP STRUCTURE, PACKAGING SUBSTRATE, PACKAGE STRUCTURE AND FABRICATION METHOD THEREOF - An LED package structure includes: a substrate having a die attach pad; a first insulating layer formed on the die attach pad and having a plurality of openings; an LED chip having an active surface with a plurality of electrode pads and an inactive surface opposite to the active surface; a second insulating layer formed on the inactive surface and having a plurality of openings, wherein the LED chip is disposed on the substrate with the openings of the second insulating layer corresponding in position to the openings of the first insulating layer; and a plurality of metallic thermal conductive elements formed in the openings of the first insulating layer and the corresponding openings of the second insulating layer, thereby effectively alleviating the conventional problem of thermal stresses induced by a mismatch in CTEs of the LED chip and the substrate. | 07-11-2013 |
20130175564 | Optoelectronic Semiconductor Chip and Method for Producing an Optoelectronic Semiconductor Chip - In at least one embodiment, the optoelectronic semiconductor chip comprises a semiconductor layer sequence for generating an electromagnetic radiation, and also a silver mirror. The silver mirror is arranged at the semiconductor layer sequence. Oxygen is admixed with the silver of the silver mirror. A proportion by weight of the oxygen in the silver mirror is preferably at least 10 | 07-11-2013 |
20130175565 | LIGHT EMITTING DIODES WITH ENHANCED THERMAL SINKING AND ASSOCIATED METHODS OF OPERATION - Solid state lighting devices and associated methods of thermal sinking are described below. In one embodiment, a light emitting diode (LED) device includes a heat sink, an LED die thermally coupled to the heat sink, and a phosphor spaced apart from the LED die. The LED device also includes a heat conduction path in direct contact with both the phosphor and the heat sink. The heat conduction path is configured to conduct heat from the phosphor to the heat sink. | 07-11-2013 |
20130175566 | NITRIDE-BASED SEMICONDUCTOR LIGHT-EMITTING ELEMENT - A nitride-based semiconductor light-emitting element includes a substrate and a nitride semiconductor multilayer structure. The nitride semiconductor multilayer structure includes a nitride semiconductor active layer which emits polarized light. Angle θ, which is formed by at least one of the plurality of lateral surfaces of the substrate with respect to the principal surface of the substrate, is greater than 90°. Angle θ | 07-11-2013 |
20130175567 | LIGHT EMITTING DEVICE PACKAGE AND METHOD OF FABRICATING THE SAME - A light emitting device package and a method of manufacturing the light emitting device package are provided. A base is first provided and a hole is formed on the base. After a light emitting portion is formed on the base, a mold die is placed on the light emitting portion and a molding material is injected through the hole. The mold die is removed to complete the package. | 07-11-2013 |
20130175568 | METHOD FOR MANUFACTURING SEMICONDUCTOR BASE MATERIAL, SEMICONDUCTOR - In forming an etching mask for forming a repetitive concave-convex pattern on a surface of a substrate of a semiconductor base material by exposure development of a resist film, the present invention prevents a development pattern from being deformed due to excessive exposure on a part where exposure regions are adjacent to each other in the resist film by repetitive exposure. In a method for manufacturing a semiconductor base material, when forming an etching mask for forming a concave-convex portion on a surface of the semiconductor base material, by a photolithography process of the resist film, a transfer mask is used, as a transfer mask | 07-11-2013 |
20130181241 | METHOD OF MOLDING STRUCTURES IN A PLASTIC SUBSTRATE - A method of manufacturing a substrate, characterized by a first surface and a second surface, for use in a semiconductor device is provided. The method includes providing a mold having a first template and/or a second template corresponding to a first texture and a second texture respectively. Then, the method includes injection molding a material for the substrate in the mold, to form the substrate, such that the material is injection molded to create the first texture on the first surface and/or the second texture on the second surface. The first texture and/or the second texture facilitate light extraction or light trapping in the semiconductor device. | 07-18-2013 |
20130181242 | ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR MANUFACTURING THEREOF - Disclosed is an organic electroluminescent device and a method for manufacturing thereof, the device including a light emitting part in which a substrate, a first electrode, an organic light emitting layer and a second electrode, and a nano structure including a first opening part randomly distributed between the substrate and the first electrode, wherein the nano structure includes at least anyone of polyimide, epoxy, polycarbonate, PVC, PVP, polyethylene, polyacryl and perylene, each having a refractive index in the range of 1.3˜1.5, whereby a light extraction can be improved by restricting a reflective light from an interface between the substrate and the first electrode. | 07-18-2013 |
20130181243 | Solid State Lighting Device - A solid state lighting device, including: a housing, which has a reflective cup inside; a solid state light source, placed inside the housing; a transparent adhesive material, used to seal the solid state light source in the housing; and a multi-layer fluorescent structure, placed on the transparent adhesive material and having a fluorescent layer or a phosphor layer sandwiched by two transparent adhesive layers, so as to absorb light beams from the solid state light source and then emit light of longer wavelengths. | 07-18-2013 |
20130181244 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF FORMING ELECTRODE - A semiconductor light-emitting device having an electrode that can be manufactured by a simple method and is unlikely to deteriorate, and a method for forming the electrode are provided. The semiconductor light-emitting device according to the present invention has a semiconductor layered structure having a light-emitting layer that emits light by supplying electric power and an electrode formed on the semiconductor layered structure. The electrode has a reflection layer that reflects light exiting from the light-emitting layer, a barrier layer formed on the upper side and side surface of the reflection layer, and a pad layer formed only on the top surface of the barrier layer. | 07-18-2013 |
20130181245 | LIGHT-EMITTING DEVICE - A light-emitting device including: a light-emitting stacked layer having first conductivity type semiconductor layer, a light-emitting layer formed on the first conductivity type semiconductor layer, and a second conductivity type semiconductor layer formed on the light-emitting layer, wherein the upper surface of the second conductivity type semiconductor layer is a textured surface; a first planarization layer formed on a first part of the upper surface of the second conductivity type semiconductor layer; a first transparent conductive oxide layer formed on the first planarization layer and a second part of the second conductivity type semiconductor layer, including a first portion in contact with the first planarization layer and a second portion having a first plurality of cavities in contact with the second conductivity type semiconductor layer;; and a first electrode formed on the first portion of the first transparent conductive oxide layer. | 07-18-2013 |
20130181246 | ILLUMINATING DEVICE WITH LED SURFACE LIGHT SOURCE COVERED WITH OPTICAL FILM - The present invention provides an LED light source, and particularly provides an illuminating device with an LED surface light source covered with an optical film. The device includes: an LED point light source, an illuminator, and a heat sink; wherein the illuminator is an optically transparent solid geometry with an optical film covering the outer surface thereof; wherein at least one outer surface of the solid geometry is an incident surface and at least one outer surface of the solid geometry is an emergence surface; and the optical film is a solid optical medium film; and the LED point light source is fixed on the heat sink, matching with the incident surface of the illuminator. | 07-18-2013 |
20130181247 | Semiconductor Component and Method for Producing a Semiconductor Component - A semiconductor component includes at least one optoelectronic semiconductor chip and a connecting carrier having a connecting surface on which the semiconductor chip is disposed. A reflective coating and a limiting structure are formed on the connecting carrier. The limiting structure at least partially encloses the semiconductor chip in the lateral direction, and the reflective coating at least partially extends in the lateral direction between a side surface of the semiconductor chip and the limiting structure. | 07-18-2013 |
20130181248 | Optoelectronic Semiconductor Component - An optoelectronic semiconductor component comprising a light source, a housing and electrical connections, wherein the light source emits primary radiation having a peak wavelength in the range of 420 to 460 nm and having a flank of the primary emission which extends into the range less than 420 nm, wherein the radiation of the flank range or of part thereof is converted into visible radiation by an additive phosphor. | 07-18-2013 |
20130187179 | LIGHT EMITTING DIODE WITH IMPROVED DIRECTIONALITY - A light emitting diode (LED) is provided that includes a host substrate formed from a first material, an n-type layer formed over the host substrate, an active region formed over the n-type layer, and a p-type layer formed over the active region. A layer is formed adjacent to the host substrate and includes a second material, the second material being different from the first material or having a refractive index different from a refractive index of the first material. Further, the second material is formed with a tapered outwards sidewall profile. | 07-25-2013 |
20130187180 | LIGHT EMITTING DIODE FOR PLANT GROWTH - The present invention is a lighting device for plant growth, it is composed of a blue LED chip as the light source with different colors of phosphor powders. The wave length of blue chip falls in the range of 440-460 nm which stimulates stoma opening to absorb carbon dioxide. By formulating the right recipe of phosphors, the emitted light will have the spectra very close to that of light necessary for plant photosynthesis. It has the combined functions of good plant photosynthesis, stoma opening stimulation and some photomorphogenesis in one single device. It is a very prominent invention of artificial lights for plant growth ever seen. | 07-25-2013 |
20130187181 | SEMICONDUCTOR LIGHT-RECEIVING DEVICE - A semiconductor light-receiving device includes two lenses; and a concave region, a height of the sidewall being higher than a top of the lenses, a distance between a position H and a lower edge of the sidewall vertical to a line segment C | 07-25-2013 |
20130187182 | WIRING SUBSTRATE, LIGHT EMITTING DEVICE, AND MANUFACTURING METHOD OF WIRING SUBSTRATE - There is provided a wiring substrate. The wiring substrate includes: a heat sink; an insulating member on the heat sink; a wiring pattern embedded in the insulating member and including a first surface and a second surface opposite to the first surface, the second surface contacting the insulating member; and a metal layer on the first surface of the wiring pattern, wherein an exposed surface of the metal layer is flush with an exposed surface of the insulating member. | 07-25-2013 |
20130187183 | LIGHT-EMITTING DIODE CHIP - A light-emitting diode chip is specified, comprising
| 07-25-2013 |
20130187184 | WAVELENGTH CONVERTED LIGHT EMITTING DEVICE - Embodiments of the invention include a semiconductor light emitting device ( | 07-25-2013 |
20130187185 | Electronic Article and Method of Forming - An electronic article includes an optoelectronic semiconductor having a refractive index of 3.7±2 and a dielectric layer disposed on the optoelectronic semiconductor. The dielectric layer has a thickness of at least 50 μm and a refractive index of 1.4±0.1. The electronic article includes a gradient refractive index coating (GRIC) that is disposed on the optoelectronic semiconductor and that has a thickness of from 50 to 400 nm. The refractive index of the GRIC varies along the thickness from 2.7±0.7 to 1.5±0.1. The GRIC also includes a gradient of a carbide and an oxycarbide along the thickness. The carbide and the oxycarbide each independently include at least one silicon or germanium atom. The article is formed by continuously depositing the GRIC using plasma-enhanced chemical vapor deposition in a dual frequency configuration and subsequently disposing the dielectric layer on the GRIC. | 07-25-2013 |
20130193465 | PHOSPHOR PLACEMENT IN WHITE LIGHT EMITTING DIODE ASSEMBLIES - A white LED assembly includes a blue LED die attached to a substrate. A first volume of a first luminescent material surrounds the blue LED die in a lateral dimension such that none of the first luminescent material is disposed directly over the blue LED die. The first luminescent material includes a relatively inefficient phosphor having a peak emission wavelength longer than 620 nm and includes substantially no phosphor having a peak emission wavelength shorter than 620 nm. A second volume of a second luminescent material is disposed over the first volume and the blue LED die. The second luminescent material includes a relatively efficient phosphor having a peak emission wavelength shorter than 620 nm and includes substantially no phosphor having a peak emission wavelength longer than 620 nm. Placement of the first and second luminescent materials in this way promotes removal of heat from the inefficient phosphor and reduces the likelihood of interabsorption. | 08-01-2013 |
20130193466 | METHOD OF FORMING A LIGHT EMITTING DIODE STRUCTURE AND A LIGHT DIODE STRUCTURE - A method of forming a vertical III-nitride based light emitting diode structure and a vertical III-nitride based light emitting diode structure can be provided. The method comprises forming a III-nitride based light emitting structure on a silicon-on-insulator (SOI) substrate; forming a metal-based electrode structure on the III-nitride based light emitting structure; and removing the SOI substrate by a layer transfer process such that the metal-based electrode structure functions as a metal-based substrate of the light emitting structure. | 08-01-2013 |
20130193467 | SEMICONDUCTOR LIGHT-EMITTING DEVICE, METHOD FOR MANUFACTURING THE SAME, AND LIGHT-EMITTING APPARATUS INCLUDING THE SAME - A nitride semiconductor light-emitting device includes a layered portion emitting light on a substrate. The layered portion includes an n-type semiconductor layer, an active layer, and a p-type semiconductor layer. The periphery of the layered portion is inclined, and the surface of the n-type semiconductor layer is exposed at the periphery. An n electrode is disposed on the exposed surface of the n-type semiconductor layer. This device structure can enhance the emission efficiency and the light extraction efficiency. | 08-01-2013 |
20130193468 | SUBMOUNT BASED SURFACE MOUNT DEVICE (SMD) LIGHT EMITTER COMPONENTS AND METHODS - Submount based surface mount design (SMD) light emitter components and related methods are disclosed. In some aspects, light emitter components can include a submount with a first side having a first surface area, first and second electrical contacts disposed on the first side of the submount, and at least one light emitter chip on the first side. In some aspects, the electrical contact area can be less than half of the first surface area of the first side of the submount. Components disclosed herein can include low profile parts or domes where a ratio between a dome height and a dome width is less than 0.5. A method of providing components can include providing a panel of material and LED chips, dispensing a liquid encapsulant material over the panel, and singulating the panel into individual submount based components after the encapsulant material has hardened. | 08-01-2013 |
20130193469 | OPTOELECTRONIC COMPONENT - An optoelectronic component includes a semiconductor chip, and a phosphor at least partly surrounding the semiconductor chip, wherein 1) the semiconductor chip emits a primary radiation in a short-wave blue spectral range at a dominant wavelength of less than approximately 465 nm, and wherein the phosphor converts at least part of the primary radiation into a longer-wave secondary radiation in a green spectral range at a dominant wavelength of approximately 490 nm to approximately 550 nm, and 2) a mixed light composed of primary radiation and secondary radiation has a dominant wavelength at wavelengths of approximately 460 nm to approximately 480 nm such that luminous flux of the mixed light is up to 130% greater than luminous flux of an optoelectronic component without a phosphor having the same dominant wavelength of 460 nm to 460 nm. | 08-01-2013 |
20130193470 | Optoelectronic Component and Method for Producing an Optoelectronic Component - An optoelectronic component includes a protective layer including a material containing hydrophobic groups. Furthermore, a method is described, by means of which an optoelectronic component can be produced, and in which a protective layer including hydrophobic groups is applied. | 08-01-2013 |
20130193471 | III NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A III nitride semiconductor light emitting device with improved light emission efficiency achieved without significantly increasing forward voltage by achieving both good ohmic contact between an electrode and a semiconductor layer, and sufficient functionality of a reflective electrode layer, and a method for manufacturing the same. The III nitride semiconductor light emitting device has a III nitride semiconductor laminate including an n-type semiconductor layer, a light emitting layer, and a p-type semiconductor layer; an n-side electrode, a p-side electrode; and a composite layer having a reflective electrode portion and a contact portion made of Al | 08-01-2013 |
20130193472 | MN-ACTIVATED PHOSPHORS - The invention relates to compounds of the general formula (I): Lu | 08-01-2013 |
20130200411 | OPTOELECTRONIC COMPONENT - An optoelectronic component includes a circuit board having a top side with a chip connection region, an optoelectronic semiconductor chip fixed to the chip connection region, a housing body fixed to the circuit board at the top side of the circuit board and having a reflector region, wherein the reflector region includes an opening in the housing body, the optoelectronic semiconductor chip being arranged in the opening, and the housing body is formed with a plastics material which is metallized at least in selected locations in the reflector region. | 08-08-2013 |
20130200412 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT - An optoelectronic semiconductor component includes a carrier and at least one optoelectronic semiconductor chip mounted on the carrier top. The semiconductor component includes at least one bonding wire, via which the semiconductor chip is electrically contacted, and at least one covering body mounted on a main radiation side and projects beyond the bonding wire. At least one reflective potting compound encloses the semiconductor chip laterally and extends at least as far as the main radiation side of the semiconductor chip. The bonding wire is covered completely by the reflective potting compound or completely by the reflective potting compound together with the covering body. | 08-08-2013 |
20130200413 | HEAT-CURABLE SILICONE RESIN SHEET HAVING PHOSPHOR-CONTAINING LAYER AND PHOSPHOR-FREE LAYER, METHOD OF PRODUCING LIGHT EMITTING DEVICE UTILIZING SAME AND LIGHT EMITTING SEMICONDUCTOR DEVICE OBTAINED BY THE METHOD - Provided is a heat-curable silicone resin sheet that is able to easily uniformly disperse phosphors on an LED element surface, a method of producing a light emitting device utilizing the same and an encapsulated light emitting semiconductor device obtained by the method utilizing the same. The heat-curable silicone resin sheet includes at least the two layers of a layer 1 including a heat-curable silicone resin composition containing phosphors that is in a plastic solid state or a semi-solid state at room temperature, and a layer 2 including a transparent or a semi-transparent heat-curable silicone resin composition that is in a plastic solid state or a semi-solid state at room temperature. | 08-08-2013 |
20130200414 | LIGHT-EMITTING DIODE DEVICE - An encapsulated light-emitting diode device is disclosed. The encapsulated light-emitting diode device includes a circuit carrier including a surface; a light-emitting device including a transparent substrate, the transparent substrate including a first surface and a second surface; a light-emitting diode chip located on the first surface of the transparent substrate; and a first transparent glue covering the light-emitting diode chip and formed on the first surface; wherein the first surface and the surface comprise an included angle larger than zero; wherein the first transparent glue has a circular projection on the first surface and the light-emitting diode chip is substantially located at the center of the circular projection. | 08-08-2013 |
20130200415 | LIGHT EMITTING DIODE DEVICE AND METHOD FOR PRODUCTION THEREOF CONTAINING CONVERSION MATERIAL CHEMISTRY - In one embodiment, a light emitting device comprises: a lighting element located in a housing, wherein the housing is formed from a polymer composition comprising: a polymer material; and a coated conversion material. The coated conversion material is selected from a coated conversion material, coated yttrium aluminum garnet (YAG) doped with rare earth elements, coated terbium aluminum garnet doped with rare earth elements, coated silicate (BOSE) doped with rare earth elements; coated nitrido silicates doped with rare earth elements; coated nitride orthosilicate doped with rare earth elements, coated oxonitridoaluminosilicates doped with rare earth elements; as well as combinations comprising at least one of the foregoing. After the coated conversion material has been exposed to an excitation source, the coated conversion material has a luminescence lifetime of less than 10 | 08-08-2013 |
20130200416 | LED MODULE - The present invention relates to a LED module which converts pump light from a LED chip ( | 08-08-2013 |
20130200417 | RADIATION-EMITTING COMPONENT AND METHOD FOR PRODUCING A RADIATION-EMITTING COMPONENT - A radiation-emitting component including a semiconductor chip having a semiconductor body with an active region that generates a primary radiation, and including a conversion element that at least partly converts the primary radiation, wherein the conversion element is fixed to the semiconductor chip with a connecting layer and a radiation conversion substance is formed in the connecting layer. | 08-08-2013 |
20130200418 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device includes a light emitting structure including a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer. An electrode is on a bottom surface of the light emitting structure and an electrode layer and a conductive support member are disposed on the top surface of the light emitting structure. A recess is recessed from a top surface of the light emitting structure. A transmittive layer is between the light emitting structure and the electrode layer. The transmittive layer includes a first portion having a protrusion disposed in the recess. | 08-08-2013 |
20130200419 | SEMICONDUCTOR LIGHT EMITTING COMPONENT - A semiconductor light emitting component including an epitaxial structure, a first electrode, a second electrode, a first cutout structure and a second cutout structure is provided. The epitaxial structure includes a first type doped layer, a light emitting portion and a second type doped layer. The first electrode is formed on a surface of the first type doped layer. The second electrode is formed on a surface of the second type doped layer. The first cutout structure is formed in the first type doped layer to expose at least a portion of the first electrode. The second cutout structure is formed in the first type doped layer, the light emitting portion and the second type doped layer so as to expose at least a portion of the second electrode. | 08-08-2013 |
20130200420 | CERAMIC-BASED LIGHT EMITTING DIODE (LED) DEVICES, COMPONENTS, AND METHODS - A light emitter device component containing one or more light emitter devices, such as light emitting diodes (LEDs) or LED chips, can include a body that can be ceramic and have a top surface, one or more light emitting devices mounted directly or indirectly on the top surface, and one or more electrical components mounted on the top surface and electrically coupled to the one or more light emitting devices. At least a portion of the top surface of the body to which the light emitting devices are mounted can be modified to have a reduced porosity compared to an as-fired ceramic body. Such components can result in improved adhesion strength and thermal management of the light emitting devices. | 08-08-2013 |
20130207141 | LIGHTING DEVICE INCLUDING MULTIPLE ENCAPSULANT MATERIAL LAYERS - A lighting device includes an electrically activated emitter, a first layer that contains a first encapsulant material, and a second layer that contains a second encapsulant material, with a textured interface between the first layer and the second layer. Additional layers including further encapsulant materials and/or lumiphoric materials may be provided. Multiple textured interfaces may be provided. Textured interfaces may be arranged as lenses, including Fresnel lenses. | 08-15-2013 |
20130207142 | LIGHT EMITTER DEVICES HAVING IMPROVED CHEMICAL AND PHYSICAL RESISTANCE AND RELATED METHODS - Light emitter devices having improved chemical and physical resistance and related methods are disclosed herein. In one embodiment, the light emitter device includes a light emission area with a cavity with one or more light emitting chips disposed within the cavity. The device can further include a filling material at least partially disposed over the one or more light emitting chips. The filling material can include a first discrete layer of phosphor containing material and a second discrete clear barrier layer. The clear barrier layer can include a layer of glass. | 08-15-2013 |
20130207143 | PATTERNED SUBSTRATE OF LIGHT EMITTING SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A patterned substrate of a light emitting semiconductor device has a plurality of convex members on a top surface thereof. Each convex member has a substantially flat top surface and a plurality of convex arc-shaped sidewalls. | 08-15-2013 |
20130207144 | COMPONENT AND METHOD FOR PRODUCING A COMPONENT - A component with an optoelectronic semiconductor chip fixed to a connection carrier by a bonding layer and embedded in an encapsulation, wherein a decoupling layer is arranged at least in places between the bonding layer and the encapsulation. | 08-15-2013 |
20130207145 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT - An optoelectronic semiconductor component includes a carrier with a carrier top, at least one optoelectronic semiconductor chip mounted on the carrier top and having a radiation-transmissive substrate and a semiconductor layer sequence which includes at least one active layer that generates electromagnetic radiation, and a reflective potting material, wherein, starting from the carrier top, the potting material surrounds the semiconductor chip in a lateral direction at least up to half the height of the substrate. | 08-15-2013 |
20130207146 | LIGHT-EMITTING DEVICE - There is realized a light-emitting device that emits, with high efficiency, white light with excellent color rendering index in a lamp color region. A light-emitting device ( | 08-15-2013 |
20130207147 | UV LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - The present disclosure provides a UV light emitting diode and a method of manufacturing the same. The UV light emitting diode includes a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer sequentially formed on a substrate, an electrode formed on the second conductive type semiconductor layer, and an opening formed by removing at least portions of the first conductive type semiconductor layer, the active layer, the second conductive type semiconductor layer, the reflective structure and the transparent electrode to expose a portion of the first conductive type semiconductor layer therethrough. In the UV light emitting diode, UV light is emitted from the active layer, passes through the opening, and then travels outside. | 08-15-2013 |
20130207148 | RADIATION-EMITTING COMPONENT WITH A CONVERTER MATERIAL, WITH A THERMALLY CONDUCTIVE CONTACT AND METHOD FOR THE PRODUCTION THEREOF - A radiation-emitting component includes:
| 08-15-2013 |
20130207149 | SEMICONDUCTOR LIGHT-EMITTING DEVICE HAVING A PHOTONIC CRYSTAL PATTERN FORMED THEREON, AND METHOD FOR MANUFACTURING SAME - The present invention relates to a semiconductor light-emitting device having a two-stage photonic crystal pattern formed thereon, and to a method for manufacturing same. According to the present invention, a second photonic crystal pattern is formed inside a first photonic crystal pattern formed on a semiconductor layer or transparent electrode layer, in order to improve light extraction efficiency. Also, according to the present invention, in order to form a second fine nanoscale photonic crystal pattern in the first photonic crystal pattern, a nanosphere lithography process employing polymer beads is used, and a trapping layer made of a thermoplastic resin was used to conveniently form polymer beads in a single layer so as to eliminate the inconvenience of having to calculate and change process variables according to polymer bead sizes in traditional nanosphere lithography processes. | 08-15-2013 |
20130207150 | NITRIDE-BASED SEMICONDUCTOR LIGHT-EMITTING DEVICE - A nitride-based semiconductor light-emitting device of the present disclosure includes: a semiconductor multilayer structure which includes an active layer that is made of a nitride semiconductor, a principal surface of the nitride semiconductor being a semi-polar plane or a non-polar plane and which has recessed/elevated surfaces including at least either of recessed portions and elevated portions; an electrode covering a side of the semiconductor multilayer structure at which the recessed/elevated surfaces is provided, the electrode being configured to reflect at least part of light emitted from the active layer; and a birefringent substrate provided on a side of the semiconductor multilayer structure which is opposite to the recessed/elevated surfaces, the birefringent substrate being configured to transmit light emitted from the active layer and light reflected by the electrode. | 08-15-2013 |
20130207151 | Optoelectronic Semiconductor Component And Method For Producing Same - An optoelectronic semiconductor component includes a light source, a housing and electrical connections, wherein the light source has a chip which emits primary radiation in the UV or blue region with a peak wavelength in particular in the region of 300 to 490 nm, wherein the primary radiation is partially or completely converted into radiation of a different wavelength by a previously applied conversion element, characterized in that the conversion element has a translucent or transparent substrate, which is manufactured from ceramic or glass ceramic, wherein a glass matrix is applied to the substrate, with a phosphor being embedded in said glass matrix. | 08-15-2013 |
20130214309 | LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF, LIGHT EMITTING DEVICE - The present invention provides an LED and the manufacturing method thereof, and a light emitting device. The LED includes a first electrode, for connecting the LED to a negative terminal of a power supply; a substrate, located on the first electrode; and an LED chip, located on the substrate; in which a plurality of contact holes are formed through the substrate, the contact holes are evenly distributed and filled with electrode plugs connecting the first electrode to the LED chip. The light emitting device includes the LED, and further includes a base and an LED mounted on the base. The manufacturing method includes: providing a substrate; forming on the substrate an LED chip and a second electrode successively; forming a plurality of evenly distributed contact holes on a backface of the substrate, the contact holes extending through the substrate and to the LED chip; and filling the contact holes with conducting material till the backface of the substrate is covered by the conducting material. The LED has a high luminous efficiency and the manufacturing method is easy to implement. | 08-22-2013 |
20130214310 | SEMICONDUCTOR PACKAGE AND FABRICATION METHOD THEREOF - A semiconductor package and a fabrication method thereof are disclosed, which is characterized in that a solder material is used to bond an LED chip and a substrate so as to provide a thick joint between the substrate and the LED chip and hence reduce stresses generated between the LED chip and the substrate due to their CTE mismatch, thereby preventing delamination from occurring between the LED chip and the substrate after a reliability test. | 08-22-2013 |
20130214311 | LIGHT-EMITTING DEVICE - Disclosed is a light-emitting device ( | 08-22-2013 |
20130214312 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a semiconductor light emitting device, includes forming a conductive film on a surface of a semiconductor light emitting element. Phosphor particles are charged by mixing phosphor particles with an electrolyte having a metallic salt dissolved therein. The semiconductor light emitting element having the conductive film formed thereon is immersed in the electrolyte having the charged phosphor particles. A phosphor layer on the conductive film is formed by electrophoresing the phosphor particles. The conductive film is removed using wet etching. | 08-22-2013 |
20130214313 | DIFFUSER FOR LED LIGHT SOURCES - An LED light source is described. The light source includes an LED and a diffuser. The LED emits a light having a dominant wavelength. The diffuser includes a filler material, which includes particles. The mean diameter of the particles is at least as large as the dominant wavelength of the light emitted by the LED. The particles are configured to scatter the light emitted by the LED. | 08-22-2013 |
20130214314 | LIGHT-EMITTING MATERIAL OF NITROGEN COMPOUND, PREPARATION PROCESS THEREOF AND ILLUMINATION SOURCE MANUFACTURED THEREFROM - A nitrogen compound luminescent material belongs to the field of LED inorganic luminescent materials. The nitrogen compound luminescent material has a chemical formula: M | 08-22-2013 |
20130221387 | LIGHT EMITTING DIODE AND A MANUFACTURING METHOD THEREOF, A LIGHT EMITTING DEVICE - The present invention provides an LED and the manufacturing method thereof, and a light emitting device. The LED includes a first electrode, for connecting the LED to a negative electrode of a power supply; a substrate, located on the first electrode; and an LED die, located on the substrate; in which a plurality of contact holes are formed extending through the substrate, the diameter of upper parts of the contact holes is less than the diameter of lower parts of the contact holes, and the contact holes are filled with electrode plugs connecting the first electrode to the LED die. The light emitting device includes the LED, and further includes a susceptor and an LED mounted on the susceptor. The manufacturing method includes: forming successively an LED die and a second electrode on a substrate; patterning a backsurface of the substrate to form inverted trapezoidal contact holes which expose the LED die; and filling the contact holes with conductive material till the backface of the substrate is covered by the conductive material. The LED has a high luminous efficiency and the manufacturing method is easy to implement. | 08-29-2013 |
20130221388 | METHOD FOR MANUFACTURING GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT, GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT, LAMP, AND RETICLE - A method for manufacturing a Group III nitride semiconductor light-emitting element of the invention includes a substrate-processing process of forming a main surface including a flat surface and a convex portion | 08-29-2013 |
20130221389 | LIGHT EMITTING DEVICE AND METHOD - A light emitting device and a method of manufacturing the same are capable of enhancing brightness and color distribution characteristics on a light-exiting surface. The light emitting device can include: a substrate; a light emitting stacked body composed of a semiconductor light emitting element disposed on the substrate, a wavelength conversion layer disposed on the semiconductor light emitting element and containing phosphor particles, and a light-transmitting plate member disposed on the wavelength conversion layer; and a light-transmitting scattering member containing a scattering material and disposed on the light-transmitting plate member. | 08-29-2013 |
20130221390 | LIGHT-EMITTING DIODE CHIP - A light-emitting diode chip having a semiconductor layer sequence having an active layer that generates electromagnetic radiation, wherein the light-emitting diode chip has, on a front side, a radiation exit surface, at least regions of the light-emitting diode chip have, on a rear side opposite the radiation exit surface, a mirror layer containing silver, a protective layer containing Pt is disposed on the mirror layer, and the protective layer has a structure that covers the mirror layer only in sub-regions. | 08-29-2013 |
20130221391 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device comprising: a substrate, wherein the substrate comprising a first major surface, a second major surface opposite to the first major surface, and a sidewall wherein at least partial of the sidewall is a substantially textured surface with a depth of 10˜150 μm; and a light emitting stack layer formed on the substrate. | 08-29-2013 |
20130221392 | Optoelectronic Semiconductor Body and Method for Producing the Same - An optoelectronic semiconductor body includes a semiconductor layer sequence which has an active layer suitable for generating electromagnetic radiation, and a first and a second electrical connecting layer. The semiconductor body is provided for emitting electromagnetic radiation from a front side. The first and the second electrical connecting layer are arranged at a rear side opposite the front side and are electrically insulated from one another by means of a separating layer. The first electrical connecting layer, the second electrical connecting layer and the separating layer laterally overlap and a partial region of the second electrical connecting layer extends from the rear side through a breakthrough in the active layer in the direction of the front side. Furthermore, a method for producing such an optoelectronic semiconductor body is specified. | 08-29-2013 |
20130221393 | LIGHT EMITTING DIODE COMPONENT COMPRISING POLYSILAZANE BONDING LAYER - In one embodiment, a semiconductor component, such as a wavelength converter wafer, is described wherein the wavelength converter is bonded to an adjacent inorganic component with a cured bonding layer comprising polysilazane polymer. The wavelength converter may be a multilayer semiconductor wavelength converter or an inorganic matrix comprising embedded phosphor particles. In another embodiment, the semiconductor component is a pump LED component bonded to an adjacent component with a cured bonding layer comprising polysilazane polymer. The adjacent component may the described wavelength converter(s) or another component comprised of inorganic material(s) such as a lens or a prism. Also described are methods of making semiconductor components such as wavelength converters and LED's. | 08-29-2013 |
20130228808 | Light emitter with metal-oxide coating - A light emitting device based on a AlInGaN materials system wherein a coating is used to improve the extraction of light from a device. A coating has a very low optical loss and an index of refraction greater than 2. In a preferred embodiment the coating is made from Ta | 09-05-2013 |
20130228809 | SEMICONDUCTOR STRUCTURE FOR SUBSTRATE SEPARATION AND METHOD FOR MANUFACTURING THE SAME - A semiconductor structure includes a temporary substrate; a first semiconductor layer positioned on the temporary substrate; a dielectric layer comprising a plurality of patterned nano-scaled protrusions disposed on the first semiconductor layer; a dielectric layer surrounding the plurality of patterned nano-scaled protrusions and disposed on the first semiconductor layer; and a second semiconductor layer positioned on the dielectric layer, wherein the top surfaces of the patterned nano-scaled protrusions are in contact with the bottom of the second semiconductor layer. An etching process is performed on the semiconductor structure to separate the first semiconductor layer and the second semiconductor layer, in order to detach the temporary substrate from the second semiconductor layer and transfer the second semiconductor layer to a permanent substrate. | 09-05-2013 |
20130228810 | SOLID STATE LIGHTING LUMINAIRE AND A FABRICATION METHOD THEREOF - A solid state lighting luminaire, which comprises a solid state light source, an encapsulated structure, and a first phosphor, is provided. The encapsulated structure encapsulates the solid state light source and has an outside illuminating surface. The first phosphor is patterned to cover a portion of the outside illuminating surface for down-converting the illumination from the solid state light source. | 09-05-2013 |
20130228811 | LIGHT SOURCE DEVICE HAVING LIGHT EMITTING DIODE - An LED light source device includes an LED light source, a first powder layer located at a light path of the LED light source and a lamp shell located around the LED light source and the first powder layer. The lamp shell defines a receiving room. A second powder layer is formed on an inner surface of the lamp shell. The first powder layer and the second powder layer each have a characteristic of scattering light. | 09-05-2013 |
20130228812 | LIGHT EMITTING DEVICE AND BACKLIGHT SYSTEM USING THE SAME - A light emitting device is provided that includes a light emitting element emitting primary light, and a wavelength conversion portion provided on the light emitting element, absorbing a part of the primary light and emitting secondary light, in which the wavelength conversion portion is made of a plurality of resin layers including at least a first wavelength conversion portion made of a resin layer containing a rare earth-activated phosphor or a transition metal element-activated phosphor, and a second wavelength conversion portion made of a resin layer containing a nanocrystalline phosphor. The first wavelength conversion portion is disposed closer to the light emitting element than the second wavelength conversion portion is. | 09-05-2013 |
20130228813 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Disclosed are a light emitting device, a method of manufacturing the same and a light emitting device package. The light emitting device of the embodiment includes a light emitting structure including a first conductive semiconductor layer, a second conductive semiconductor layer and an active layer between the first and second conductive semiconductor layers; a fluorescent layer on the light emitting structure; and a light extracting structure on the fluorescent layer. The light extracting structure extracts light, which is generated in the light emitting structure and incident into an interfacial surface between the fluorescent layer and the light extracting structure, to an outside of the light emitting structure. | 09-05-2013 |
20130228814 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor light-emitting device is provided. The semiconductor light-emitting device may include a light-emitting structure, an electrode, an ohmic layer, an electrode layer, an adhesion layer, and a channel layer. The light-emitting structure may include a compound semiconductor layer. The electrode may be disposed on the light-emitting structure. The ohmic layer may be disposed under the light-emitting structure. The electrode layer may include a reflective metal under the ohmic layer. The adhesion layer may be disposed under the electrode layer. The channel layer may be disposed along a bottom edge of the light-emitting structure. | 09-05-2013 |
20130228815 | VERTICAL SOLID-STATE TRANSDUCERS HAVING BACKSIDE TERMINALS AND ASSOCIATED SYSTEMS AND METHODS - Vertical solid-state transducers (“SSTs”) having backside contacts are disclosed herein. An SST in accordance with a particular embodiment can include a transducer structure having a first semiconductor material at a first side of the SST, a second semiconductor material at a second side of the SST opposite the first side, and an active region between the first and second semiconductor materials. The SST can further include first and second contacts electrically coupled to the first and second semiconductor materials, respectively. A portion of the first contact can be covered by a dielectric material, and a portion can remain exposed through the dielectric material. A conductive carrier substrate can be disposed on the dielectric material. An isolating via can extend through the conductive carrier substrate to the dielectric material and surround the exposed portion of the first contact to define first and second terminals electrically accessible from the first side. | 09-05-2013 |
20130228816 | Light Emitting Device - A light emitting device includes a second metal layer, a second semiconductor layer on the second metal layer, an active layer on the second semiconductor layer, a first semiconductor layer on the active layer, a first metal layer on the first semiconductor layer, an insulating layer between the second metal layer and the second semiconductor layer at a peripheral portion of an upper surface of the second metal layer, and a passivation layer surrounding lateral surfaces of the insulating layer, the second semiconductor layer, the active layer, and the first semiconductor layer, the passivation layer being on the second metal layer, wherein a lateral surface of the insulating layer is adjacent to a lateral surface of the second metal layer, and wherein a lowermost surface of the passivation layer is disposed lower than a lowermost surface of the insulating layer. | 09-05-2013 |
20130228817 | WAFER-LEVEL PACKAGE STRUCTURE OF LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - A wafer-level package structure of a light emitting diode and a manufacturing method thereof are provided in the present invention. The wafer-level package structure of a light emitting diode includes a die, a first insulating layer, at least two wires, bumps, an annular second insulating layer on the wires and the insulating layer, the annular second insulating layer surrounding an area between the bumps and there being spaces arranged between the second insulating layer and the bumps; a light reflecting cup on the second insulating layer; at least two discrete lead areas and leads in the lead areas. The technical solution of the invention reduces the area required for the substrate; and the electrodes can be extracted in the subsequent structure of the package without gold wiring to thereby further reduce the volume of the package. | 09-05-2013 |
20130228818 | OPTICAL DEVICE - A semiconductor light emitting device includes a laminate section in which p-type layer | 09-05-2013 |
20130234180 | LIGHT EMITTING DIODE PACKAGING STRUCTURE - A light emitting diode packaging structure provided in the invention includes a base, a plurality of lead frames, a LED chip, a thermal conductive film and an encapsulating member. The base includes a reflective recess and a plurality of outer surfaces surrounding the reflective recess. The lead frames are respectively disposed on the base, and exposed from the reflective recess. The LED chip is disposed on one of the lead frames in the reflective recess The thermal conductive film is with a light shielding property, and covers all inner surfaces of the reflective recess and at least one of the outer surfaces of the base. The encapsulating member is disposed in the reflective recess to cover the thermal conductive film and the LED chip. | 09-12-2013 |
20130234181 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes first and second lead frames that are arranged with a separation on a common plane, a semiconductor light-emitting element that is electrically connected to the first and second lead frames, and a resin body that covers the first and second lead frames and the semiconductor light-emitting element, and includes fluorescent materials that absorb light emitted from the semiconductor light-emitting element and emit light with a wavelength longer than the wavelength of the light absorbed. The resin body has a shape that becomes smaller in cross-section with increasing distance from the common plane. | 09-12-2013 |
20130234182 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a first semiconductor layer, a second semiconductor layer, a light emitting layer, a bonding pad, a narrow wire electrode and a first insulating layer. The light emitting layer is provided between the first semiconductor layer and the second semiconductor layer and is in contact with the first semiconductor layer. The narrow wire electrode includes a first portion and a second portion. The first portion is provided on a surface of the first semiconductor layer not in contact with the light emitting layer and is in ohmic contact with the first semiconductor layer. The second portion is provided on the surface and located between the first portion and the bonding pad. The narrow wire electrode is electrically connected to the bonding pad. The first insulating layer is provided between the second portion and the first semiconductor layer. | 09-12-2013 |
20130234183 | LED MODULE - An LED module comprises an LED chip and a lens matching with the LED chip. The lens comprises a light-guiding portion and a rough portion protruded from the light-guiding portion. A cavity is defined in a bottom of the light-guiding portion. The LED chip is received in the cavity. The light-guiding portion comprises a top surface. Part of light emitted from the LED chip is reflected to an interior of the lens by the top surface of the light-guiding portion, and traveling to the rough portion then being reflected or refracted by the rough portion, and finally traveling out of the lens through the top surface of the light-guiding portion. | 09-12-2013 |
20130234184 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURING THE SAME - An LED package includes a substrate, an LED chip mounted on the substrate. The LED chip has a side surface and an upper surface. A fluorescent layer is evenly distributed over the LED chip. An encapsulant covers the LED chip and the fluorescent layer. A method of manufacturing the LED package is also provided. | 09-12-2013 |
20130234185 | DOPED SAPPHIRE AS SUBSTRATE AND LIGHT CONVERTER FOR LIGHT EMITTING DIODE - Described is a material composition comprising a crystalline sapphire material doped with two or more dopants, wherein when a primary radiation comprising blue light is propagated through the crystalline material at least a portion of the primary radiation is converted into a first secondary radiation and a second secondary radiation that is emitted from the crystalline material, wherein the first secondary radiation comprises green light and the second secondary radiation comprises red light, and wherein the primary radiation, first secondary radiation and second secondary radiation when combined produce white light. Also described are LED devices employing the material composition as a light transmissive substrate. | 09-12-2013 |
20130234186 | ENCAPSULATING SHEET, LIGHT EMITTING DIODE DEVICE, AND PRODUCING METHOD THEREOF - An encapsulating sheet includes a transparent layer in which a concave portion that is dented from the surface inwardly is formed and a phosphor encapsulating layer which fills the concave portion. The transparent layer is formed from a transparent composition containing a first silicone resin composition and the phosphor encapsulating layer is formed from a phosphor encapsulating composition containing a phosphor and a second silicone resin composition. | 09-12-2013 |
20130234187 | PHOSPHOR ENCAPSULATING SHEET, LIGHT EMITTING DIODE DEVICE, AND PRODUCING METHOD THEREOF - A phosphor encapsulating sheet, for encapsulating a light emitting diode element, includes a phosphor layer, an encapsulating layer formed at one side in a thickness direction of the phosphor layer, and an adhesive layer formed at the other side in the thickness direction of the phosphor layer for being adhered to a cover layer. | 09-12-2013 |
20130234188 | LIGHT EMITTING DEVICE WITH ENCAPSULANT FORMED WITH BARRIERS AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device (LED) comprises an LED chip, a barrier over the LED chip, and an encapsulating material containing a phosphor, wherein the encapsulating material is disposed inside the barrier over the LED chip. | 09-12-2013 |
20130234189 | LIGHT EMITTING DEVICE - A light emitting device includes an active layer; at least a portion of the active layer constitutes a gain region. The gain region is continuous from a first end surface and a second end surface. The gain region includes a first portion extending from the first end surface to a first reflective surface in a direction tilted with respect to a normal to the first side surface as viewed two-dimensionally; a second portion extending from the second end surface to the second reflective surface in a direction tilted with respect to a normal to the first side surface as viewed two-dimensionally; and a third portion extending from the first reflective surface to the second reflective surface in a direction tilted with respect to a normal to the first reflective surface as viewed two-dimensionally. | 09-12-2013 |
20130234190 | LIGHT EMITTING DIODE PACKAGE HAVING FRAME WITH BOTTOM SURFACE HAVING TWO SURFACES DIFFERENT IN HEIGHT - Provided is an LED package. It is easy to control luminance according to the luminance and an angle applicable. Since heat is efficiently emitted, the LED package is easily applicable to a high luminance LED. The manufacturing process is convenient and the cost is reduced. The LED package includes a substrate, an electrode, an LED, and a heatsink hole. The electrode is formed on the substrate. The LED is mounted in a side of the substrate and is electrically connected to the electrode. The heatsink hole is formed to pass through the substrate, for emitting out heat generated from the LED. | 09-12-2013 |
20130234191 | COMPOSITION FOR REFLECTION FILM FOR LIGHT EMITTING ELEMENT, LIGHT EMITTING ELEMENT, AND METHOD OF PRODUCING LIGHT EMITTING ELEMENT - A light emitting element having a light emitting layer, an electro-conductive reflection film that reflects light emitted from the light emitting layer and a substrate in this order, wherein the electro-conductive reflection film contains metal nanoparticles. | 09-12-2013 |
20130234192 | LIGHT EMITTING DIODE CHIP HAVING ELECTRODE PAD - Disclosed herein is an LED chip including electrode pads. The LED chip includes a semiconductor stack including a first conductive type semiconductor layer, a second conductive type semiconductor layer on the first conductive type semiconductor layer, and an active layer interposed between the first conductive type semiconductor layer and the second conductive type semiconductor layer; a first electrode pad located on the second conductive type semiconductor layer opposite to the first conductive type semiconductor layer; a first electrode extension extending from the first electrode pad and connected to the first conductive type semiconductor layer; a second electrode pad electrically connected to the second conductive type semiconductor layer; and an insulation layer interposed between the first electrode pad and the second conductive type semiconductor layer. The LED chip includes the first electrode pad on the second conductive type semiconductor layer, thereby increasing a light emitting area. | 09-12-2013 |
20130240924 | LIGHT-EMITTING DEVICE - A light-emitting device comprises a substrate; a semiconductor stack comprising a first type semiconductor layer, a second type semiconductor layer and an active layer formed between the first type semiconductor layer and the second type semiconductor layer; a bonding layer formed between the substrate and the semiconductor stack; and a plurality of buried electrodes physically buried in the first type semiconductor layer. | 09-19-2013 |
20130240925 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURING THE SAME - An LED package includes a base, an LED chip disposed on the base, a liquid heat conducting layer and a sealing member. The LED chip is sealed from liquid. The liquid heat conducting layer surrounds and covers the sealed LED chip. The sealing member is arranged on the substrate and encloses and seals the liquid heat conducting layer therein. The LED chip is sealed by a phosphor layer on a top surface thereof and a heat conductive layer on a side surface thereof. | 09-19-2013 |
20130240926 | LIGHT-EMITTING DIODE PACKAGING STRUCTURE OF LOW ANGULAR CORRELATED COLOR TEMPERATURE DEVIATION - A light-emitting diode (LED) packaging structure having low angular correlated color temperature deviation includes: a substrate, a LED chip, a phosphor body, and a transparent lens. The LED chip is disposed on the substrate, and the phosphor body includes a hemisphere body and an extension part extended from the bottom of the hemisphere body. The phosphor body is disposed on the substrate and covers the LED chip. Besides, the transparent lens is disposed outside the phosphor body to cover the phosphor body to increase light extraction efficiency. With the implementation of the present invention, the setup of the extension part makes a longer vertical distance between the LED chip and the top of the phosphor body, so that the light in the normal direction of the LED chip can have a longer optical length, thereby to reduce the angular correlated color temperature deviation. | 09-19-2013 |
20130240927 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting element includes: a support substrate; a bonding layer provided on the support substrate; an LED layer provided on the bonding layer; and a buffer layer softer than the bonding layer. The buffer layer is placed in one of between the support substrate and the bonding layer and between the bonding layer and the LED layer. | 09-19-2013 |
20130240928 | OPTICAL LENS AND LIGHT EMITTING DIODE PACKAGE USING THE SAME - An LED package includes an LED light source and an optical lens located over the LED light source. The optical lens includes a top surface, a light reflective lateral surface and a bottom surface receiving the LED light source therein. The top surface includes a reflection surface located in the middle of the top surface and a refraction surface surrounding the reflection surface. The top surface receives light emitted from the LED light source, and the light striking the reflection surface is firstly reflected towards the lateral surface by the reflection surface, secondly reflected towards the refraction surface by the lateral surface, and finally refracted out of the optical lens by the refraction surface. | 09-19-2013 |
20130240929 | SEMICONDUCTOR COMPONENT AND METHOD FOR PRODUCING A SEMICONDUCTOR COMPONENT - A semiconductor component includes an optoelectronic semiconductor chip and an optical element arranged on a radiation passage area of the semiconductor chip, wherein the optical element is based on a highly refractive polymer material. | 09-19-2013 |
20130240930 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a light emitting device includes a first base section, a light emitting section, and a first wiring section. The light emitting section is embedded on a first surface side of the first base section. The light emitting section includes a light emitting element. The first wiring section is provided on the first surface of the first base section. The first wiring section is connected to the light emitting element. | 09-19-2013 |
20130240931 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a light emitting device includes a light emitting element, a translucent section, and a light distribution control section. The light emitting element includes a first surface. The first surface emits light. The translucent section is provided on the first surface. The light distribution control section is provided on a periphery of the first surface. The light distribution control section has a lower refractive index than the translucent section. | 09-19-2013 |
20130240932 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor light-emitting device and a manufacturing method thereof are provided, wherein the semiconductor light-emitting device includes a substrate, a first type doped semiconductor layer, a light-emitting layer, a second type doped semiconductor layer and an optical micro-structure layer. The first type doped semiconductor layer is disposed on the substrate and includes a base portion and a mesa portion. The base portion has a top surface, and the mesa portion is disposed on the top surface of the base portion. The light-emitting layer is disposed on the first type doped semiconductor layer. The second type doped semiconductor layer is disposed on the light-emitting layer. The optical micro-structure layer is embedded in the first type doped semiconductor layer. | 09-19-2013 |
20130240933 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - An object is to provide a light-emitting element which uses a plurality of kinds of light-emitting dopants and has high emission efficiency. In one embodiment of the present invention, a light-emitting device, a light-emitting module, a light-emitting display device, an electronic device, and a lighting device each having reduced power consumption by using the above light-emitting element are provided. Attention is paid to Förster mechanism, which is one of mechanisms of intermolecular energy transfer. Efficient energy transfer by Förster mechanism is achieved by making an emission wavelength of a molecule which donates energy overlap with a local maximum peak on the longest wavelength side of a graph obtained by multiplying an absorption spectrum of a molecule which receives energy by a wavelength raised to the fourth power. | 09-19-2013 |
20130240934 | LIGHT EMITTING ELEMENT PACKAGE AND METHOD OF MANUFACTURING THE SAME - There is provided a light emitting element package including: a light emitting laminate having a structure in which semiconductor layers are laminated and having a first main surface and a second main surface opposing the first main surface; a terminal unit disposed on an electrode disposed on the second main surface; a molded unit disposed on the second main surface of the light emitting laminate and allowing a portion of the terminal unit to be exposed; and a wavelength conversion unit disposed on the first main surface of the light emitting laminate. | 09-19-2013 |
20130240935 | METHOD FOR PRODUCING A SURFACE-MOUNTABLE SEMICONDUCTOR COMPONENT - A method of producing a surface-mountable semiconductor component including providing an auxiliary carrier made with a plastics material; applying at least one insert and at least one optoelectronic component to a mounting surface of the auxiliary carrier; enclosing, the optoelectronic component and the insert in a common molding, wherein the molding covers the optoelectronic component and the insert form-fittingly at least in places the optoelectronic component and the insert are not in direct contact with one another, and the optoelectronic component and the insert are connected together mechanically by the molding; removing the auxiliary earner; and producing individual surface-mountable semiconductor components by severing the molding. | 09-19-2013 |
20130240936 | LIGHT-EMITTING DIODE AND LIGHT-EMITTING DIODE LAMP - The present invention relates to a light-emitting diode which has an emission wavelength of 655 nm or more, excellent monochromatic properties, high output, high luminance, high efficiency and fast response time, has such a characteristic that the intensity of light emitted from a light extraction surface and traveling in a direction perpendicular to the light extraction surface has high directivity, and can release heat to the outside with high efficiency; and a light-emitting diode lamp. The light-emitting diode includes a compound semiconductor layer ( | 09-19-2013 |
20130240937 | SEMICONDUCTOR LIGHT-EMITTING DIODE CHIP, LIGHT-EMITTING DEVICE, AND MANUFACTURING METHOD THEREOF - There is provided a semiconductor light emitting diode (LED) chip including: a semiconductor light emitting diode unit including a light-transmissive substrate, and a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer sequentially formed on an upper surface of the light-transmissive substrate; a rear reflective laminate including an auxiliary optical layer formed on a lower surface of the light-transmissive substrate and made of a material having a predetermined refractive index and a metal reflective film formed on a lower surface of the auxiliary optical layer; and a bonding laminate provided on a lower surface of the rear reflective laminate and including a bonding metal layer made of a eutectic metal material and an anti-diffusion film formed to prevent diffusion of elements between the bonding metal layer and the metal reflective film. | 09-19-2013 |
20130240938 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Disclosed are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes an electrode layer, a current density adjusting pattern on the electrode layer, and a light emitting structure on the electrode layer and the current density adjusting pattern. The light emitting structure includes a second conductive semiconductor layer, an active layer on the second conductive semiconductor layer, and a first conductive semiconductor layer on the active layer. The first conductive semiconductor layer includes an upper portion including a column pattern or a hole pattern serving as a structure of a resonant cavity and a lower portion having a thickness less than a thickness of the upper portion. | 09-19-2013 |
20130240939 | SOLID STATE LIGHTING DEVICES HAVING SIDE REFLECTIVITY AND ASSOCIATED METHODS OF MANUFACTURE - Solid state lighting devices having side reflectivity and associated methods of manufacturing are disclosed herein. In one embodiment, a method of forming a solid state lighting device includes attaching a solid state emitter to a support substrate, mounting the solid state emitter and support substrate to a temporary carrier, and cutting kerfs through the solid state emitter and the substrate to separate individual dies. The solid state emitter can have a first semiconductor material, a second semiconductor material, and an active region between the first and second semiconductor materials. The individual dies can have sidewalls that expose the first semiconductor material, active region and second semiconductor material. The method can further include applying a reflective material into the kerfs and along the sidewalls of the individual dies. | 09-19-2013 |
20130240940 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Disclosed is a light emitting device. The light emitting device includes a light emitting structure layer including a first semiconductor layer, an active layer, and a second semiconductor layer, an electrode electrically connected to the first semiconductor layer, an electrode layer under the light emitting structure layer, and a conductive support member under the electrode layer. A channel layer is between the second semiconductor layer and the electrode layer. A protrusion projected from at least one of edges of the conductive support member includes and having a rough surface. | 09-19-2013 |
20130240941 | LIGHT EMITTING PACKAGE - The present invention discloses a light emitting package, including: a base; a light emitting device on the base; an electrical circuit layer electrically connected to the light emitting device; a screen member having an opening and disposed on the base adjacent to the light emitting device; and a lens covering the light emitting device, wherein a width of a cross-sectional shape of the screen member is larger than a height of the cross sectional shape of the screen member, wherein the lens is disposed on the screen member, and wherein the lens is connected to an uppermost surface of the screen member. | 09-19-2013 |
20130240942 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting chip including a nitride semiconductor active layer having a nonpolar plane as a growth surface is configured such that when regions of a surface of a mounting substrate illuminated with light from the active layer and located laterally outward from the chip along a crystal axis that is parallel to the active layer and perpendicular to a polarization direction from the active layer are high polarization regions, and regions of the surface of the substrate illuminated with the light from the active layer except the high polarization regions are low polarization regions, metal is placed on a portion of the high polarization regions, and the proportion of mirror reflection from a portion of the low polarization regions is lower than that from the metal, and the proportion of mirror reflection from the high polarization regions is higher than that from the low polarization regions. | 09-19-2013 |
20130240943 | RED EMITTING LUMINESCENT MATERIALS - The invention relates to a novel red emitting material of (Ba | 09-19-2013 |
20130248903 | LED PACKAGE MODULE STRUCTURE - A light emitting diode package module structure comprises a LED module received in a reflection cup, a light transmitting color conversion member disposed on an annular surface of the reflection cup, a stationary package sleeved on the reflection cup in such a manner that the press portion of the stationary package is pressed against the light transmitting color conversion member, and the stop portions of the positioning legs of the stationary package are positioned against the bottom of the reflection cup. In this way, the light transmitting color conversion member is fixed to the reflection cup by the stationary package without the use of adhesive agents, which consequently simplifies the packaging procedure and reduces the package cost. | 09-26-2013 |
20130248904 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes: a semiconductor layer including a first face, a second face, a side face, and a light emitting layer; a p-side electrode provided on the second face; an n-side electrode provided on the side face; a first p-side metal layer provided on the p-side electrode; a first n-side metal layer provided on the periphery of the n-side electrode; a first insulating layer provided on a face on the second face side in the first n-side metal layer; a second p-side metal layer connected with the first p-side metal layer on the first p-side metal layer, and provided, extending from on the first p-side metal layer to on the first insulating layer; and a second n-side metal layer provided on a face on the second face side in the first n-side metal layer in a peripheral region of the semiconductor layer. | 09-26-2013 |
20130248905 | LED PACKAGE AND METHOD OF MANUFACTURING THE SAME - A light-emitting diode (LED) package and related method of manufacturing are provided. The LED package includes a resin blocking portion to prevent a transparent resin from reaching a contact terminal of the LED package during the formation of the lens for the LED package. | 09-26-2013 |
20130248906 | LIGHT EMITTING DIODE PACKAGE STRUCTURE AND METHOD FOR FABRICATING THE SAME - The invention provides a light emitting diode package structure and a method for fabricating the same. The package structure includes: a light emitting diode chip formed on a substrate; a first hydrophobic rib layer formed on the substrate and surrounding the light emitting diode; and a first cover layer formed on the substrate and covering the light emitting diode, wherein the first hydrophobic rib layer is used as a border of the first cover layer and an angle between the facet of the first cover layer and the substrate is about 60-90 degrees. | 09-26-2013 |
20130248907 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD OF THE SAME - A semiconductor light-emitting device is provided with a semiconductor layer including a first surface, a second surface opposite to the first surface, a luminous layer, and a first electrode formed on the first surface. The first surface has flat and rough portions. The first electrode has a pad and a fine wire electrode that is narrower than the pad. The fine wire electrode is formed on the flat portions but not on the rough portions. One or more metal contacts are disposed on the second surface to be under the rough portions. | 09-26-2013 |
20130248908 | Radiation-Emitting Component - The invention relates to a radiation-emitting component comprising a semiconductor body which emits electromagnetic radiation from a radiation exit surface during operation. The semiconductor body is arranged in a component housing having a cutout. The component further comprises an optical element which is connected to the component housing in a mechanically stable manner by means of a joining layer. The modulus of elasticity of the joining layer is lower than or equal to 30 MPa. | 09-26-2013 |
20130248909 | RED PHOSPHOR, METHOD FOR PREPARING SAME, AND LIGHT-EMITTING DEVICE COMPRISING SAME - Provided is a red phosphor having superior thermal and chemical stability and excellent luminous efficiency, wherein the red phosphor comprises a compound expressed in the composition formula: A | 09-26-2013 |
20130248910 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light emitting device includes a light emitting unit, a first and second conductive pillar, a sealing unit, and a first and second terminal. The light emitting unit includes a first and second semiconductor layer and a light emitting layer. The light emitting layer is provided on the first semiconductor layer. The second semiconductor layer is provided on the light emitting layer. The first conductive pillar is provided on the first semiconductor layer. The second conductive pillar is provided on the second semiconductor layer. The sealing unit covers side faces of each of the light emitting unit, the first conductive pillar, and the second conductive pillar. The first terminal is provided on the first conductive pillar and on the sealing unit. The second terminal is provided on the second conductive pillar and on the sealing unit. | 09-26-2013 |
20130248911 | LIGHT-EMITTING DEVICE INCLUDING NITRIDE-BASED SEMICONDUCTOR OMNIDIRECTIONAL REFLECTOR - A light-emitting device includes a nitride-based semiconductor reflector. The light-emitting device includes a nitride-based reflector and a light-emitting unit that is disposed on the nitride-based reflector. The nitride-based reflector includes undoped nitride semiconductor layers and heavily-doped nitride semiconductor layers that are alternately stacked. The heavily doped nitride semiconductor layers are etched at their edges to form air layers between adjacent undoped nitride semiconductor layers. | 09-26-2013 |
20130248912 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - According to one embodiment, a semiconductor light emitting element includes a stacked body and an optical layer. The stacked body has a major surface and includes a light emitting layer. The optical layer is in contact with the surface and includes a dielectric body, first particles, and second particles. The optical layer includes a first region including the dielectric body and the first particles and does not include the second particles and a second region including the dielectric body and the second particles. A sphere-equivalent diameter of the first particle is not less than 1 nanometer and not more than 100 nanometers. A sphere-equivalent diameter of the second particle is more than 300 nanometers and less than 1000 nanometers. An average refractive index of the first region is larger than a refractive index of the stacked body and smaller than a refractive index of the second particle. | 09-26-2013 |
20130248913 | HIGHLY REFLECTIVE COATING ON LED SUBMOUNT - A submount for a light emitting stack includes a substrate and a metallization layer having circuit traces and a planar dielectric layer that fills regions between the circuit traces. The planar dielectric layer serves to minimize the amount of light lost/absorbed by the substrate and preferably reflects the internally reflected light back toward the desired light output element. To facilitate efficient manufacture, a dielectric paste is applied over the metallized layer, then planed to expose at least portions of the metal conductors for the subsequent coupling to the light emitting stack. Pedestal elements are preferably provided at select locations on the circuit traces to facilitate this coupling while allowing the remainder of the circuit traces to be covered with the dielectric layer. | 09-26-2013 |
20130256720 | BROADBAND DIELECTRIC REFLECTORS FOR LED - A broadband, omnidirectional, multi-layer, dielectric reflector for an LED in a white light emitting device provides both near 100% reflectivity across the visible spectrum of light, and electrical insulation between the substrate and the electrical circuitry used to power and control the LED. When a sealant material, having a higher index of refraction than air, is used to protect the LED and the accompanying electrical circuitry, an aluminum reflector layer or substrate is provided to make up for the loss of reflectivity at certain angles of incidence. | 10-03-2013 |
20130256721 | LED Light with Electrostatic Protection and Backlight Module Using the LED Light - The present invention provides an LED light with electrostatic protection and a backlight module using the LED light. The LED light includes a carrying frame, a light-emitting die mounted in the carrying frame, and an encapsulation resin encapsulating the light-emitting die in the carrying frame. The carrying frame includes a frame body, first and second copper foils mounted in the frame body, and a first conductive metal plate mounted in the frame body. The first and second copper foils are respectively and electrically connected by two gold wires to the light-emitting die. The first conductive metal plate is arranged to space from the first or second copper foil, whereby an electrical capacitor is formed between the first or second copper foil and the first conductive metal plate. The present invention effectively prevents burnout of gold wires caused by static electricity. | 10-03-2013 |
20130256722 | LIGHT EMITTED DIODE - The present invention relates to a light emitted diode (LED). The LED includes a metal mirror, a bonding substrate, a distributed bragg reflector (DBR), a buffer layer, and a LED epitaxial structure. The bonding substrate is arranged under the metal mirror. The DBR is arranged on the metal mirror. The buffer layer is arranged on the DBR. The LED epitaxial structure is arranged on the buffer layer. | 10-03-2013 |
20130256723 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD FOR REPAIRING ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a light-emitting region including an organic emission layer and a non-light-emitting region neighboring the light-emitting region. The OLED display includes a first electrode positioned at the light-emitting region and including a plurality of division regions divided according to a virtual cutting line crossing the light-emitting region, an organic emission layer positioned on the first electrode, a second electrode positioned on the organic emission layer, a driving thin film transistor connected to the first electrode, and a plurality of input terminals positioned at the non-light-emitting region and respectively connecting between each of division regions and the driving thin film transistor. | 10-03-2013 |
20130256724 | LIGHT EMITTING DIODES - An LED is provided. The LED includes a substrate, a first semiconductor layer, an active layer, a second semiconductor layer, a first electrode and a second electrode. The first semiconductor layer, the active layer, and the second semiconductor layer are stacked in that order and located on a surface of the substrate. A number of first three-dimensional nano-structures are located on a surface of the substrate away from the first semiconductor layer. The first three-dimensional nano-structures are linear protruding structures, a cross-section of each linear protruding structure is an arc. | 10-03-2013 |
20130256725 | LIGHT EMITTING DIODES - An LED comprises a first semiconductor layer, an active layer, a second semiconductor layer, a first electrode and a second electrode. The first semiconductor layer, the active layer, and the second semiconductor layer are stacked in that order and located on a surface of the first electrode. The second electrode is electrically connected with the second semiconductor layer. A number of first three-dimensional nano-structures are located on a surface of the second semiconductor layer away from the active layer. The first three-dimensional nano-structures are linear protruding structures, a cross-section of each linear protruding structure is an arc. | 10-03-2013 |
20130256726 | LIGHT EMITTING DIODES AND OPTICAL ELEMENTS - An LED comprises a substrate, a first semiconductor layer, an active layer, a second semiconductor layer, a first electrode and a second electrode. The first semiconductor layer, the active layer, and the second semiconductor layer are stacked in that order and located on a surface of the substrate. A number of first three-dimensional nano-structures are located on a surface of the second semiconductor layer away from the active layer. The first three-dimensional nano-structures are linear protruding structures, a cross-section of each linear protruding structure is an arc. The present disclosure also relates to an optical element. | 10-03-2013 |
20130256727 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a light emitting unit, a first and second conductive pillar, a sealing unit, a translucent layer, and a wavelength conversion layer. The light emitting unit includes a first and second semiconductor layer and a light emitting layer. The first semiconductor layer has a first and second major surface. The first major surface has a first and second portion. The second major surface is opposed the first major surface and has a third and fourth portion. The light emitting layer is provided on the first portion. The second semiconductor layer is provided on the light emitting layer. The first conductive pillar is provided on the second portion. The second conductive pillar is provided on the second semiconductor layer. The translucent layer is provided on the fourth portion. The wavelength conversion layer is provided on the third portion and on the translucent layer. | 10-03-2013 |
20130256728 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package includes a light emitting device which emits light, and an encapsulating part provided on a path of the light emitted from the light emitting device and formed by mixing a transparent resin with metallic particles which reflect and scatter at least a portion of the light emitted from the light emitting device. | 10-03-2013 |
20130256729 | LIGHT-EMITTING DEVICE - Disclosed is a light-emitting device comprising: a light-emitting stack with a length and a width comprising: a first conductivity type semiconductor layer; an active layer on the first conductivity type semiconductor layer; and a second conductivity type semiconductor layer on the active layer; a conductive layer with a width greater than the width of the first conductivity type semiconductor layer and under the first conductivity type semiconductor layer, the conductive layer comprising a first overlapping portion which overlaps the first conductivity type semiconductor layer and a first extending portion which does not overlap the first conductivity type semiconductor layer; a transparent conductive layer with a width greater than the width of the second conductivity type semiconductor layer over the second conductivity type semiconductor layer, the transparent conductive layer comprising a second overlapping portion which overlaps the second conductivity type semiconductor layer and a second extending portion which does not overlap the second conductivity type semiconductor layer; a first electrode substantially joined with only the first extending portion or a part of the first extending part; and a second electrode substantially joined with only the second extending portion or a part of the second extending portion. | 10-03-2013 |
20130256730 | LIGHT-EMITTING DEVICE - [Problem] To provide a light-emitting device which does not undergo the deterioration in luminous efficiency associated with the long-term use. [Solution] A light-emitting device ( | 10-03-2013 |
20130256731 | LIGHT-EMITTING DEVICE - This disclosure discloses a light-emitting device. The light-emitting device comprises: a substrate; and a first light-emitting unit comprising a plurality of light-emitting diodes electrically connected to each other on the substrate. A first light-emitting diode in the first light-emitting unit comprises a first semiconductor layer with a first conductivity-type, a second semiconductor layer with a second conductivity-type, and a light-emitting stack formed between the first and second semiconductor layers. The first light-emitting diode in the first light-emitting unit further comprises a first connecting layer on the first semiconductor layer for electrically connecting to a second light-emitting diode in the first light-emitting unit; a second connecting layer, separated from the first connecting layer, formed on the first semiconductor layer; and a third connecting layer on the second semiconductor layer for electrically connecting to a third light-emitting diode in the first light-emitting unit. | 10-03-2013 |
20130256732 | NITRIDE GROUP SEMICONDUCTOR LIGHT EMITTING DEVICE - A nitride group semiconductor light emitting device includes a nitride group semiconductor layer, and an electrode structure. The electrode structure is arranged on or above the semiconductor layer, and includes a plurality of deposited metal layers. The plurality of deposited metal layers of the electrode structure includes first and second and metal layers. The first metal layer is arranged on the semiconductor layer side. The second metal layer is arranged on or above the first metal layer. The first metal layer contains Cr, and a first metal material. The first metal material has a reflectivity higher than Cr at the light emission peak wavelength of the light emitting device. According to this construction, the first metal layer can have a higher reflectivity as compared with the case where the first metal layer is only formed of Cr, but can keep tight contact with the semiconductor layer. | 10-03-2013 |
20130264597 | FLUORESCENT SUBSTANCE AND METHOD FOR PREPARING SAME - Disclosed is a method for preparing a fluorescent substance, which is represented by the formula M | 10-10-2013 |
20130264598 | Method for Producing a Semiconductor Layer Sequence, Radiation-Emitting Semiconductor Chip and Optoelectronic Component - A method can be used for producing a semiconductor layer sequence, which is based on a nitride compound semiconductor material and which comprises a microstructured outer surface. The method has the following steps: A) growing at least one first semiconductor layer of the semiconductor layer sequence on a substrate; B) applying an etch-resistant layer on the first semiconductor layer; C) growing at least one further semiconductor layer on the layer sequence obtained in step B); D) separating the semiconductor layer sequence from the substrate, a separating zone of the semiconductor layer sequence being at least partly removed; E) etching the obtained separating surface of the semiconductor layer sequence by an etching means such that a microstructuring of the first semiconductor layer is carried out and the microstructured outer surface is formed. | 10-10-2013 |
20130264599 | SEMICONDUCTOR MODULE - The purpose is the overall miniaturization of a product in which a semiconductor module is mounted. Provided is a semiconductor module including a first semiconductor chip that has an optical element, second semiconductor chip that is mounted over the first semiconductor chip, a casing having an opening, the first semiconductor chip and the second semiconductor chip being accommodated inside the casing and the opening being at a position corresponding to the optical element, and a light-transmitting cover that closes the opening of the casing. In the above-mentioned structure, the second semiconductor chip is provided with a reflection suppressing function. | 10-10-2013 |
20130264600 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING GANG BONDING AND SEMICONDUCTOR DEVICE FABRICATED BY THE SAME - A method of fabricating a semiconductor device using gang bonding and a semiconductor device fabricated by the same, the method comprising preparing a support substrate having a plurality of semiconductor stack structures aligned on a top thereof. Each of the semiconductor stack structures comprises a first conductive semiconductor layer, a second conductive semiconductor layer and an active region interposed between the first and second conductive semiconductor layers. A member having first lead electrodes and second lead electrodes is prepared to correspond to the plurality of semiconductor stack structures. Then, the semiconductor stack structures are bonded to the member while maintaining the semiconductor stack structures on the support substrate. After the semiconductor stack structures are bonded to the member, the member is divided. | 10-10-2013 |
20130264601 | PHOSPHOR SHEET, LED AND LIGHT EMITTING DEVICE USING THE SAME AND METHOD FOR MANUFACTURING LED (AS AMENDED) - The present invention provides a phosphor sheet in which the optical properties thereof are not impaired and which exhibits excellent film thickness uniformity even when comprising large-sized phosphor particles in high concentration. In one embodiment, the invention provides a phosphor sheet in which the content of phosphor is 53 weight % or more of the total sheet, and in another embodiment, a phosphor sheet includes at least a silicone resin, a phosphor and silicone fine particles. | 10-10-2013 |
20130264602 | LIGHT-REFLECTIVE ANISOTROPIC CONDUCTIVE ADHESIVE AND LIGHT-EMITTING DEVICE - A light-reflective anisotropic conductive adhesive used for anisotropic conductive connection of a light-emitting element to a wiring board contains a thermosetting resin composition, conductive particles, light-reflective needle-shaped insulating particles, and light-reflective spherical insulating particles. Each of the amount of the light-reflective needle-shaped insulating particles and the amount of the light-reflective spherical insulating particles in the thermosetting resin composition is 1 to 50 percent by volume based on the volume of the thermosetting resin composition, and the mixing ratio (V/V) of the light-reflective spherical insulating particles to the light-reflective needle-shaped insulating particles is 1:1 to 10. The light-reflective needle-shaped insulating particles are titanium oxide whiskers, zinc oxide whiskers, titanate whiskers, aluminum borate whiskers, or wollastonite. | 10-10-2013 |
20130270590 | LED MODULE - An LED module comprises an LED and a lens matching with the LED. The lens comprises a light-guiding portion and a plurality of retaining portions protruded from the light-guiding portion. The LED includes a substrate, a first electrode and a second electrode mounted on the substrate. A plurality of through holes is defined in the first electrode and a second electrode, respectively. Each retaining portion includes a rugged portion. The retaining portions are inserted into the through holes correspondingly, and the rugged portion abuts the substrate. Glue is applied between the rugged portion and the substrate. | 10-17-2013 |
20130270591 | POLYCARBONATE COMPOSITIONS CONTAINING CONVERIONS MATERIAL CHEMISTRY AND HAVING ENHANCED OPTICAL PROPERTIES, METHODS OF MAKING AND ARTICLES COMPRISING THE SAME - In some embodiments, a composition comprises a bisphenol-A polycarbonate, wherein a molded article of the bisphenol-A polycarbonate has transmission level greater than or equal to 90.0% at 2.5 mm thickness as measured by ASTM D1003-00 and a yellow index (YI) less than or equal to 1.5 as measured by ASTM D1925. In some embodiments, light emitting device comprises: a lighting element located in a housing. The housing is formed from a plastic composition comprising: the polycarbonate composition and a conversion material. After the conversion material has been exposed to an excitation source, the conversion material has a luminescence lifetime of less than 10 | 10-17-2013 |
20130270592 | SUBMOUNT BASED SURFACE MOUNT DEVICE (SMD) LIGHT EMITTER COMPONENTS AND METHODS - Submount based surface mount design (SMD) light emitter components and related methods are disclosed. In one aspect, a method of providing a submount based light emitter component can include providing a ceramic based submount, providing at least one light emitter chip on the submount, providing at least one electrical contact on a portion of the submount, and providing a non-ceramic based reflector cavity on a portion of the submount. | 10-17-2013 |
20130270593 | SAPPHIRE SUBSTRATE AND SEMICONDUCTOR LIGHT EMITTING DEVICE - A sapphire substrate having a principal surface for growing a nitride semiconductor to form a nitride semiconductor light emitting device comprises a plurality of projections on the principal surface. Each of the projections has a bottom that has a substantially polygonal shape. Each side of the bottom of the projections has a depression in its center. Vertexes of the bottoms of the respective projections extend in a direction that is within a range of ±10 degrees of a direction that is rotated clockwise by 30 degrees from a crystal axis “a” of the sapphire substrate. | 10-17-2013 |
20130270594 | LIGHT-EMITTING DIODE PACKAGE - A light-emitting diode (LED) package comprising a carrier, an LED chip and a phosphor glue is provided. The carrier has a recess, an upper surface, and a ring-shape rough surface connected to a top edge of the recess. The LED chip is disposed within the recess. The phosphor glue fills up the recess and over the upper surface of the carrier. An edge of the phosphor glue contacts the ring-shape rough surface. | 10-17-2013 |
20130270595 | LIGHT EMITTING DIODE DIE AND LIGHT EMITTING DIODE PACKAGE INCORPORATING THE SAME - An LED die comprises a substrate and an epitaxial layer formed thereon. The epitaxial layer comprises a first n-type semiconductor layer, an active layer and a p-type semiconductor layer grown on the substrate in sequence. The LED die defines a receiving recess formed in a center of a top face of the p-type semiconductor layer. The receiving recess extends through the p-type semiconductor layer, the active layer and into the n-type semiconductor layer along a top-to-bottom direction of the epitaxial layer. A pair of p-pads are located at two opposite sides of the p-type semiconductor layer, respectively. A first n-pad is received in the receiving recess and located on the n-type layer. | 10-17-2013 |
20130270596 | LIGHT SENSORS AND SOURCES COMPRISING AT LEAST ONE MICROCAVITY WITH A LOCALIZED TAMM PLASMON MODE - A light source or sensor including: a stack of dielectric or semiconductive layers includes an alternation in a vertical direction of layers of high refractive index and of low refractive index forming an interference mirror, and presenting a top layer of high refractive index; at least one first metal pellet deposited or transferred on the top layer of the stack of layers to form a structure supporting a first Tamm plasmon mode that is spatially localized in at least one lateral direction perpendicular to the vertical direction; and at least one light emitter or detector arranged inside the stack of layers under the metal pellet and at a depth corresponding to a local maximum of the electric field of the Tamm plasmon mode to emit or detect radiation at the resonant wavelength thereof. | 10-17-2013 |
20130270597 | LIGHT EMITTING DEVICE AND LIGHTING SYSTEM WITH THE SAME - A light emitting device including a light emitting structure having a first conduction type semiconductor layer, an active layer, and a second conduction type semiconductor layer, a metal filter having an irregular pattern disposed on the light emitting structure, a transparent conductive layer disposed between the light emitting structure and the metal filter, and openings disposed between the irregular patterns in the metal filter. | 10-17-2013 |
20130270598 | LIGHT EMITTING DEVICE HAVING AUTO-CLONING PHOTONIC CRYSTAL STRUCTURES - A light emitting device having auto-cloning photonic crystal structures comprises a substrate, a first semiconductor layer, an active emitting layer, a second semiconductor layer and a saw-toothed multilayer film comprising auto-cloning photonic crystal structures. The saw-toothed multilayer film provides a high reflection interface and a diffraction mechanism to prevent total internal reflection and enhance light extraction efficiency. | 10-17-2013 |
20130270599 | LIGHT-EMITTING DEVICE - The present application is related to a light-emitting device. The present application illustrates a vertical light-emitting device in one embodiment, comprising: a conductive substrate includes a through-hole, a patterned semiconductor structure disposed on a first surface of the substrate, a first bonding pad and a second bonding pad disposed on a second surface of the substrate, a conductive line passing through the through-hole connecting electrically the semiconductor structure layer, and an insulation layer on at least one sidewall of the through-hole insulates the conductive line form the substrate. | 10-17-2013 |
20130277697 | LIGHT EMITTING DIODE DEVICE - A light emitting diode device includes an epitaxial substrate, at least one passivation structure, at least one void, a semiconductor layer, a first type doping semiconductor layer, a light-emitting layer and a second type doping semiconductor layer. The passivation structure is disposed on the epitaxial substrate and has an outer surface. The void is located at the passivation structure and at least covering 50% of the outer surface of the passivation structure. The semiconductor layer is disposed on the epitaxial substrate and encapsulating the passivation structure and the void. The first type doping semiconductor layer is disposed on the semiconductor layer. The light-emitting layer is disposed on the first type doping semiconductor layer. The second type doping semiconductor layer is disposed on the light emitting layer. | 10-24-2013 |
20130277698 | LIGHT-EMITTING DEVICE - There is realized a light-emitting device that emits, with high efficiency, white light with excellent color rendering index. A light-emitting device ( | 10-24-2013 |
20130277699 | PATTERN SUBSTRATE STRUCTURE FOR LIGHT EMITTING ANGLE CONVERGENCE AND LIGHT EMITTING DIODE DEVICE USING THE SAME - The present invention provides a pattern substrate structure for light emitting angle convergence and a light emitting diode device using the same. The pattern substrate structure has a plurality of enclosed geometric regions defined by at least three stripe-shaped parts on a substrate to provide the light reflection effect through the uneven surface of the substrate and thereby converge the light emitting angle of the light emitting diode element into 100˜110 degrees. Therefore, the illuminant efficiency of the light emitting diode device using the pattern substrate structure is substantially raised because of the improved directivity. | 10-24-2013 |
20130277700 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - To provide a semiconductor light emitting element of which color irregularity is improved, the semiconductor light emitting element according to the present invention comprises: a support substrate; a semiconductor laminated structural body provided on the support substrate, the semiconductor laminated structural body having a first semiconductor layer, a luminescent layer, and a second semiconductor layer; a first electrode electrically connected to the first semiconductor layer; a second electrode electrically connected to the second semiconductor layer; a light shielding member covering a portion of an upper surface and side surfaces of the semiconductor laminated structural body, the light shielding member electrically separated from both of the first electrode and the second electrode; and a wavelength conversion member covering an upper surface not covered by the light shielding member of the semiconductor laminated structural body. | 10-24-2013 |
20130277701 | PACKAGE AND METHOD FOR MANUFACTURING PACKAGE - A package for mounting a light emitting element includes a housing and a flat plate-shaped electrode. The electrode is exposed from a lower surface of the housing. An upper surface of the electrode includes a mounting area on which the light emitting element is mounted. An insulator is arranged on the upper surface of the electrode. An element connector is connected to the insulator. A tubular reflective portion extends from the element connector to a height corresponding to the upper surface of the housing. A terminal is arranged on the side surface of the housing and connected to the reflective portion. A recess accommodates the light emitting element. The recess is formed in an upper portion of the housing, and the recess is formed by the upper surface of the electrode, the element connector, and the reflective portion. | 10-24-2013 |
20130277702 | Light Emitting Diode Device Having Electrode With Low Illumination Side And High Illumination Side - A high-brightness vertical light emitting diode (LED) device includes an outwardly located metal electrode having a low illumination side and a high illumination side. The LED device is formed by: forming the metal electrode on an edge of a surface of a LED epitaxy structure using a deposition method, such as physical vapor deposition (PVD), chemical vapor deposition (CVD), evaporation, electro-plating, or any combination thereof; and then performing a packaging process. The composition of the LED may be a nitride, a phosphide or an arsenide. The LED has the following advantages: improving current spreading performance, reducing light-absorption of the metal electrode, increasing brightness, increasing efficiency, and thereby improving energy efficiency. The metal electrode is located on the edge of the device and on the light emitting side. The metal electrode has two side walls, among which one side wall can receive more emission light from the device in comparison with the other one. | 10-24-2013 |
20130277703 | SHEET AND LIGHT-EMITTING DEVICE - A sheet for use in a light-emitting device including layers including a light-emitting layer was invented. The sheet includes: a first layer including a plurality of projecting portions; and a second layer on the first layer, in which the projecting portions each include at least two steps, the second layer is formed on top at least surfaces of the steps, and when an effective refractive index of the first layer is n | 10-24-2013 |
20130277704 | Method and System for Providing a Reliable Light Emitting Diode Semiconductor Device - A method and a system for a reliable LED semiconductor device are provided. In one embodiment, the device comprises a carrier, a light emitting diode disposed on the carrier, an encapsulating material disposed over the light emitting diode and the carrier, at least one through connection formed in the encapsulating material, and a metallization layer disposed and structured over the at least one through connection. | 10-24-2013 |
20130277705 | LIGHT EMITTING DEVICE - Provided is a light emitting device. The light emitting device includes: a plurality of lead frame units spaced apart from each other, each of the lead frame units being provided with at least one fixing space perforating a body thereof in a vertical direction; a light emitting diode chip mounted on one of the lead frame units; and a molding unit that is integrally formed on top surfaces of the lead frame units and in the fixing spaces to protect the light emitting diode chip. | 10-24-2013 |
20130285086 | METHOD OF FORMING A MICRO LED DEVICE WITH SELF-ALIGNED METALLIZATION STACK - A method of fabricating and transferring a micro device and an array of micro devices to a receiving substrate are described. In an embodiment, a patterned sacrificial layer is utilized to form a self-aligned metallization stack and is utilized as an etch stop layer during etching of a p-n diode layer to form a plurality of micro p-n diodes. | 10-31-2013 |
20130285087 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device and manufacturing method thereof are disclosed. The light emitting device includes a substrate, a LED die, a first transparent layer, an optical wavelength conversion layer and a second transparent layer. The substrate has a die glue part. The LED die is disposed on the die glue part and has a base which is made of a transparent material. The first transparent layer is disposed on the side surface of the LED die. The optical wavelength conversion layer is evenly formed on the first transparent layer and the LED die. The second transparent layer is formed on the optical wavelength conversion layer. | 10-31-2013 |
20130285088 | OUT COUPLING LAYER CONTAINING PARTICLE POLYMER COMPOSITE - Light emitting devices comprising an optical layer comprising metal oxide particles having a polymer covalently bonded thereto and a light emitting layer, which is in optical communication with the optical layer are provided. Methods of fabricating a light emitting devices comprising: depositing an optical layer comprising metal oxide particles having a polymer covalently bonded thereto; and depositing a light emitting layer, which is in optical communication with the optical layer are also provided. | 10-31-2013 |
20130285089 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to an embodiment, a semiconductor light emitting device includes a semiconductor layer including a light emitting layer and a fluorescent substance excited by light emitted from the light emitting layer, a peak wavelength of a radiation spectrum of the light emitting layer at a room temperature being shorter than a peak wavelength of an excitation spectrum of the fluorescent substance. | 10-31-2013 |
20130285090 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a light emitting element, a phosphor layer, and a fluorescent reflection film. The phosphor layer has a transparent medium, a phosphor dispersed in the transparent medium, and a particle dispersed in the transparent medium. The phosphor is excited by the excitation light so as to emit a fluorescence. The particle is a magnitude of not more than 1/10 a wavelength of the excitation light. The particle has a different refractive index from a refractive index of the transparent medium. The fluorescent reflection film is provided between the light emitting element and the phosphor layer. The fluorescent reflection film has a higher reflectance with respect to a fluorescent wavelength of the phosphor, than a reflectance with respect to the wavelength of the excitation light. | 10-31-2013 |
20130285091 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to an embodiment, a method for manufacturing a semiconductor light emitting device includes steps for forming a fluorescent substance layer on a first face of a semiconductor layer and forming a light shielding film on the side face of the fluorescent substance layer. The fluorescent substance layer includes a resin and fluorescent substances dispersed in the resin, and have a light emitting face on a side opposite to the first face of the semiconductor layer and a side face connecting to the light emitting face with an angle of 90 degree or more between the light emitting face and the side face. The light shielding film shields a light emitted from a light emitting layer included in the semiconductor layer and a light radiated from the fluorescent substances. | 10-31-2013 |
20130285092 | LIGHT EMITTING DIODE - A light emitting diode includes a substrate, graphene layer, a first semiconductor layer, an active layer, a second semiconductor layer, a first electrode, a second electrode, and a reflection layer. The first semiconductor layer, the active layer, and the second semiconductor layer are stacked on the substrate in sequence. The first electrode is electrically connected with the second semiconductor layer and the second electrode electrically is connected with the second part of the carbon nanotube layer. The graphene layer is located on at least one of the first semiconductor layer and the second semiconductor layer. The reflection layer covers the second semiconductor layer. | 10-31-2013 |
20130285093 | LIGHT EMITTING DIODE PACKAGE STRUCTURE HAVING A SUBSTRATE INCLUDING CERAMIC FIBERS - An LED package structure includes a substrate and an LED chip formed on the substrate. The substrate has a first electrode and a second electrode formed on an upper surface thereof. The LED chip is formed on the first electrode of the substrate and electrically connected with the first electrode and the second electrode respectively. The substrate is made of a composite including a base material and ceramic fibers mixed in the base material. | 10-31-2013 |
20130285094 | LIGHT EMITTING DIODE LIGHT SOURCE DEVICE - An LED light source device includes an LED light source, a first translucent structure covering the LED light source and a second translucent structure covering the first translucent structure. An interior of the first translucent structure has light scattering powder distributed therein. The LED light source is embedded in the first translucent structure. The LED light source is covered by the light scattering powder. The second translucent structure has a radius of R and an index of refraction of N | 10-31-2013 |
20130285095 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Disclosed are a light emitting device and a light emitting device package. The light emitting device includes a first conductive semiconductor layer, an active layer on the first conductive semiconductor layer, a second conductive semiconductor layer on the active layer, a third semiconductor layer between the active layer and the second conductive semiconductor layer, and a light extraction structure on the second conductive semiconductor layer. A top surface of the third semiconductor layer has a Ga-face. | 10-31-2013 |
20130285096 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a light emitting diode (LED) package comprises: providing a substrate having a first electrode and a second electrode electrically insulated from the first electrode, wherein an LED die is mounted on the first electrode and electrically connected to the first electrode and the second electrode; forming a first encapsulant layer on the substrate to encapsulate the LED die therein, the first encapsulant layer being colloidal; forming a nitride compound phosphor layer distributed on an outer face of the first encapsulant layer; and heating the first encapsulant layer to solidify the first encapsulant layer. A second encapsulant layer is formed on the nitride compound phosphor layer to encapsulate the first encapsulant layer. An LED package formed by the method is also provided. | 10-31-2013 |
20130285097 | SIDE-VIEW LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - A side-view LED package includes a substrate, a pair of electrodes connected to the substrate, an LED die electrically connected to the electrodes, a reflective cup formed on the substrate, an opening defined at a lateral side of the reflective cup, an encapsulation formed on the substrate to cover the LED die, and a reflective layer coated on a top of the encapsulation and a top of the reflective cup, wherein part of light emitting from the LED die is reflected by the reflective cup and the reflective layer and then emits out of the side-view LED package from the opening. The present disclosure also provides a method for manufacturing the side-view LED package described above. | 10-31-2013 |
20130285098 | PATTERNED SUBSTRATE AND LIGHT EMITTING DIODE STRUCTURE - A patterned substrate includes a substrate and a plurality of protrusions. The protrusions are formed on the substrate. Each protrusion has a top face and a base. Each pair of immediately adjacent protrusions is minimally parted by 0 to 0.2 μm. When the distance between the adjacent protrusions falls as 0 μm, the bases thereof contact each other. A horizontal and a vertical light emitting diode structures using the patterned substrate are also discussed. | 10-31-2013 |
20130285099 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT - A semiconductor light-emitting element includes: a laminated semiconductor layer in which an n-type semiconductor layer, a light-emitting layer and a p-type semiconductor layer are laminated; a transparent conductive layer laminated on the p-type semiconductor layer of the laminated semiconductor layer and composed of a metal oxide having optical transparency to light emitted from the light-emitting layer; an insulating reflation layer laminated on the transparent conductive layer in which plural opening portions are provided to expose part of the transparent conductive layer; a metal reflection layer formed on the insulating reflection layer and inside the opening portions and composed of a metal containing aluminum; and a metal contact layer provided between the part of the transparent conductive layer exposed at the opening portion and the part of the metal reflection layer formed inside the opening portion, which contains an element selected from Group VIA and Group VIII of a periodic table. | 10-31-2013 |
20130285100 | Method for Producing a Conversion Lamina and Conversion Lamina - A method for producing at least one conversion lamina for a radiation-emitting semiconductor component is specified. A base material including a conversion substance contained therein is applied to a substrate by means of a double-layered stencil. Furthermore, a conversion lamina for a radiation-emitting semiconductor component includes a base material and a conversion substance embedded therein. The thickness of the conversion lamina is in a range of between 60 μm and 170 μm inclusive. | 10-31-2013 |
20130285101 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a first electrode, a second electrode, an insulating layer, a first interconnect layer, a second interconnect layer, a first metal pillar, a second metal pillar, a film covering a side face of the first metal pillar and a side face of the second metal pillar, and a resin layer. The semiconductor layer includes a light emitting layer, a first major surface, and a second major surface formed on a side opposite to the first major surface. The film has a solder wettability poorer than a solder wettability of the first metal pillar and a solder wettability of the second metal pillar. The resin layer covers at least part of the film. | 10-31-2013 |
20130285102 | Light-Emitting Diode (LED) Module with Light Sensor Configurations for Optical Feedback - An embodiment of the disclosure includes a LED module. A substrate is provided. A light sensor is positioned in the substrate. A LED chip is attached to the substrate. The LED chip has a first side and a second side. The second side is covered by an opaque layer with an opening. The opening is substantially aligned with the light sensor. The light sensor receives a light output emitting from the LED chip through the opening. | 10-31-2013 |
20130285103 | LIGHT EMITTING ELEMENTS, LIGH EMITTING DEVICES INCLUDING LIGHT EMITTING ELEMENTS AND METHODS OF MANUFACTURING SUCH LIGHT EMITTING ELEMENTS AND/OR DEVICES - An emitting device including a first electrode, a second electrode spaced apart from the first electrode, an emitting pattern including a portion between the first electrode and the second electrode, and a block pattern including a portion between the emitting pattern and the first electrode and/or on a same level as the first electrode. | 10-31-2013 |
20130285104 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - Provided is a light-emitting device that has a high emission efficiency, excellent stability and temperature properties, and that generates light having a high color rendering property sufficient for practical use. This semiconductor light-emitting device ( | 10-31-2013 |
20130292721 | RADIATION EMITTING DEVICE - An embodiment of the present invention relates to a radiation emitting device comprising:
| 11-07-2013 |
20130292722 | LIGHT EMITTING DIODE ELEMENT - The present invention provides a light emitting diode (LED) element which comprises a substrate, a buffer layer, a plurality of nano-spheres and a light emitting structure. The substrate comprises a plurality of grooves arranged at intervals on a surface of the substrate. The buffer layer is disposed on the surface of the substrate where the grooves being formed, wherein the grooves are disposed between the substrate and the buffer layer. The nano-spheres are received in the grooves, so each groove is provided with at least a nano-sphere. The light emitting structure is disposed on the buffer layer. | 11-07-2013 |
20130292723 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a multilayer structure formed on a substrate and including a plurality of semiconductor layers including a light-emitting layer. The multilayer structure includes an optical waveguide having ridge structure provided at an upper part of the multilayer structure. The optical waveguide extends from a front facet to a rear facet of the multilayer structure, and includes a straight waveguide section which is inclined to a normal to the front facet of the multilayer structure and extends from the front facet, and a curved waveguide section which perpendicularly reaches the rear facet of the multilayer structure. The curved waveguide section is closer to the rear facet of the multilayer structure than a center of the optical waveguide is. | 11-07-2013 |
20130292724 | METHOD OF APPLYING A CONVERSION MEANS TO AN OPTOELECTRONIC SEMICONDUCTOR CHIP AND AN OPTOELECTRONIC COMPONENT - A method of applying a conversion means to an optoelectronic semiconductor chip includes preparing the optoelectronic semiconductor chip having a main radiation face, preparing the conversion means, the conversion means being applied to a main carrier face of a carrier, arranging the conversion means such that it faces the main radiation face and has a spacing relative to the main radiation face, and releasing the conversion means from the carrier and applying the conversion means to the main radiation face by irradiation and heating of an absorber constituent of the conversion means and/or of a release layer located between the conversion means and the carrier with a pulsed laser radiation which passes through the carrier. | 11-07-2013 |
20130292725 | THIN FILM LIGHT EMITTING DIODE - Light emitting devices comprise a substrate having a surface and a side surface; a semiconductor structure on the surface of the substrate, the semiconductor structure having a first surface, a second surface and a side surface, wherein the second surface is opposite the first surface, wherein the first surface, relative to the second surface, is proximate to the substrate, and wherein the semiconductor structure comprises a first-type layer, a light emitting layer and a second-type layer; a first and a second electrodes; and a wavelength converting element arranged on the side surface of the semiconductor structure, wherein the wavelength converting element has an open space, and wherein the open space is a portion not covered by the wavelength converting element. | 11-07-2013 |
20130292726 | LIGHT EMITTING DIODE DEVICE, LIGHT EMITTING APPARATUS AND METHOD OF MANUFACTURING LIGHT EMITTING DIODE DEVICE - Provided is a light emitting diode device. The light emitting diode device includes a light emitting diode chip having a first surface on which first and second electrodes are disposed, and a second surface opposing the first surface, a wavelength conversion portion including fluorescent substances and covering the first surface and side surfaces of the light emitting diode chip, wherein the side surfaces denote surfaces placed between the first and second surfaces, and first and second electricity connection portions each including a plating layer, respectively connected to the first and second electrodes, and exposed to the outside of the wavelength conversion portion. Accordingly, the light emitting diode device, capable of enhancing luminous efficiency and realizing uniform product characteristics in terms of the emission of white light, is provided. Further, a process for easily and efficiently manufacturing the above light emitting diode device is provided. | 11-07-2013 |
20130292727 | LEAD FRAME FOR OPTICAL SEMICONDUCTOR DEVICE AND OPTICAL SEMICONDUCTOR DEVICE USING THE SAME - The present invention relates to a lead frame for an optical semiconductor device including: a lead frame having a first plate part and a second plate part disposed so as to oppose to the first plate part; an optical semiconductor element placed in the second plate part and electrically connected to the second plate part; a wire for electrically connecting the optical semiconductor element and the first plate part to each other; a circumferential reflector fanned on the lead frame so as to surround a circumference of the optical semiconductor element; and a transparent resin for encapsulating the optical semiconductor element, filled in a recess formed by the lead frame and an inner periphery of the reflector, in which the lead frame has a contour shape substantially the same as a bottom contour shape of the inner periphery of the reflector for forming the recess. | 11-07-2013 |
20130292728 | SEMICONDUCTOR LIGHT EMITTING APPARATUS AND LIGHT SOURCE APPARATUS USING THE SAME - A semiconductor light emitting apparatus includes a solid-state light emitting device and a wavelength converter that converts primary light emitted by the solid-state light emitting device into secondary light at a loner-wavelength. The wavelength converter is an inorganic compact that includes a transparent wavelength conversion layer containing phosphor having a garnet crystal structure. The phosphor contains a constituent element group composed of at least one element selected from the group consisting of Mg, Ca, Sr, Ba, Y, La, Gd, Tb, and Lu. Part of the constituent element group is substituted by Ce | 11-07-2013 |
20130292729 | LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a light emitting element, includes: a semiconductor stacked body including a light emitting layer; a first upper electrode being connected directly to the semiconductor stacked body; at least one second upper electrode extending from the first upper electrode, the at least one second upper electrode being connected to the semiconductor stacked body via a first contact layer; a lower electrode; a transparent conductive layer; an intermediate film containing oxygen provided between the semiconductor stacked body and the transparent conductive layer; a light reflecting layer; and a current-blocking layer, at least one slit being provided selectively in the current-blocking layer as viewed from a direction perpendicular to a major surface of the light emitting layer. | 11-07-2013 |
20130292730 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a light emitting structure including a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer and a light extraction pattern in which a period (a) exceeds λ/n (where, λ is a wavelength of light emitted from the active layer, and n is a refractive index of the light emitting structure) on the light emitting structure. The period (a) may be in the range of 5×(λ/n) |a |15×(λ/n). An etching depth (h) of the light extraction pattern may be equal to or greater than λ/n. | 11-07-2013 |
20130292731 | LIGHT-EMITTING DEVICE - A light-emitting device wherein the light-emitting device having a corner, comprising: a light-emitting stacked layer having a first conductivity type semiconductor layer; a light-emitting layer formed on the first conductivity type semiconductor layer; and a second conductivity type semiconductor layer formed on the light-emitting layer; a transparent conductive oxide layer formed on the second conductivity type semiconductor layer wherein the upper surface of the transparent conductive oxide layer is a textured surface; a first electrode formed on the upper surface of the transparent conductive oxide layer; a second electrode formed on the first conductivity type semiconductor layer; a planarization layer formed on partial of the transparent conductive oxide layer and the second electrode; and a reflective layer formed on the upper surface of the planarization layer wherein the projection of the edge of the reflective layer is not overlapped with the edge of the first electrode or the second electrode. | 11-07-2013 |
20130292732 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed are a semiconductor light emitting device. The semiconductor light emitting device comprises a light emitting structure comprising a III-V group compound semiconductor, a reflective layer comprising mediums, which are different from each other and alternately stacked under the light emitting structure, and a second electrode layer under the reflective layer. | 11-07-2013 |
20130292733 | CRYSTALLINE MATERIAL, AND LIGHT-EMITTING DEVICE AND WHITE LED USING SAME - A crystalline material represented by M | 11-07-2013 |
20130299858 | LIGHT EMITTING DIODE (LED) CONTACT STRUCTURES AND PROCESS FOR FABRICATING THE SAME - A light emitting device includes an active layer configured to provide light emission due to carrier recombination therein, a surface on the active layer, and an electrically conductive contact structure on the surface. The contact structure includes at least one plated contact layer. The contact structure may include a sublayer that conforms to the surface roughness of the underlying surface, and the plated contact layer may be substantially free of the surface roughness of the underlying surface. The surface of the plated contact layer may be substantially planar and/or otherwise configured to reflect the light emission from the active layer. Related fabrication methods are also discussed. | 11-14-2013 |
20130299859 | SUBSTRATE FOR OPTICAL SEMICONDUCTOR APPARATUS, METHOD FOR MANUFACTURING THE SAME, OPTICAL SEMICONDUCTOR APPARATUS AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a substrate for an optical semiconductor apparatus for mounting optical semiconductor devices, the substrate includes first leads to be electrically connected to first electrodes of the optical semiconductor devices and second leads to be electrically connected to second electrodes of the optical semiconductor devices, wherein the first leads and the second leads are arranged each in parallel, a molded body of a thermosetting resin composition is molded in a penetrating gap between the first leads and the second leads, a reflector of the thermosetting resin composition is molded at a periphery of respective regions on which the optical semiconductor devices are to be mounted, and the resin molded body and the reflector are integrally molded with the first leads and the second leads by injection molding. | 11-14-2013 |
20130299860 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a substrate, an LED chip mounted on the substrate, and a resin package covering the LED chip. The substrate includes a base and a wiring pattern formed on the base. The resin package includes a lens. The base includes an upper surface, a lower surface and a side surface extending between the upper surface and the lower surface. The LED chip is mounted on the upper surface of the base. The side surface of the base is oriented in a lateral direction. The wiring pattern includes a pair of first mount portions and a pair of second mount portions. The paired first mount portions are formed on the lower surface of the base. The paired second mount portions are oriented in the lateral direction and offset from the side surface of the base in the lateral direction. | 11-14-2013 |
20130299861 | LED STRUCTURE, LED DEVICE AND METHODS FOR FORMING THE SAME - A light emitting diode (LED) structure, a LED device and methods for forming the same are provided. The LED structure comprises a LED wafer; and a phosphor layer having a flat surface and formed above a light emitting surface of the LED wafer, in which the phosphor layer is formed by centrifugal spin coating. | 11-14-2013 |
20130299862 | Luminescence Conversion Element, Method for the Manufacture Thereof and Optoelectronic Component Having a Luminescence Conversion Element - A method for manufacturing a ceramic luminescence conversion element includes providing a shaped body having a first main surface, a second main surface and a first lateral surface. The shaped body includes a ceramic material and a luminescence conversion substance. The first main surface and/or the second main surface of the shaped body is/are machined using a patterning method, so that at least one first machined area and at least one unmachined area are formed. The first machined area extends essentially parallel to the first lateral surface. Singularization is performed to produce a plurality of luminescence conversion elements by means of cuts that are made in the machined main surface of the machined shaped body essentially at right angles to the first lateral surface. | 11-14-2013 |
20130299863 | LIGHT-EMITTING DIODE STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - An LED structure include a substrate, a light-emitting structure disposed on the substrate, at least one surface plasmon (SP) structure, and a first and a second electrodes. The light-emitting structure has a first electrical type semiconductor layer, an active layer, a second electrical type semiconductor layer, and a first conductive layer sequentially stacked. The active layer is located at a first portion of the first electrical type semiconductor layer and exposed from a second portion of the first electrical type semiconductor layer. The first and the second electrical type semiconductor layer have different electrical types. The SP structure is concavely disposed in the first conductive layer and the second electrical type semiconductor layer. The first and the second electrodes are disposed on the second portion of the first electrical type semiconductor layer and the first conductive layer, respectively. A method for manufacturing the above LED structure. | 11-14-2013 |
20130299864 | LIGHT EMITTING DEVICE, LIGHT EMITTING MODULE, AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode, a first insulating layer, a p-side interconnect layer, an n-side interconnect layer and a second insulating layer. The semiconductor layer includes a first surface, a second surface opposite to the first surface, and a light emitting layer. The p-side electrode is provided on the second surface in a region including the light emitting layer. The n-side electrode is provided on the second surface in a region not including the light emitting layer. The p-side interconnect layer includes a p-side external terminal exposed from the second insulating layer at a third surface having a plane orientation different from a plane orientation of the first surface and a plane orientation of the second surface. The n-side interconnect layer includes an n-side external terminal exposed from the second insulating layer at the third surface. | 11-14-2013 |
20130299865 | LED ASSEMBLY COMPRISING A LIGHT SCATTERING LAYER - The invention relates to a LED assembly comprising a light scattering layer provided between the phosphor layer of the LED and a filter layer. | 11-14-2013 |
20130307002 | LIGHT EMITTING DEVICE WITH REFLECTIVE ELECTRODE - A light-emitting device comprises a semiconductor light emitting stack and an electrode on the semiconductor light emitting stack, wherein the electrode comprises a mirror layer, an adhesion layer inserted between the mirror layer and the semiconductor light emitting stack, a bonding layer, and a barrier layer inserted between the mirror layer and the bonding layer and covers the mirror layer to prevent the mirror layer reacting with the bonding layer, wherein the barrier layer comprises a first pair of different metals. | 11-21-2013 |
20130307003 | LIGHT-EMITTING DEVICE PACKAGE - A light-emitting device package may include a pre-mold and a molding member. The pre-mold may include an upper body having a inclined (e.g., concavely) plane from which a plurality of vertical holes passing through the upper body are formed and a lower body having an upper surface that meets the inclined (e.g., concavely) plane under the upper body to form a concave unit. The molding member may fill the plurality of vertical holes and the concave unit. | 11-21-2013 |
20130307004 | OPTOELECTRONIC COMPONENT AND METHOD FOR PRODUCING IT - An optoelectronic component includes a carrier having a first connection region and a second connection region, a radiation-emitting semiconductor chip having a base surface and a radiation exit surface opposite the base surface, wherein the semiconductor chip is arranged by the base surface on the carrier, a housing having a lower housing part arranged on the carrier and adjoining side flanks of the semiconductor chip, and an upper housing part arranged on the lower housing part and shaped as a reflector for radiation emitted by the semiconductor chip, and an electrical connection layer which leads from the radiation exit surface of the semiconductor chip via a part of the interface between the lower and the upper housing part and through the lower housing part to the first connection region on the carrier. | 11-21-2013 |
20130307005 | Low Cost Surface Mount Packaging Structure for Semiconductor Optical Device and Packaging Method Therefor - A surface mount packaging structure for semiconductor optical device and packaging method. A semiconductor optical device, disposed on a substrate, is electrically connected with a substrate through wires. A lower surface of the substrate is fixed on an upper surface of a flexible printed board that is provided with internal leads and external leads. The internal leads are electrically connected with the substrate through wires. A lower surface of the flexible printed board is fixed on a base board. A glass baffle is provided to form a window in front of a light-emitting or light-receiving surface of the semiconductor optical device. A focusing lens is adhered to the window of the glass baffle and is coupled with an optical path of the semiconductor optical device. The substrate and the semiconductor optical device thereon, the wires, and the internal leads on the flexible printed board are encased into packaging material. | 11-21-2013 |
20130307006 | ELECTRO-OPTICAL DEVICE AND ELECTRONIC APPARATUS - An electro-optical device includes a reflective layer, a light emitting element including a light emitting layer formed between an anode and a cathode, and a driving transistor configured to control a current flowing through the light emitting element. In the same layer as the reflective layer, a relay electrode included in a current path from the driving transistor to the anode is formed with a gap between the relay electrode and the reflective layer. A contact electrode electrically connecting the relay electrode and the anode is formed as a light shielding layer that blocks light entering the gap. | 11-21-2013 |
20130307007 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A light emitting device includes a first semiconductor layer, an active layer, and a second semiconductor layer, and first and second electrodes electrically connected to the first and second semiconductor layers, respectively. The second electrode includes a reflective pad portion, a transparent electrode layer, a reflective finger portion and an electrode pad portion. The reflective pad portion is disposed in a region of an upper surface of the second semiconductor layer. The transparent electrode layer is disposed on the second semiconductor layer and has an opening encompassing the reflective pad portion such that the transparent electrode layer is not in contact with the reflective pad portion. The reflective finger portion extends from the reflective pad portion and has at least a portion thereof disposed on the transparent electrode layer. The electrode pad portion covers the reflective pad portion to be in contact with the transparent electrode layer. | 11-21-2013 |
20130307008 | CONTINUOUS REFLECTION CURVED MIRROR STRUCTURE OF A VERTICAL LIGHT-EMITTING DIODE - A continuous reflection curved mirror structure is applied to a vertical light-emitting diode (LED) which includes a P-type electrode, a permanent substrate, a binding layer, a buffer layer, a mirror layer, a P-type semiconductor layer, a light-emitting layer, an N-type semiconductor layer and an N-type electrode that are stacked in sequence. Between the P-type semiconductor layer and the mirror layer is a filler. The filler is located right below the N-type electrode to form a protruding continuous curved surface facing the light-emitting layer. The mirror layer forms a mirror structure along the protruding continuous curved surface. With reflection provided by the mirror structure, excited light from the light-emitting layer is reflected towards two sides, so that the excited light can dodge the N-type electrode without being shielded to increase light extraction efficiency. | 11-21-2013 |
20130307009 | REFLECTION CURVED MIRROR STRUCTURE OF A VERTICAL LIGHT-EMITTING DIODE - A reflection curved mirror structure is applied to a vertical light-emitting diode (LED) which includes a P-type electrode, a permanent substrate, a binding layer, a buffer layer, a mirror layer, a P-type semiconductor layer, a light-emitting layer, an N-type semiconductor layer and an N-type electrode that are stacked in sequence. Between the P-type semiconductor layer and the mirror layer is a filler. The filler is located right below the N-type electrode to form a protruding curved surface facing the light-emitting layer. The mirror layer forms a mirror structure along the protruding curved surface. With reflection provided by the mirror structure, excited light from the light-emitting layer is reflected towards two sides, so that the excited light can dodge the N-type electrode without being shielded to increase light extraction efficiency. | 11-21-2013 |
20130307010 | Polymeric Matrix With Organic Phosphor and Manufactory Thereof - A method for manufacturing a wavelength converting element ( | 11-21-2013 |
20130307011 | WHITE LIGHT SOURCE AND WHITE LIGHT SOURCE SYSTEM INCLUDING THE SAME - The present invention provides a white light source satisfying a relational equation of | 11-21-2013 |
20130313588 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to an embodiment, a semiconductor light emitting device includes a semiconductor layer having a light emitting layer. The device also includes a p-side electrode provided on a first region including the light emitting layer; an n-side electrode provided on a second region layer not including the light emitting layer; and a first insulating film having a first opening communicating with the p-side electrode and a second opening communicating with the n-side electrode. A p-side interconnection is provided on the first insulating film and electrically connected to the p-side electrode through the first opening. An n-side interconnection is provided on the first insulating film and electrically connected to the n-side electrode through the second opening. The p-side interconnection has a plurality of protrusive parts protruding toward the n-side interconnection, and the n-side interconnection has a plurality of portions extending between the protrusive parts of the p-side interconnection. | 11-28-2013 |
20130313589 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT SOURCE UNIT - According to an embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, n-side electrode and a resin layer. The semiconductor layer has a first face and a second face opposite to the first face, and includes a light emitting layer. The p-side electrode is provided on the semiconductor layer on the second face side. The n-side electrode is provided on the semiconductor layer on the second face side. The resin layer is provided on the first face and transmits light emitted from the light emitting layer, the resin layer including a top surface opposite to the first face and four side faces provided along an outer edge of the first face and connected to the top surface, the resin layer including a scattering substance scattering the light emitted from the light emitting layer. | 11-28-2013 |
20130313590 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a first electrode, a second electrode, a first interconnection section, a second interconnection section, and a varistor film. The semiconductor layer includes a light emitting layer. The first electrode is provided in a emitting region on the second surface. The second electrode is provided in a non-emitting region on the second surface. The first interconnection section is provided on the first electrode and electrically connected to the first electrode. The second interconnection section is provided on the second electrode and on the first electrode and electrically connected to the second electrode. The varistor film is provided in contact with the first electrode and the second interconnection section between the first electrode and the second interconnection section. | 11-28-2013 |
20130313591 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to an embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode and an insulating layer. The semiconductor layer has a first face and a second face opposite to the first face, and includes a light emitting layer. The p-side electrode is provided in a region including the light emitting layer on the second face side, and the n-side electrode is provided in a region not including the light emitting layer on the second face side. The insulating layer covers the semiconductor layer, the p-side electrode, and the n-side electrode on the second face side, and includes at least a portion containing a magnetic substance. | 11-28-2013 |
20130313592 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to an embodiment, a semiconductor light emitting device includes a semiconductor layer, a first electrode, an second electrode, a first insulating film, a first interconnection and a second interconnection. The semiconductor layer includes a luminous portion and a non-luminous portion. The first electrode is provided on the luminous portion, and the second electrode is provided on the non-luminous portion. The first insulating film is provided on the semiconductor layer, the first electrode and the second electrode. The first interconnection having a first protrusion is provided on the first insulating film and electrically connected to the first electrode. The second interconnection having a second protrusion is provided on the first insulating film and electrically connected to the second electrode. A tip end of the first protrusion faces a tip end of a second protrusion, being apart therefrom with a minimum gap between the first interconnection and the second interconnection. | 11-28-2013 |
20130313593 | LED LIGHTING APPARATUS AND METHOD FOR FABRICATING WAVELENGTH CONVERSION MEMBER FOR USE IN THE SAME - A light-emitting diode (LED) lighting apparatus is provided. The LED lighting apparatus includes at least one LED, and a wavelength conversion member spaced apart from the LED and configured to convert a wavelength of light emitted from the LED. The wavelength conversion member includes a light-transmitting member, and a transfer molded wavelength conversion layer disposed on at least one surface of the light-transmitting member. The transfer molded wavelength conversion layer includes a resin and a phosphor. | 11-28-2013 |
20130313594 | OPTOELECTRONIC ELEMENT AND MANUFACTURING METHOD THEREOF - An optoelectronic element includes an optoelectronic unit having a first top surface; a first metal layer on the first top surface; a first transparent structure surrounding the optoelectronic unit and exposing the first top surface; and a first contact layer on the first transparent structure, including a connective part electrically connected with the first metal layer. | 11-28-2013 |
20130313595 | ENHANCEMENT OF QUANTUM YIELD USING HIGHLY REFLECTIVE AGENTS - Compositions having luminescent properties are described. The compositions can include a luminescent material, such as quantum dots and a reflective material, such as barium sulfate, both suspended in a matrix material. The presence of the reflecting material increases the amount of light captured from the composition. The compositions described herein can be used in back-lighting for LCDs and can also be used in other applications, such as color conditioning of ambient lighting. | 11-28-2013 |
20130313596 | LIGHT-EMITTING DEVICE HAVING PATTERNED INTERFACE AND THE MANUFACTURING METHOD THEREOF - The present disclosure provides a light-emitting device having a patterned interface composed of a plurality of predetermined patterned structures mutually distinct, wherein the plurality of predetermined patterned structures are repeatedly arranged in the patterned interface such that any two neighboring patterned structures are different from each other. The present disclosure also provides a manufacturing method of the light-emitting device. The method comprises the steps of providing a substrate, generating a random pattern arrangement by a computing simulation, forming a mask having the random pattern arrangement on the substrate, and removing a portion of the substrate thereby transferring the random pattern arrangement to the substrate. | 11-28-2013 |
20130313597 | SEMICONDUCTOR LIGHT-EMITTING DEVICES - A semiconductor light-emitting device includes a substrate having an upper surface and a plurality of bumps positioned on the upper surface in a periodic manner, a first conductive type semiconductor layer positioned on the substrate, a light-emitting structure positioned on the first conductive type semiconductor layer, and a second conductive type semiconductor layer positioned on the light-emitting structure. The first conductive type semiconductor layer includes a plurality of protrusions each facing a portion of the substrate between the bumps, the protrusions are positioned in a ring manner at a peripheral region of the first conductive type semiconductor layer, and the protrusions are spaced apart from the bumps. | 11-28-2013 |
20130313598 | ELECTRODE CONTACT STRUCTURE OF LIGHT-EMITTING DIODE - An LED electrode contact structure for an LED is provided. The LED includes a plurality of N-type electrodes, an N-type semiconductor layer, a light-emitting layer, a P-type semiconductor layer, a mirror layer, a buffer layer, a binding layer, a permanent substrate and a P-type electrode that are stacked in sequence. The N-type semiconductor layer has an irregular surface and a plurality of contact platforms. The contact platforms are formed and distributed on the N-type semiconductor layer in a patterned arrangement, and the irregular surface is formed at areas on the N-type semiconductor layer without the contact platforms. The N-type electrodes are respectively formed on the contact platforms. The contact platforms have roughness between 0.01 μm and 0.1 μm, such that not only voids are not generated but also good adhesion is provided to prevent carrier confinement and disengagement. Therefore, satisfactory electrical contact is ensured to thereby increase light emitting efficiency. | 11-28-2013 |
20130313599 | PIXEL STRUCTURE AND MANUFACTURING METHOD THEREOF - A pixel structure including a substrate, a color filter layer, a conductive light-shielding layer, a buffer layer, a scan line, a data line, an active device, and a pixel electrode is provided. The substrate has a pixel region. The color filter layer is disposed corresponding to the pixel region. The conductive light-shielding layer is disposed corresponding to the periphery of the pixel region. The buffer layer covers the conductive light-shielding layer and color filter layer. The scan line and the data line are disposed on the buffer layer. The active device is disposed on the buffer layer and electrically connected to the scan line and data line. The pixel electrode is disposed on the buffer layer and electrically connected to the active device, wherein an overlapping area between the pixel electrode and the conductive light-shielding layer constitutes a storage capacitor. A method for manufacturing the pixel structure is also provided. | 11-28-2013 |
20130313600 | PIXEL STRUCTURE AND MANUFACTURING METHOD THEREOF - A pixel structure including a substrate, a color filter layer, a conductive light-shielding layer, a buffer layer, a scan line, a data line, an active device, and a pixel electrode is provided. The substrate has a pixel region. The color filter layer is disposed corresponding to the pixel region. The conductive light-shielding layer is disposed corresponding to the periphery of the pixel region. The buffer layer covers the conductive light-shielding layer and color filter layer. The scan line and the data line are disposed on the buffer layer. The active device is disposed on the buffer layer and electrically connected to the scan line and data line. The pixel electrode is disposed on the buffer layer and electrically connected to the active device, wherein an overlapping area between the pixel electrode and the conductive light-shielding layer constitutes a storage capacitor. A method for manufacturing the pixel structure is also provided. | 11-28-2013 |
20130313601 | ILLUMINATION DEVICES AND METHODS FOR MAKING THE SAME - The present disclosure is generally directed to illumination devices, and methods for making the same. The device, in particular, includes a first conductor layer, a first insulator layer disposed on the first conductor layer and having at least one first aperture defined therein through the first insulator layer, a second conductor layer disposed on the first insulator layer and having at least one second aperture defined therein through the second conductor layer and positioned to align with the at least one first aperture, and a light manipulation layer disposed on the second conductor layer and having at least one pair of apertures defined therein through the light manipulation layer including a third aperture and a fourth aperture, where the third aperture is positioned to align with the at least one second and first apertures. | 11-28-2013 |
20130313602 | LIGHT EMITTING DEVICE - The light emitting device has a light emitting element | 11-28-2013 |
20130313603 | Wavelength Converter for an LED, Method of Making, and LED Containing Same - A wavelength converter for an LED is described that comprises a substrate of monocrystalline garnet having a cubic crystal structure, a first lattice parameter and an oriented crystal face. An epitaxial layer is formed directly on the oriented crystal face of the substrate. The layer is comprised of a monocrystalline garnet phosphor having a cubic crystal structure and a second lattice parameter that is different from the first lattice parameter wherein the difference between the first lattice parameter and the second lattice parameter results in a lattice mismatch within a range of ±15%. The strain induced in the phosphor layer by the lattice mismatch shifts the emission of the phosphor to longer wavelengths when a tensile strain is induced and to shorter wavelengths when a compressive strain is induced. Preferably, the wavelength converter is mounted on the light emitting surface of a blue LED to produce an LED light source. | 11-28-2013 |
20130313604 | Method for Producing a Light-Emitting Semiconductor Component and Light-Emitting Semiconductor Component - A method for producing a light-emitting semiconductor component is specified. A light-emitting semiconductor chip is arranged on a mounting area of a carrier. The semiconductor chip is electrically connected to electrical contact regions on the mounting area. An encapsulation layer is applied to the semiconductor chip by means of atomic layer deposition. All surfaces of the semiconductor chip which are free after mounting and electrical connection are covered with an encapsulation layer. Furthermore, a light-emitting semiconductor component is specified. | 11-28-2013 |
20130320370 | SOLID STATE TRANSDUCER DIES HAVING REFLECTIVE FEATURES OVER CONTACTS AND ASSOCIATED SYSTEMS AND METHODS - Systems and methods for improved light emitting efficiency of a solid state transducer (SST), for example light emitting diodes (LED), are disclosed. One embodiment of an SST die in accordance with the technology includes a reflective material disposed over electrical connectors on a front side of the die. The reflective material has a higher reflectivity than a base material of the connectors such that light traveling toward the connectors reflects back out of the device. | 12-05-2013 |
20130320371 | DEVICE MODULE - According to one embodiment, a device module includes a mounting substrate, a device, and a bonding agent. The mounting substrate has a mounting surface and a plurality of pads. The device includes a plurality of electrode surfaces arranged in a first direction. The pad has a first width portion and a second width portion. The first width portion has a width in a second direction orthogonal to the first direction. The second width portion is wider than the first width portion and the electrode surfaces in the second direction. One end portion in the first direction of the electrode surface is bonded to the pad on the first width portion via the bonding agent. The other end portion in the first direction of the electrode surface is bonded to the pad on the second width portion via the bonding agent. | 12-05-2013 |
20130320372 | LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - A light emitting diode, comprising a light emitting diode (LED) cell, a dielectric layer and a metal layer is provided. The LED cell has a top surface, a bottom surface, a first lateral surface and a second lateral surface. The bottom surface is opposite to the top surface. The second lateral surface is opposite to the first lateral surface. An electrode layer is disposed on the top surface. The dielectric layer is disposed on the bottom surface, the first lateral surface and the second lateral surface. The metal layer is disposed on the dielectric layer and electrically insulated from the electrode layer. | 12-05-2013 |
20130320373 | LIGHT EMITTING DEVICE - The present invention relates to a light emitting device comprising a transparent substrate which light can pass through and at least one LED chip emitting light omni-directionally. Wherein the LED chip is disposed on one surface of the substrate and the light emitting angle of the LED chip is wider than 180°, and the light emitted by the LED chip will penetrate into the substrate and at least partially emerge from another surface of the substrate. According to the present invention, the light emitting device using LED chips can provide sufficient lighting intensity and uniform lighting performance. | 12-05-2013 |
20130320374 | Double-Layer Circuit Structure with High Heat-Dissipation Efficiency - The present invention relates to a double-layer circuit structure with high heat-dissipation efficiency, comprising: a first thermal-conductive and electric-insulating layer, a plurality of first metal pads, a second thermal-conductive and electric-insulating layer, a circuit layer, and an anti-soldering layer. In the double-layer circuit structure, the second thermal-conductive and electric-insulating layer disposed on the first thermal-conductive and electric-insulating layer has a plurality of openings, and a plurality of second metal pads of the circuit layer on the second thermal-conductive and electric-insulating layer are connected with the openings, respectively. Thus, after each of devices to be welded are soldered on two second metal pads, the solder would flow into the openings through the soldering points between the devices to be welded and the second metal pads, so as to sequentially flow onto the first metal pads. Therefore, the flow path of the solder becomes a heat-dissipating shortcut for heat dissipation. | 12-05-2013 |
20130320375 | OPTOELECTRONIC DEVICE AND METHOD FOR FORMING THE SAME - According to an embodiment of the invention, an optoelectronic device is provided. The optoelectronic device includes: a lead frame having a reflective structure, wherein the reflective structure has an opening; an optoelectronic element disposed in the opening; at least one electrode disposed in the lead frame and electrically connected to the optoelectronic element; a lens disposed on the lead frame and having an adhesive portion having a holding surface, an alignment surface, and an adhesive surface, wherein the adhesive surface has a convex surface or a concave surface; and a covering adhesive layer filling a region defined by the reflective structure, covering the optoelectronic element, and adhering the lens to the lead frame through the adhesive portion of the lens. | 12-05-2013 |
20130320376 | FRAME HOLDER - A method of assembling an optical element on top of an active component in a substrate, by providing a substrate with active component and an optical element with a base and lateral base walls, fixating a bottom surface of a frame holder with opening and lateral frame walls arranged in a polygonal structure to the substrate so that the opening is positioned over the active component, and mounting the optical element in the opening so the lateral frame walls apply lateral confining mechanical force on the lateral base walls. | 12-05-2013 |
20130320377 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - An object is to provide a light-emitting element which uses a plurality of kinds of light-emitting dopants and has high emission efficiency. In one embodiment of the present invention, a light-emitting device, a light-emitting module, a light-emitting display device, an electronic device, and a lighting device each having reduced power consumption are provided by using the above light-emitting element. Focus is placed on Förster mechanism, which is one of mechanisms of intermolecular energy transfer. Efficient energy transfer by Förster mechanism is achieved by employing a combination of molecules which makes it possible to obtain an overlap between an emission spectrum band of the molecule which donates energy and the longest-wavelength-side peak of a characteristic curve obtained by multiplying an absorption spectrum of the molecule which receives energy by a wavelength raised to the fourth power. | 12-05-2013 |
20130320378 | LIGHT-EMITTING DEVICE - A light-emitting device includes a face-up type LED chip formed rectangular in a top view, and a rectangular parallelepiped-shaped sealing portion to seal the LED chip. An angle formed between a side surface of the LED chip and a side surface of the sealing portion in the top view is 45±17°, and a portion of light emitted from the LED chip is emitted from the side surface of the sealing portion. | 12-05-2013 |
20130320379 | EPOXY RESIN COMPOSITION AND LIGHT EMITTING APPARATUS - Disclosed are an epoxy resin composition and a light emitting apparatus. The epoxy resin composition includes a triazine derivative epoxy resin and an alicyclic epoxy resin. | 12-05-2013 |
20130320380 | LIGHTING DEVICE AND METHOD OF MANUFACTURING THE SAME - In a first aspect of the present invention, a lighting device includes a light-emitting element, a frame including a phosphor that can be excited by light emitted from the light-emitting element, the frame having an inner side surface surrounding the light-emitting element and an outer side surface being positioned outside the inner side surface that demarcates a quadrilateral area, and a light-transmitting resin arranged in the quadrilateral area demarcated by the inner side surface of the frame and sealing the light-emitting element that is positioned inside the quadrilateral area, and the light-transmitting resin being further provided in contact with an outer side surface of the frame. In some embodiments, it is disclosed that the light-transmitting resin provided in contact with the outer side surface of the frame may include a diffuser. | 12-05-2013 |
20130320381 | LIGHT EMITTING DEVICE, LIGHT EMITTING MODULE, AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode, a first insulating layer, a p-side interconnect layer, an n-side interconnect layer, and a second insulating layer. The portion of the second p-side interconnect layer has the L-shaped cross section being configured to include a p-side external terminal exposed from the first insulating layer and the second insulating layer at a third surface having a plane orientation different from the first surface and the second surface. The portion of the second n-side interconnect layer has the L-shaped cross section being configured to include an n-side external terminal exposed from the first insulating layer and the second insulating layer at the third surface. | 12-05-2013 |
20130320382 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode, an inorganic insulating film, a p-side interconnection portion, an n-side interconnection portion, and an organic insulating film. The organic insulating film is provided on the inorganic insulating film, at least on a portion between the p-side interconnection portion and the n-side interconnection portion. An end portion of the p-side interconnection portion on the n-side interconnection portion side and an end portion of the n-side interconnection portion on the p-side interconnection portion side override the organic insulating film. | 12-05-2013 |
20130320383 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode, a p-side metal pillar, an n-side metal pillar, and an insulator. The semiconductor layer includes a first surface, a second surface opposite to the first surface, and a light emitting layer. The p-side metal pillar includes a p-side external terminal. The n-side metal pillar includes an n-side external terminal. At least one selected from an area and a planar configuration of the p-side external terminal is different from at least one selected from an area and a planar configuration of the n-side external terminal. | 12-05-2013 |
20130320384 | CERAMIC CONVERSION ELEMENT, SEMICONDUCTOR CHIP COMPRISING A CERAMIC CONVERSION ELEMENT AND METHOD FOR PRODUCING A CERAMIC CONVERSION ELEMENT - A ceramic conversion element includes an active ceramic layer that converts electromagnetic radiation in a first wavelength range into electromagnetic radiation in a second wavelength range, which is different from the first wavelength range, and a carrier layer transmissive to radiation in the first wavelength range and/or radiation in the second wavelength range, wherein an inhibitor layer is arranged between the active layer and the carrier layer, the inhibitor layer reducing diffusion of activator ions from the active layer into the carrier layer. | 12-05-2013 |
20130320385 | Method for Producing a Radiation Conversion Element, Radiation Conversion Element and Optoelectronic Component Containing a Radiation Conversion Element - A method for producing a radiation conversion element is provided, in which a solution is applied to a substrate, a gel is formed from the solution and the gel is thermally treated. A radiation conversion element is also provided which is produced according to the method. An optoelectronic component is also provided which contains a radiation conversion element. | 12-05-2013 |
20130328077 | LIGHT-EMITTING ELEMENT - A light-emitting element includes: a light-emitting stack including an uneven upper surface; a transparent conductive layer formed on the uneven upper surface; an insulating layer formed on the transparent conductive layer, and partial regions of the transparent conductive layer are exposed; a reflective layer formed on the transparent conductive layer and the insulating layer; and a contact interface including a current blocking area formed between the insulating layer and the reflective layer; and a plurality of first contact regions formed between the transparent conductive layer and the reflective layer. | 12-12-2013 |
20130328078 | SMALL-SIZE LED PACKAGING STRUCTURE FOR ENHANCING LIGHT EMITTING ANGLE - A small-size LED packaging structure for enhancing a Sight emitting angle includes an opaque base and at least one light emitting chip. The light emitting chip is installed on the opaque base, and the opaque base includes a transparent sidewall disposed around the base and a concave-cup space, and the transparent sidewall is formed by a molding method, and the concave-cup space is filled with a packaging gel by a dispensing method, and the packaging gel is doped with at least one phosphor powder. Therefore, the transparent sidewall can increase the light emitting angle to 140°˜180° and reduce the amount of internal reflected light significantly to avoid the occurrence of a yellow ring phenomenon, and the phosphor powder can enhance the color manifestation and the color gamut. | 12-12-2013 |
20130328079 | SEMICONDUCTOR STRUCTURE - A semiconductor structure includes a first semiconductor layer, a active layer, a second semiconductor layer, a third optical symmetric layer, a metallic layer, a fourth optical symmetric layer, and a first optical symmetric layer stacked in sequence. The first semiconductor layer, the active layer, and the second semiconductor layer constitute a source layer. A refractive index of the third optical symmetric layer or the fourth optical symmetric layer is in a range from about 1.2 to about 1.5. A refractive index difference between the source layer and the first optical symmetric layer is less than or equal to 0.3. | 12-12-2013 |
20130328080 | LIGHT EMITTING DIODE - A light emitting diode includes a first semiconductor layer, an active layer, a second semiconductor layer, a third optical symmetric layer, a metallic layer, a fourth optical symmetric layer, and a first optical symmetric layer, a first electrode, and a second electrode. The first semiconductor layer includes a first surface and a second surface opposite to the first surface. The active layer, the second semiconductor layer, the third optical symmetric layer, the metallic layer, the fourth optical symmetric layer, and the first optical symmetric layer are stacked on the second surface in sequence. The first electrode covers and contacts the first surface. The second electrode is electrically connected with the second semiconductor layer. The first semiconductor layer, the active layer, and the second semiconductor layer constitute a source layer. | 12-12-2013 |
20130328081 | LIGHT EMITTING DIODE - A light emitting diode includes a substrate, a first semiconductor layer, an active layer, a second semiconductor layer, a third optical symmetric layer, a metallic layer, a fourth optical symmetric layer, and a first optical symmetric layer, and a second optical symmetric layer stacked with other in the listed sequence. The light emitting diode further includes a first electrode electrically connected with the first semiconductor layer and a second electrode electrically connected with the second semiconductor layer. A refractive index of the third optical symmetric layer or the fourth optical symmetric layer is in a range from about 1.2 to about 1.5. A refractive index difference between the source layer and the first optical symmetric layer is less than or equal to 0.3. A refractive difference between the second optical symmetric layer and the substrate is less than or equal to 0.1. | 12-12-2013 |
20130328082 | LIGHT EMITTING DIODE - A light emitting diode includes a substrate, a source layer, a metallic plasma generating layer, a first optical symmetric layer, a second optical symmetric layer, a first electrode, and a second electrode. The source layer includes a first semiconductor layer, an active layer, and a second semiconductor layer stacked on a surface of the substrate in series. The first electrode is electrically connected with the first semiconductor layer. The second electrode is electrically connected with the second semiconductor layer. The metallic plasma generating layer is disposed on a surface of the source layer away from the substrate. The first optical symmetric layer is disposed on a surface of the metallic plasma generating layer away from the substrate. The second optical symmetric layer is disposed on a surface of the first optical symmetric layer away from the substrate. | 12-12-2013 |
20130328083 | SEMICONDUCTOR STRUCTURE - A semiconductor structure includes a first semiconductor layer, an active layer, a second semiconductor layer, a first optical symmetric layer, a metallic layer, and a second optical symmetric layer stacked in that sequence. A first effective refractive index n | 12-12-2013 |
20130328084 | LIGHT EMITTING DIODE - A light emitting diode includes a substrate, a first semiconductor layer, an active layer, a second semiconductor layer, a first optical symmetric layer, a metallic layer, and a second optical symmetric layer stacked on the substrate in that sequence. A first electrode is electrically connected to the first semiconductor layer, and a second electrode is electrically connected to the second semiconductor layer. A first effective refractive index n | 12-12-2013 |
20130328085 | SEMICONDUCTOR STRUCTURE - A semiconductor structure includes a first semiconductor layer, an active layer, a second semiconductor layer, and a cermet layer stacked together. The active layer is on a surface of the first semiconductor layer. The second semiconductor layer is on a surface of the active layer away from the first semiconductor layer. The cermet layer is on a surface of the second semiconductor layer away from the first semiconductor layer. | 12-12-2013 |
20130328086 | LIGHT EMITTING DIODE - A light emitting diode includes a substrate, a buffer layer, a first semiconductor layer, an active layer, a second semiconductor layer, and a cermet layer. The active layer is on the first semiconductor layer. The second semiconductor layer is on the active layer. The cermet layer is on the second semiconductor layer. A first electrode is electrically connected to the first semiconductor layer. A second electrode is electrically connected to the second semiconductor layer. | 12-12-2013 |
20130328087 | LIGHT EMITTING DIODE - A light emitting diode includes a first semiconductor layer, an active layer, a second semiconductor layer, and a cermet layer. The active layer is on the first semiconductor layer. The second semiconductor layer is on the active layer. The cermet layer is on the second semiconductor layer. A first electrode covers entire surface of the first semiconductor layer away from the active layer. A second electrode is electrically connected to the second semiconductor layer. | 12-12-2013 |
20130328088 | LED Module and Lighting Apparatus - According to one embodiment, an LED module according to the embodiment is configured by an LED chip, a pair of wiring bodies, and sealing resin. The pair of wiring bodies are connected to both electrodes of the LED chip, respectively. The sealing resin is light-transmissive, and is provided so as to cover a top face and a base of the LED chip, and cover at least a part of the pair of wiring bodies. | 12-12-2013 |
20130328089 | LIGHT EMITTING DIODE FOR HARSH ENVIRONMENTS - A light emitting diode for harsh environments includes a substantially transparent substrate, a semiconductor layer deposited on a bottom surface of the substrate, several bonding pads, coupled to the semiconductor layer, formed on the bottom surface of the substrate, and a micro post, formed on each bonding pad, for electrically connecting the light emitting diode to a printed circuit board. An underfill layer may be provided between the bottom surface of the substrate and the top surface of the printed circuit board, to reduce water infiltration under the light emitting diode substrate. Additionally, a diffuser may be mounted to a top surface of the light emitting diode substrate to diffuse the light emitted through the top surface. | 12-12-2013 |
20130328090 | LIGHTING DEVICE - Provided is a lighting device, comprising: a light source module comprising: at least one light source disposed on a printed circuit board; and a resin layer disposed on the printed circuit board so that the light source is embedded; an indirect light emission unit which is formed in at least any one of one side and another side of the light source module, and which reflects light irradiated from the light source; and a diffusion plate having an upper surface formed on the light source module, and a side wall which is integrally formed with the upper surface and which is adhered onto an outer side surface of the indirect light emission unit, wherein a first separated space is formed between the light source module and the upper surface of the diffusion plate, whereby flexibility of the product itself can be secured, and durability and reliability of the product can be also improved while indirect light emission using a flare effect can be implemented. | 12-12-2013 |
20130328091 | LIGHT REFLECTING MEMBER FOR OPTICAL SEMICONDUCTOR, AND SUBSTRATE FOR MOUNTING OPTICAL SEMICONDUCTOR AND OPTICAL SEMICONDUCTOR DEVICE USING THE LIGHT REFLECTING MEMBER - The present invention relates to a light reflecting member for an optical semiconductor which makes it possible to manufacture a high-quality optical semiconductor device at a low cost, as well as a substrate for mounting an optical semiconductor and an optical semiconductor device using such a light reflecting member. | 12-12-2013 |
20130328092 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - A light emitting device is described, including a second conductive type semiconductor layer; an active layer over the second conductive type semiconductor layer; a first conductive type semiconductor layer over the active layer; a second electrode in a first region under the second conductive type semiconductor layer; a current blocking layer including a metal; and a first electrode over the first conductive type semiconductor layer. Further, the first electrode has at least one portion that vertically overlaps the current blocking layer. | 12-12-2013 |
20130328093 | THIN-FILM LED WITH P AND N CONTACTS ELECTRICALLY ISOLATED FROM THE SUBSTRATE - A thin-film light emitting diode includes an insulating substrate, a reflective metal electrode on the insulating substrate forming a current spreading layer, and an epitaxial structure on the electrode. | 12-12-2013 |
20130328094 | LIGHT EMITTING DEVICE AND LIGHING SYSTEM HAVING THE SAME - Provided is a light emitting device. The light emitting device includes a plurality of metal layers spaced from each other, a first insulation film having an opened area in which a portion of the plurality of metal layers is opened, the first insulation film being disposed around top surfaces of the plurality of metal layers, a light emitting chip disposed on at least one of the plurality of metal layers, the light emitting chip being electrically connected to the other metal layer, a resin layer disposed on the plurality of metal layers and the light emitting chip, and a first guide member formed of a non-metallic material, the first guide member being disposed on the first insulation film. | 12-12-2013 |
20130328095 | Ceramic Composite for Light Conversion, Method for Producing Same, and Light Emitting Device Including Same - A ceramic composite for light conversion, and method of producing same and a light emitting device including the same. The ceramic composite for light conversion of the present invention is a solidified body having a structure in which at least two oxide phases including a first phase and a second phase are continuously and three-dimensionally intertwined with one another, and characterized in that the first phase is a Y | 12-12-2013 |
20130334551 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting device comprising: a substrate having a first surface and a second surface, wherein the second surface is opposite to the first surface; a semiconductor structure formed on the first surface of the substrate, comprising a first type semiconductor layer, an active layer and a second type semiconductor layer; and an isolation region separating at least the active layer into a first part and a second part, wherein the first part is capable of generating the electromagnetic radiation, and the second part comprises a breakdown diode. | 12-19-2013 |
20130334552 | SEMICONDUCTOR LIGHT EMITTING ELEMENT, AND LIGHT EMITTING DEVICE - A semiconductor light emitting element includes a light emitting structure including a first conductivity type semiconductor layer, an active layer and a second conductivity type semiconductor layer. A first electrode structure includes a conductive via connected to the first conductivity type semiconductor layer. A second electrode structure is connected to the second conductivity type semiconductor layer. An insulating part having an open region exposes part of the first and second electrode structures while covering the first and second electrode structures. First and second pad electrodes are formed on the first and second electrode structures exposed by the open region and are connected to the first and second electrode structures. | 12-19-2013 |
20130334553 | Light Emitting Diode Package Structure And Manufacturing Method Thereof - Various examples of a light emitting diode (LED) package structure and a manufacturing method thereof are described. In one aspect, a LED package structure includes a carrier, a LED chip, a first annular barricade, a second annular barricade and a fluorescent encapsulant. The LED chip is electrically connected to the carrier. The first annular barricade and the second annular barricade are disposed around the LED chip, with the second annular barricade disposed between the LED chip and the first annular barricade. The fluorescent encapsulant is disposed on the carrier and at least covers the LED chip and the second annular barricade. The fluorescent encapsulant includes at least a type of phosphor and at least a type of gel with the phosphor distributed over a surface of the LED chip. | 12-19-2013 |
20130334554 | LIGHT EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - Embodiments of a light emitting device and a method for fabricating the same are provided. The light emitting device comprises a cavity and one or more light emitting elements. The cavity is formed to a depth of 450 μm or less, and the light emitting elements are installed in the cavity. A fabricating method includes forming a package body having a cavity with a depth of 250 μm to 450 μm and at least one lead frame disposed at the bottom surface of the cavity, mounting at least one light emitting element on the lead frame, and molding a molding member in the cavity. | 12-19-2013 |
20130334555 | OPTOELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - An optoelectronic device comprising: a substrate; and a transition stack formed on the substrate comprising one first transition layer formed on the substrate having a first hollow component formed inside the first transition layer and a second transition layer formed on the first transition layer having a second hollow component formed inside the second transition layer wherein the first hollow component and the second hollow component having a volume respectively, and the volume of the first hollow component is different with the second hollow component and the material of the transition stack comprises at least two element. | 12-19-2013 |
20130334556 | LIGHT EMITTING DEVICE AND LIGHT UNIT HAVING THE SAME - Provided are a light emitting device and a light unit including the same. The light emitting device includes a body, a first cavity disposed at a center of the body, the first cavity having an opened upper side, a second cavity disposed around an upper portion of the body, the second cavity being spaced from the first cavity, first and second lead electrodes disposed within the first cavity, a light emitting chip disposed on at least one of the first and second lead electrodes, and a first molding member in the first cavity. The second cavity has an upper width grater than a lower width thereof and a side surface of the second cavity is formed of a vertical side surface with respect to a top surface of the body. | 12-19-2013 |
20130334557 | COMPOSITION CONTAINING QUANTUM DOT FLUORESCENT BODY, MOLDED BODY OF QUANTUM DOT FLUORESCENT BODY DISPERSION RESIN, STRUCTURE CONTAINING QUANTUM DOT FLUORESCENT BODY, LIGHT-EMITTING DEVICE, ELECTRONIC APPARATUS, MECHANICAL DEVICE, AND METHOD FOR PRODUCING MOLDED BODY OF QUANTUM DOT FLUORESCENT BODY DISPERSION RESIN - Provided are a composition that contains a quantum dot fluorescent body and that is able to suppress quenching of the quantum dot fluorescent body, a molded body of a quantum dot fluorescent body dispersion resin, a structure containing a quantum dot fluorescent body, a light-emitting device, an electronic apparatus, or a mechanical device, and a method for producing the molded body of a quantum dot fluorescent body dispersion resin. | 12-19-2013 |
20130334558 | METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT, AND OPTOELECTRONIC COMPONENT - A method of producing an optoelectronic component includes providing a cavity; introducing a liquid matrix material with phosphor particles distributed therein into the cavity; introducing a semiconductor chip into the matrix material; sedimenting the phosphor particles in the matrix material; and curing the matrix material, wherein a conversion layer including phosphor particles is produced, said conversion layer being arranged on the semiconductor chip. | 12-19-2013 |
20130334559 | LIGHT EMITTING MODULE, A LAMP, A LUMINAIRE AND A DISPLAY DEVICE - A light emitting module | 12-19-2013 |
20130334560 | LIGHT EMITTING DIODE CHIP - The present invention relates to a light-emitting diode chip. According to the present invention, the light-emitting diode chip comprises: a substrate, the thickness of which is greater than 120 μm; and a light-emitting diode provided on the surface of the substrate, at one side thereof. | 12-19-2013 |
20130341660 | LED MODULE - An exemplary LED module includes an LED and a lens covering the LED. The lens includes a light-guiding portion over the LED and retaining portions protruding downwardly from the light-guiding portion. The LED includes a substrate, a first electrode and a second electrode mounted on the substrate, and an LED chip electrically connecting the first electrode and the second electrode respectively. Through holes are defined in the first electrode and the second electrode, respectively. Each retaining portion includes a first rugged portion and a second rugged portion. The retaining portions are inserted into the through holes correspondingly, the first rugged portion connects glue filled in a corresponding through hole, and the second rugged portion abuts the substrate, whereby the lens and the substrate are securely connected together. | 12-26-2013 |
20130341661 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element comprising a light-reflecting layer formed on a support substrate, the light-reflecting layer having light reflectivity and including a bank portion having a particular plane pattern, a first electrode formed on the light-reflecting layer so as to surround the bank portion of the light-reflecting layer, the first electrode having light transparency, a stacked semiconductor layer formed on the first electrode, the stacked semiconductor layer, and a second electrode selectively formed on the stacked semiconductor layer, wherein the bank portion of the light-reflecting layer has a portion that overlaps the second electrode when viewed in plan, a portion that rises up from the first electrode when viewed in cross section, and a side wall surface that reflects light emitted from the active layer to a region of the second semiconductor layer in which the second electrode is not formed. | 12-26-2013 |
20130341662 | Yellow-Green to Yellow-Emitting Phosphors Based on Halogenated-Aluminates - Disclosed herein are yellow-green and yellow-emitting aluminate based phosphors for use in white LEDs, general lighting, and LED and backlighting displays. In one embodiment of the present invention, the cerium-activated, yellow-green to yellow-emitting aluminate phosphor comprises the rare earth lutetium, at least one alkaline earth metal, aluminum, oxygen, at least one halogen, and at least one rare earth element other than lutetium, wherein the phosphor is configured to absorb excitation radiation having a wavelength ranging from about 380 nm to about 480 nm, and to emit light having a peak emission wavelength ranging from about 550 nm to about 600 nm. | 12-26-2013 |
20130341663 | LED WITH SURFACE ROUGHENING - An LED having a p-type layer of material with an associated p-contact, an n-type layer of material with an associated n-contact and an active region between the p-type layer and the n-type layer, includes a roughened emitting-side surface to further enhance light extraction. | 12-26-2013 |
20130341664 | SILICATE PHOSPHORS - The invention relates to compounds of the general formula (I) EA2-xEuxSiO4.aM2B4O7 (I) where EA stands for two or more elements selected from Ca, Sr, Zn and Ba, M stands for Li, Na or K, and a stands for a value from the range 0.01≦a≦0.08, and x stands for a value from the range 0.01≦x≦0.25. | 12-26-2013 |
20130341665 | LED (Light-Emitting Diode) Luminous Source Module - A polymeric optical lens for a light-emitting diode (LED) light source module, and in particular an LED light source module comprising this polymeric optical lens, and an LED lamp comprising this module. More particularly, an optical lens for a light-emitting diode (LED) light source module comprising a polymer selected from the group consisting of cellulose and its derivatives; starch and its derivatives; alginates and their derivatives; guars and their derivatives; chitin and its derivatives; and pectin and its derivatives. | 12-26-2013 |
20130341666 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes: a package which is made of a resin and includes a recess; a lead frame exposed to a bottom of the recess; a semiconductor light emitting element connected to the lead frame in the recess; a phosphor layer over the bottom of the recess; and a second resin layer above the phosphor layer and the semiconductor light emitting element, in which the phosphor layer contains a semiconductor fine particle having an excitation fluorescence spectrum which changes according to a particle size, and the phosphor layer includes a water-soluble or water-dispersible material. | 12-26-2013 |
20130341667 | LIGHT-EMITTING DEVICE - A light-emitting device includes a semiconductor light-emitting stack; a current injected portion formed on the semiconductor light-emitting stack; an extension portion having a first branch radiating from the current injected portion and a second branch extending from the first branch; an electrical contact structure between the second branch and the semiconductor light-emitting stack and having a first width; and a current blocking structure located right beneath the electrical contact structure and having a second width larger than the first width. | 12-26-2013 |
20130341668 | OPTICAL SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR - A manufacturing method for an optical semiconductor device, including disposing a semiconductor element that has a polarization dependent gain or polarization dependent loss between optical waveguide modes differing in the direction of polarization, positioning a lens at one end face side of the semiconductor element based on an optical coupling loss between the lens and the semiconductor element, and repositioning the lens based on the polarization dependent gain or the polarization dependent loss of the semiconductor element. | 12-26-2013 |
20130341669 | Phosphor Placement In White Light Emitting Diode Assemblies - A white LED assembly includes a blue LED die attached to a substrate. A first volume of a first luminescent material surrounds the blue LED die in a lateral dimension such that none of the first luminescent material is disposed directly over the blue LED die. The first luminescent material includes a relatively inefficient phosphor having a peak emission wavelength longer than 620nm and includes substantially no phosphor having a peak emission wavelength shorter than 620nm. A second volume of a second luminescent material is disposed over the first volume and the blue LED die. The second luminescent material includes a relatively efficient phosphor having a peak emission wavelength shorter than 620nm and includes substantially no phosphor having a peak emission wavelength longer than 620nm. Placement of the first and second luminescent materials in this way promotes removal of heat from the inefficient phosphor and reduces the likelihood of interabsorption. | 12-26-2013 |
20140001502 | SEMICONDUCTOR LIGHT EMITTING DEVICE | 01-02-2014 |
20140001503 | Conversion Component | 01-02-2014 |
20140001504 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME | 01-02-2014 |
20140001505 | LIGHT EMITTING DIODE PACKAGE WITH LENS AND METHOD FOR MANUFACTURING THE SAME | 01-02-2014 |
20140001506 | LIGHTING DEVICE | 01-02-2014 |
20140001507 | Optical Element and Radiation-Emitting Device Comprising Such an Optical Element | 01-02-2014 |
20140001508 | SEMICONDUCTOR LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME | 01-02-2014 |
20140008675 | Emitting Device with Improved Extraction - A profiled surface for improving the propagation of radiation through an interface is provided. The profiled surface includes a set of large roughness components providing a first variation of the profiled surface having a characteristic scale approximately an order of magnitude larger than a target wavelength of the radiation. The profiled surface also includes a set of small roughness components superimposed on the set of large roughness components and providing a second variation of the profiled surface having a characteristic scale on the order of the target wavelength of the radiation. | 01-09-2014 |
20140008676 | OPTICAL ENHANCEMENT OF LIGHT EMITTING DEVICES - Optical enhancement of light emitting devices. In accordance with an embodiment of the present invention, an apparatus includes an optical enhancement layer comprising nanoparticles. Each of the nanoparticles includes an electrically conductive core surrounded by an electrically insulating shell. The optical enhancement layer is disposed on a top semiconductor layer in a preferred path of optical emission of a light emitting device. The nanoparticles may enhance the light emission of the light emitting device due to emitter-surface plasmon coupling. | 01-09-2014 |
20140008677 | LIGHT EMITTING DIODE - A light emitting diode includes a source layer, a metallic plasma generating layer, a first optical symmetric layer, a first electrode, and a second electrode. The source layer includes a first semiconductor layer, an active layer, and a second semiconductor layer stacked in series. The first semiconductor layer includes a first surface and a second surface opposite to the first surface. The first electrode covers and contacts the first surface. The second electrode is electrically connected with the second semiconductor layer. The metallic plasma generating layer is disposed on a surface of the source layer away from the first semiconductor layer. The first optical symmetric layer is disposed on a surface of the metallic plasma generating layer away from the first semiconductor layer. A refractive index difference between the source layer and the first optical symmetric layer is less than or equal to 0.3. | 01-09-2014 |
20140008678 | LIGHT EMITTING DIODE DEVICE - A light emitting diode device includes a substrate, a light emitting diode chip, an optical lens and an adhesive interface layer. The light emitting diode chip is electrically connected with the substrate. The optical lens has an accommodation cavity to enclose the light emitting diode chip on the substrate, wherein the accommodation cavity includes a micro diffusion structure on an inner wall thereof. The adhesive interface layer is filled within the accommodation cavity of the optical lens. | 01-09-2014 |
20140008679 | SUBSTRATE FOR MOUNTING ELEMENT AND OPTICAL MODULE - An opening is provided corresponding to an installation area of a semiconductor element in an insulating resin layer for a base material. A first insulating resin layer is provided on a part of one main surface of the insulating resin layer outside the opening to surround the opening. In addition, a second insulating resin layer coats in a continuous manner: the edge portion of the opening on the one main surface of the insulating resin layer; the end face of the opening passing through the insulating resin layer; and the edge portion of the opening on the other main surface of the insulating resin layer. The upper end portion of the end face of the second insulating resin layer in contact with the one main surface of the insulating resin layer protrudes toward the first insulating resin layer. | 01-09-2014 |
20140008684 | ILLUMINATION SYSTEM WITH LIGHT SOURCE, RADIATION CONVERTING ELEMENT AND FILTER - The invention relates to an illumination system comprising 1) a light source arranged to emit primary radiation, 2) a radiation converting element arranged to convert at least part of the primary radiation into secondary radiation, and 3) a filter arranged to block radiation generated in the illumination system having a wavelength shorter than a certain cut-off wavelength. According to the invention, the filter is designed to block a part of the secondary radiation by having arranged the cut-off wavelength of the filter in the emission spectrum of the radiation converting element. Illumination devices according to this design show emission spectra with small bandwidth. | 01-09-2014 |
20140008685 | PATTERNED UV SENSITIVE SILICONE-PHOSPHOR LAYER OVER LEDS - LED dies are mounted a single submount tile (or wafer). The LED dies have a light emitting top surface. A uniformly thick layer of UV sensitive silicone infused with phosphor is then deposited over the tile, including over the tops and sides of the LED dies. Only the silicone/phosphor over the top and sides of the LED dies is desired, so the silicone/phosphor directly on the tile needs to be removed. The silicone/phosphor layer is then masked to expose the areas that are to remain to UV light, which creates a cross-linked silicone. The unexposed silicone/phosphor layer is then dissolved with a solvent and removed from the tile surface. The silicone/phosphor layer may be defined to expose a wire bond electrode on the LED dies. The tile is ultimately singulated to produce individual phosphor-converted LEDs. | 01-09-2014 |
20140008688 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided is an optical semiconductor device includes: a light-emitting layer having a first main surface, a second main surface opposed to the first main surface, a first electrode and a second electrode which are formed on the second main surface; a fluorescent layer provided on the first main surface; a light-transmissive layer provided on the fluorescent layer and made of a light-transmissive inorganic material; a first metal post provided on the first electrode; a second metal post provided on the second electrode; a sealing layer provided on the second main surface so as to seal in the first and second metal posts with one ends of the respective first and second metal posts exposed; a first metal layer provided on the exposed end of the first metal post; and a second metal layer provided on the exposed end of the second metal post. | 01-09-2014 |
20140008689 | PACKAGE OF LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - Provided is a package of a light emitting diode. The package according to an embodiment includes a package of a light emitting diode, the package comprising: a base layer including an entire top surface that is substantially flat; a light emitting diode chip on the base layer; a lead frame electrically connected to the light emitting diode chip; and a reflective coating layer comprising titanium oxide, wherein a top surface of the reflective coating layer is substantially parallel to a top surface of the base layer, and wherein ends of the reflective coating layer and base layer are aligned with each other. | 01-09-2014 |
20140014987 | METHODS AND APPARATUSES FOR SHIFTING CHROMATICITY OF LIGHT - The present disclosure relates to shifting a chromaticity of light generated from a light-emitting device. A light-emitting device may incorporate an optical element (e.g., filter) so that light emitted from a light-generating surface having an initial chromaticity may be altered. The optical element may shift the chromaticity of emitted light having the initial chromaticity to a final chromaticity that is different from the initial chromaticity. Thus, the chromaticity of emitted light from the manufactured LEDs that would otherwise be unacceptable for having chromaticity coordinates that fall outside of a desired chromaticity bin is shifted so as to have chromaticity coordinates that fall within suitable parameters. Accordingly, a number of the manufactured LEDs that would normally be discarded may be salvaged. | 01-16-2014 |
20140014988 | LIGHTING DEVICES INCLUDING PATTERNED OPTICAL COMPONENTS AND ASSOCIATED DEVICES, SYSTEMS, AND METHODS - Lighting devices including light-emitting diodes and associated devices, systems, and methods are disclosed herein. A lighting device configured in accordance with a particular embodiment includes a lighting-emitting diode and an optical component along a radiation path of the lighting-emitting diode. The optical component includes a color-converting material with walls defining a pattern, the walls extending generally entirely through a thickness of the color-converting material. A total surface area of the walls within a primary zone of the optical component is greater than a total surface area of color-converting features at a major side of the color-converting material. A method for making a lighting device in accordance with a particular embodiment includes combining an optical component and a light-emitting diode, and shaping a color-converting material of the optical component to have a thickness and a pattern of walls selected to control the color of light output from the lighting device. | 01-16-2014 |
20140014989 | Optoelectronic Semiconductor Chip and a Method for the Production Thereof - An optoelectronic semiconductor chip includes a semiconductor layer stack and a mirror. The semiconductor layer stack has an active layer for generating electromagnetic radiation. The mirror is arranged on an underside of the semiconductor layer stack. The mirror has a first region and a second region, the first region containing silver and the second region containing gold. A method of producing such a semiconductor chip is also defined. | 01-16-2014 |
20140014990 | LIGHT-EMITTING DEVICE PACKAGES AND METHODS OF MANUFACTURING THE SAME - Lights-emitting device (LED) packages, and methods of manufacturing the same, include at least one light-emitting structure. The at least one light-emitting structure includes a first compound semiconductor layer, an active layer, and a second compound semiconductor layer that are sequentially stacked, at least one first metal layer connected to the first compound semiconductor layer, a second metal layer connected to the second compound semiconductor layer, a substrate having a conductive bonding layer on a first surface of the substrate, and a bonding metal layer configured for eutectic bonding between the at least one first metal layer and the conductive bonding layer. | 01-16-2014 |
20140014991 | Light-Emitting Element with Window Layers Sandwiching Distributed Bragg Reflector - A light-emitting element includes a substrate; a light-emitting stacked layer on the substrate; a first window layer under the substrate; and a DBR under the first window layer; wherein the first window layer has a width substantially equal to that of the substrate in a cross-sectional view. | 01-16-2014 |
20140014992 | LED MOUNTING SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - An LED mounting substrate includes a base substrate, a conductive pattern formed on the base substrate and including a recessed portion on an upper surface thereof, and a light reflecting film formed in an inter-pattern gap of the conductive pattern on the base substrate and in the recessed portion of the conductive pattern. | 01-16-2014 |
20140014993 | LIGHT-EMITTING DEVICE - A light-emitting device includes an LED chip, and a case including a sidewall portion that surrounds the LED chip so as to reflect a light emitted from the LED chip. The case further includes a resin that includes a glass fiber and is integrally formed by injection molding. An average length of the glass fiber is greater than a thickness of the sidewall portion. The resin has a refractive index different from the glass fiber. | 01-16-2014 |
20140014994 | OPTOELECTRONIC DEVICE AND THE MANUFACTURING METHOD THEREOF - An optoelectronic device comprising a substrate; a first window layer on the substrate, having a first sheet resistance, a first thickness, and a first impurity concentration; a second window layer having a second sheet resistance, a second thickness, and a second impurity concentration; and a semiconductor system between the first window layer and the second window layer; wherein the second window layer comprises a semiconductor material different from the semiconductor system, and the second sheet resistance is greater than the first sheet resistance. | 01-16-2014 |
20140014995 | OPTOELECTRONIC COMPONENT AND METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT - An optoelectronic component includes a substrate, a semiconductor chip arranged on the substrate, and a light-transmissive cover, wherein the light-transmissive cover covers at least an area of the semiconductor chip facing away from the substrate, the light-transmissive cover has a hardness greater than that of silicone, and a connecting material is arranged as a potting material between the light-transmissive cover and the substrate such that those areas of the semiconductor chip not covered by the substrate are surrounded by the connecting material, and the connecting material forms a cavity seal. | 01-16-2014 |
20140014996 | ELECTROLUMINESCENCE DISPLAY DEVICE - Disclosed is an electroluminescence device having a substrate, a thin film transistor over the substrate, an insulating film over the thin film transistor, an electroluminescence element over the insulating film, a passivation film over the electroluminescence element, and a counter substrate over the passivation film. The electroluminescence element is configured to emit light through the counter substrate, and a space between the substrate and the counter substrate is filled with a filler. The electroluminescence device is featured by the tapered side surface of a gate electrode of the thin film transistor. | 01-16-2014 |
20140014997 | NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND LIGHT SOURCE INCLUDING THE NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT - A nitride semiconductor light-emitting element includes: n-side and p-side electrodes; n-type and p-type nitride semiconductor layers; and an active layer arranged between the n- and p-type nitride semiconductor layers. The p-type nitride semiconductor layer has a projection having a height of 30 nm to 50 nm. The projection is formed of a p-type nitride semiconductor including magnesium and silicon. The p-type nitride semiconductor has a silicon concentration of 1.0×10 | 01-16-2014 |
20140021499 | FLEXIBLE DISPLAY APPARATUS AND METHOD OF FABRICATING THE SAME - A method of forming a flexible display apparatus includes: forming a flexible substrate on a support substrate; forming a light-emitting diode on the flexible substrate; forming a first encapsulation layer on the light-emitting diode; forming a second encapsulation layer; bonding the first encapsulation layer to the second encapsulation layer using an adhesive layer between the first encapsulation layer and the second encapsulation layer; separating the support substrate from the flexible substrate and cutting the flexible substrate to form the flexible display apparatus; and forming a polarizing plate on the second encapsulation layer. | 01-23-2014 |
20140021500 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device and a manufacturing method thereof are provided. The light emitting device ( | 01-23-2014 |
20140021501 | Light Emitting Diode Device with Enhanced Heat Dissipation, and the Method of Preparing the Same - The present invention provides a light emitting diode device with enhanced heat dissipation, and the method of preparing the same. By forming the heat dissipating holes and trenches on the phosphor layer, and filling the heat dissipating holes and trenches on the phosphor layer with thermal conducting materials, the service life of the light emitting diode can be longer by reducing the thermal effect and improving the heat dissipation. | 01-23-2014 |
20140021502 | Light-Emitting Device - A highly reliable light-emitting device is provided, which is capable of effectively suppressing detrimental effects of sulfuric gas. A light-emitting device comprising a solid light-emitting element | 01-23-2014 |
20140021503 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes: a package which is made of a resin and includes a recess; a lead frame exposed to a bottom of the recess; a semiconductor light emitting element connected to the lead frame in the recess; a resin layer in contact with the lead frame in the recess and over the bottom of the recess; and a quantum dot phosphor layer above the resin layer and the semiconductor light emitting element, in which the resin layer includes a ceramic fine particle, and the quantum dot phosphor layer includes at least one of semiconductor fine particles having an excitation fluorescence spectrum which differs according to a particle size, and a resin holding the semiconductor fine particles dispersedly. | 01-23-2014 |
20140021504 | LIGHT EMITTING DEVICE - A light emitting device includes: a light emitting section including an active layer configured to emit light by application of a voltage; and a thin metal film disposed on a region of the light emitting section irradiated with the light. The thin metal film has a plurality of openings each having a diameter that is smaller than a wavelength of the light, and at least one phosphor is placed in each of the openings. | 01-23-2014 |
20140021505 | LIGHT-EMITTING DEVICE - This disclosure discloses a light-emitting device. The light-emitting device comprises: a substrate; an intermediate layer formed on the substrate; a transparent bonding layer; a first semiconductor window layer bonded to the semiconductor layer through the transparent bonding layer; and a light-emitting stack formed on the first semiconductor window layer. The intermediate layer has a refractive index between the refractive index of the substrate and the refractive index of the first semiconductor window layer. | 01-23-2014 |
20140027803 | Light Emitting Device and Manufacturing Method of the Same - The present invention is directed to a light emitting device structured so as to increase the amount of light which is taken out in a certain direction after emitted from a light emitting element, and a method of manufacturing this light emitting device. An upper end portion of an insulating material | 01-30-2014 |
20140027804 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - To provide a method of manufacturing at low cost a light emitting device that converts the wavelength of light radiated by a light emitting element and emits, the method includes: forming a phosphor layer on a translucent substrate; arranging a plurality of light emitting elements with a predetermined spacing, the light emitting elements having an electrode formed face provided with positive and negative electrodes respectively and arranged with the electrode formed faces on the top; embedding a resin containing phosphor particles so that an upper face of the embedded resin does not bulge over a plane containing the electrode formed faces; and curing the resin, and then cutting and dividing the cured resin, the phosphor layer and the translucent substrate into a plurality of light emitting devices each including one or more of the light emitting elements. | 01-30-2014 |
20140027805 | Optoelectronic Semiconductor Chip and Method for Producing an Optoelectronic Semiconductor Chip - An optoelectronic semiconductor chip has an epitaxial layer sequence. A doped epitaxial layer of the epitaxial layer sequence has a first region and a second region and a protected structure. The first region of the doped epitaxial layer completely covers the protected structure. The outer surface of the doped epitaxial layer has a first roughness in the first region and a second roughness in the second region. | 01-30-2014 |
20140027806 | SEMICONDUCTOR OPTOELECTRONIC STRUCTURE WITH INCREASED LIGHT EXTRACTION EFFICIENCY - A semiconductor optoelectronic structure with increased light extraction efficiency, includes a substrate; a buffer layer is formed on the substrate and includes a pattern having plural grooves formed adjacent to the substrate; a semiconductor layer is formed on the buffer layer and includes an n-type conductive layer formed on the buffer layer, an active layer formed on the n-type conductive layer, and a p-type conductive layer formed on the active layer; a transparent electrically conductive layer is formed on the semiconductor layer; a p-type electrode is formed on the transparent electrically conductive layer; and an n-type electrode is formed on the n-type conductive layer. | 01-30-2014 |
20140027807 | LENS-EQUIPPED OPTICAL SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A lens-equipped optical semiconductor device including: an optical semiconductor device including at least one optical semiconductor element mounted on a substrate and a transparent resin encapsulating body that encapsulates the optical semiconductor element; a resin lens having a recessed portion for housing the transparent resin encapsulating body; and a transparent resin layer filled into a space among the substrate, the recessed portion, and the transparent resin encapsulating body, wherein the recessed portion has a capacity that is at least 1.1 times a total volume of the optical semiconductor element and the transparent resin encapsulating body. | 01-30-2014 |
20140034979 | LED LIGHTING ASSEMBLY INTEGRATED WITH DIELECTRIC LIQUID LENS - An LED lighting assembly integrated with dielectric liquid lens, including: a heat dissipation substrate; an LED chip, located on the heat dissipation substrate; a transparent material, covering the heat dissipation substrate and the LED chip and having a curved surface; a transparent liquid, located above the transparent material; a transparent layer, located above the transparent liquid; a first dielectric liquid, located above the transparent layer; a second dielectric liquid, located above the first dielectric liquid and having a curved surface, wherein the second dielectric liquid has a second dielectric constant smaller than a first dielectric constant of the first dielectric liquid; a transparent electrode layer, located above the second dielectric liquid for applying a control voltage to generate a dielectric force on the second dielectric liquid; and an enclosing body. | 02-06-2014 |
20140034980 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device comprises a first groove extending to a first semiconductor layer from a second semiconductor layer side through the second semiconductor layer and a light emitting layer; a first ohmic electrode formed in contact with the first semiconductor layer in the first groove; an insulating layer covering a surface of the second semiconductor layer and at least the surface of part of the light emitting layer exposed in the first groove; a metal layer covering the surface of the insulating layer and connected to the first ohmic electrode; a second groove extending from a first semiconductor layer side through the first semiconductor layer and the light emitting layer to the second semiconductor layer; a second ohmic electrode formed in contact with the second semiconductor layer in the second groove; and a support body bonded to the metal layer via a junction layer. | 02-06-2014 |
20140034981 | LIGHT EMITTING DIODE STRUCTURE - A light-emitting diode structure has: a substrate; a light-emitting semiconductor stack on the substrate, wherein the light-emitting semiconductor stack comprises a first semiconductor layer, a second semiconductor layer with electrical polarity different from that of the first semiconductor layer, and a light-emitting layer between the first semiconductor layer and the second semiconductor layer; a first electrode electrically connected to the first semiconductor layer; and a second electrode electrically connected to the second semiconductor layer, wherein the first electrode comprises a contact area and an extension area, and the contact area has a first surface corresponding to the first semiconductor layer and the extension area has a second surface corresponding to the first semiconductor layer, wherein a roughness of the first surface is different from that of the second surface, and the reflectivity of the first surface is smaller than that of the second surface. | 02-06-2014 |
20140034982 | LIGHT-EMITTING DEVICE - A light-emitting device includes a pixel having a transistor provided over a substrate, and a light-emitting element. The transistor includes a single-crystal semiconductor layer which forms a channel formation region, a silicon oxide layer is provided between the substrate and the single-crystal semiconductor layer, a source or a drain of the transistor is electrically connected to an electrode of the light-emitting element, and the transistor is operated in a saturation region when the light-emitting element emits light. Further, in the light-emitting device, a gray scale of the light-emitting element is displayed by changing a potential applied to the gate of the transistor. | 02-06-2014 |
20140034983 | Method for Manufacturing at Least One Optoelectronic Semiconductor Device - A method for manufacturing at least one optoelectronic semiconductor device includes providing a substrate and applying a number of optoelectronic semiconductor chips, which are arranged spaced apart from one another in a lateral direction, on an upper face of the substrate. At least one reflective coating is applied to the exposed areas of the substrate and the lateral surfaces of the optoelectronic semiconductor chips. Openings are introduced into the reflective coating, which completely penetrate the reflective coating. Electrically conductive material is arranged on the reflective coating and at least on some parts of the openings. Radiation penetration surfaces of the optoelectronic semiconductor chips are free of the reflective coating and the reflective coating does not laterally extend beyond the optoelectronic semiconductor chips. | 02-06-2014 |
20140034984 | SEMICONDUCTOR LIGHT EMITTER DEVICE - A semiconductor light emitter device for emitting light having a photon energy, comprises a mechanical carrier made substantially of a material that is an absorbant of the light with the photon energy, and having a carrier bottom side and a carrier top side opposite to the carrier bottom side, a layer structure epitaxially deposited on the carrier bottom side of the mechanical carrier and comprising an active-layer stack with at least two semiconductor layers of opposite conductivity types, which is configured to emit light upon application of a voltage to the active-layer stack, and at least one opening in the mechanical carrier, the opening reaching from the carrier bottom side to the carrier top side and being arranged and shaped to allow a passage of light, which is emitted from the active-layer stack, through the opening in the mechanical carrier. | 02-06-2014 |
20140034985 | LIGHT EMITTING DIODE HAVING INCREASED LIGHT EXTRACTION - An n-type layer, an active layer, and a p-type layer are grown on a growth substrate. Portions of the p-type layer and active layer are etched away to expose the n-type layer, and an n-electrode is formed over the exposed portions of the n-type layer. A first dielectric layer is formed over the n-electrodes. A transparent conductor layer is formed over the p-type layer and the first dielectric layer. A p-electrode is formed over the transparent conductor layer. A transparent bonding layer is deposited over the transparent conductor layer and the p-electrode. A transparent support substrate is bonded to the p-type layer via the bonding layer. The growth substrate is then removed to expose the n-type layer, and the layers are etched to expose the n and p electrodes for connection to a power source. A reflector layer is formed on the bottom surface of the substrate. | 02-06-2014 |
20140034986 | BROADBAND DIELECTRIC REFLECTORS FOR LED WITH VARYING THICKNESS - A broadband, omnidirectional, multi-layer, dielectric reflector for an LED in a white light emitting device provides both near 100% reflectivity across the visible spectrum of light, and electrical insulation between the substrate and the electrical circuitry used to power and control the LED. When a sealant material, having a higher index of refraction than air, is used to protect the LED and the accompanying electrical circuitry, an aluminum reflector layer or substrate is provided to make up for the loss of reflectivity at certain angles of incidence. The dielectric reflector includes two separate sections with two different thicknesses, a thinner section below the LED providing better heat conductivity, and a thicker section surrounding the LED providing better reflectivity. | 02-06-2014 |
20140034987 | COMPOSITE HIGH REFLECTIVITY LAYER - A high efficiency light emitting diode with a composite high reflectivity layer integral to said LED to improve emission efficiency. One embodiment of a light emitting diode (LED) chip comprises an LED and a composite high reflectivity layer integral to the LED to reflect light emitted from the active region. The composite layer comprises a first layer, and alternating plurality of second and third layers on the first layer, and a reflective layer on the topmost of said plurality of second and third layers. The second and third layers have a different index of refraction, and the first layer is at least three times thicker than the thickest of the second and third layers. For composite layers internal to the LED chip, conductive vias can be included through the composite layer to allow an electrical signal to pass through the composite layer to the LED. | 02-06-2014 |
20140034988 | MANUFACTURING METHOD OF LIGHT-EMITTING DEVICE AND LIGHT MIXING DEVICE - Disclosed is a light-emitting device comprising: a carrier; a light-emitting element disposed on the carrier; a first light guide layer covering the light-emitting element; a second light guide layer covering the first light guide layer; a low refractive index layer between the first light guide layer and the second light guide layer to reflect the light from the second light guide layer; and a wavelength conversion layer covering the second light guide layer; wherein the low refractive index layer has a refractive index smaller than one of the refractive indices of first light guide layer and the second light guide layer. | 02-06-2014 |
20140034989 | LIGHT EMITTING APPARATUS - A light emitting device including a contact layer, a blocking layer over the contact layer, a protection layer adjacent the blocking layer, a light emitter over the blocking layer, and an electrode layer coupled to the light emitter. The electrode layer overlaps the blocking layer and protection layer, and the blocking layer has an electrical conductivity that substantially blocks flow of current from the light emitter in a direction towards the contact layer. In addition, the protection layer may be conductive to allow current to flow to the light emitter or non-conductive to block current from flowing from the light emitter towards the contact layer. | 02-06-2014 |
20140034990 | III-V LIGHT EMITTING DEVICE WITH THIN N-TYPE REGION - A device includes a semiconductor structure comprising a III-phosphide light emitting layer disposed between an n-type region and a p-type region. A transparent, conductive oxide is disposed in direct contact with the n-type region. In some embodiments, a total thickness of semiconductor material between the light emitting layer and the transparent, conductive oxide is less than one micron. | 02-06-2014 |
20140034991 | TUNABLE COLOUR LED MODULE - A tunable colour LED module comprises at least two sub-modules, each comprising an LED, a wavelength converting element (WCE) and a reflector cup. The total light emitted by the module comprises light generated from each LED and WCE and the module is configured to emit a total light having a predefined colour chromaticity when activation properties of the LEDs are managed appropriately. The total light may have a broad white emission spectrum. The module combines the benefits of a low cost with uniform chromaticity properties in the far field, and offers long and controlled lifetime at the same time as flexibility and intelligence of tunable colour chromaticity, Colour Rendering Index (CRI) and intensity, either at manufacture or in an end user lighting application. A controlled LED module system comprises a control system for the managing activation properties of the LEDs in the sub-modules. Also described is a method of manufacture. | 02-06-2014 |
20140034992 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING THE SAME | 02-06-2014 |
20140042470 | METHOD OF MAKING LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE MADE THEREOF - This disclosure discloses a method of making a light-emitting device. The method comprises forming a plurality of light-emitting chips, each of the light-emitting chips comprising an epitaxial structure and an electrode formed on the epitaxial structure; forming a protection layer on the electrode in each of the light-emitting chips; forming a plurality of light-emitting groups by collecting the light-emitting chips, wherein each of the light-emitting groups having substantially the same opto-electrical characteristics; forming a wavelength converted layer in each of the light-emitting groups to cover the epitaxial structure and the protection layer; and removing the wavelength converted layer on the protection layer to expose the protection layer. | 02-13-2014 |
20140042471 | LIGHT-EMITTING APPARATUS AND MANUFACTURING METHOD THEREOF - A light-emitting apparatus includes a light-emitting, a first lead, a second lead, and a resin molded body configured to support the first lead and the second lead. The main surfaces of the first and second leads includes first and second coverage areas covered by the resin molded body and first and second exposure regions exposed from the resin molded body at a window portion of the resin molded body, respectively. First and second metal layers are provided to cover main surfaces of the first and second leads at first and second exposure regions, respectively. | 02-13-2014 |
20140042472 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a substrate, a semiconductor light-emitting element, a frame body, a first translucent resin, and a second translucent resin. The substrate has a convex portion. The semiconductor light-emitting element placed on the convex portion. The frame body is provided so as to surround the convex portion. The first translucent resin covers an upper surface and a side surface of the light-emitting element, extends from the convex portion to the frame body, and contains a fluorescent body. The second translucent resin is provided on the substrate so as to bury the light-emitting element and the frame body. | 02-13-2014 |
20140042473 | VERTICAL LIGHT EMITTING DIODE AND MANUFACTURING METHOD AND APPLICATION THEREOF - A vertical light emitting diode (LED) is disclosed, which includes a conductive substrate; a conductive diamond-like carbon (DLC) layer located on the conductive substrate; a first passivation layer disposed on the conductive DLC layer and formed with a first opening; a first electrode located on the conductive DLC layer and in the first opening of the first passivation layer; a semiconductor epitaxial multilayer structure disposed on the first electrode; a second passivation layer disposed on the first passivation layer and covering the lateral surface of the semiconductor epitaxial multilayer structure, wherein a second opening is formed in the second passivation layer to expose the surface of the semiconductor epitaxial multilayer structure; and a second electrode located on the semiconductor epitaxial multilayer structure and in the second opening of the second passivation layer. A method for manufacturing the vertical LED mentioned above is also disclosed. | 02-13-2014 |
20140042474 | LIGHT EMITTING DEVICE - A light emitting structure including a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer. A first electrode is electrically coupled to the first conductive semiconductor layer. A current blocking layer is provided adjacent to the light emitting structure, and includes a top surface disposed in the first conductive semiconductor layer by passing through the active layer. A first metal layer is provided over the current blocking layer and contacts the first conductive semiconductor layer, and a reflective electrode is electrically coupled to the second conductive semiconductor layer. | 02-13-2014 |
20140042475 | DUAL DISPLAY DEVICE WITH VERTICAL STRUCTURE - Disclosed is a dual display device having a vertical structure, in which a reflective display device and a self-emissive display device are formed on one substrate in a vertical structure so as to enable a reflective display or a self-emissive display according to a situation and provide a high resolution display. The dual display device having a vertical structure includes: a thin film transistor formed on a substrate; a white light emitting device formed on the thin film transistor: a reflection adjusting layer formed on the white light emitting device; and a color converting layer formed on the reflection adjusting layer. | 02-13-2014 |
20140042476 | Metal Oxide Thin Film Substrate, Method Of Fabricating The Same, Photovoltaic Cell And OLED Including The Same - A metal oxide thin film substrate which can increase light trapping efficiency and light extraction efficiency, a method of fabricating the same and a photovoltaic cell and organic light-emitting device (OLED) including the same. The metal oxide thin film substrate includes a base substrate, and a metal oxide thin film formed on the base substrate. The metal oxide thin film has voids which are formed inside the metal oxide thin film to scatter light. | 02-13-2014 |
20140042477 | LUMINOPHORE COMPOSITION FOR UV-VISIBLE LIGHT CONVERSION AND LIGHT CONVERTER OBTAINED THEREFROM - A luminophore composition comprising amorphous aluminoborate powders is disclosed. The composition is obtainable by preparing an aluminoborate resin by a wet chemical route based on precursors solutions substantially free from monovalent and divalent cations; drying the resin to obtain a solid; grinding the solid to obtain a powder; pyrolyzing the powder at a pyrolysis temperature lower than the crystallization temperature of the composition; and calcinating the powder so pyrolyzed at a calcination temperature lower than the crystallization temperature of the composition. Furthermore, a process for the preparation of said composition is disclosed. The composition is particularly suitable for use in solid-state lighting, and for example for converting UV light into warm white visible light. | 02-13-2014 |
20140042478 | OPTICAL SEMICONDUCTOR PACKAGE, OPTICAL SEMICONDUCTOR MODULE, AND MANUFACTURING METHOD OF THESE - An optical semiconductor package has a base material that includes a principal surface, an optical semiconductor element that is located on the principal surface of the base material to project or receive light, and an optical transparency sealing layer that seals the optical semiconductor element while covering the principal surface of the base material. An air gap having a shape surrounding an optical axis of the optical semiconductor element is provided in the optical transparency sealing layer such that the light is reflected by an interface of a portion corresponding to an inner circumferential surface of the air gap in an interface formed by the air gap and the optical transparency sealing layer. | 02-13-2014 |
20140042479 | Light Emitting Diode Package with Enhanced Heat Conduction - A light emitting diode (LED) device and packaging with enhance heat conduction. An LED in a wafer level processing (WLP) package is disclosed using vias in the silicon to route the electrical connections to the LED backside and a dedicated hole in the silicon with a direct heat conduction route from the LED to the printed circuit board. Certain layers act to promote mechanical, electrical, thermal, or optical characteristics of the device. The device avoids or ameliorates heat dissipation problems found in conventional LED devices. Some embodiments include a plurality of optically permissive layers, including an optically permissive cover substrate comprising phosphors and/or quantum dots. | 02-13-2014 |
20140042480 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - Disclosed are a light emitting device. The light emitting device includes a light emitting structure including a first and second conductive semiconductors, and an active layer; an insulating layer on a lateral surface of the light emitting structure; an electrode on the first conductive semiconductor layer; an electrode layer under the second conductive semiconductor layer; and a protective layer including a first portion between the light emitting structure and the electrode layer and a second portion extending outward beyond a lower surface of the light emitting structure, wherein the first conductive semiconductor layer includes a first top surface including a roughness on a first region, and a second top surface lower than the first region and being closer the lateral surface of the light emitting structure than the first region, wherein the second top surface is disposed on an edge portion of the first conductive semiconductor layer. | 02-13-2014 |
20140042481 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A light emitting device which is inexpensive and has excellent characteristics and a method for manufacturing the same are provided. In the present invention, a chip-size package including a reflection wall is formed by forming a double structure in which a fluorescent material-containing film piece | 02-13-2014 |
20140048830 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A method of fabricating a light-emitting device comprising steps of: providing a substrate, an active layer, and a first semiconductor layer between the substrate and the active layer; removing part of the active layer; and forming a rough structure in the first semiconductor layer while keeping the active layer attached to the substrate. | 02-20-2014 |
20140048831 | GRADED FLUORESCENT MATERIAL - Some embodiments in the present disclosure generally relate to fluorescent structures such as fluorescent glass, fluorescent substrates, and/or light emitting devices, which can include a gradient of fluorescent molecules across the structure, substrate, and/or light emitting device. In some embodiments, the fluorescent glass, fluorescent substrates, and/or light emitting devices can be porous and include at least one fluorescent molecule within the one or more pore. In some embodiments, this can allow for the creation of a gradient fluorescent material throughout the material. | 02-20-2014 |
20140048832 | Micro-Bead Blasting Process for Removing a Silicone Flash Layer - Using compression molding to form lenses over LED arrays on a metal core printed circuit board leaves a flash layer of silicone covering the contact pads that are later required to connect the arrays to power. A method for removing the flash layer involves blasting particles of sodium bicarbonate at the flash layer. A nozzle is positioned within thirty millimeters of the top surface of the flash layer. The stream of air that exits from the nozzle is directed towards the top surface at an angle between five and thirty degrees away from normal to the top surface. The particles of sodium bicarbonate are added to the stream of air and then collide into the top surface of the silicone flash layer until the flash layer laterally above the contact pads is removed. The edge of silicone around the cleaned contact pad thereafter contains a trace amount of sodium bicarbonate. | 02-20-2014 |
20140048833 | LIGHT-EMITTING DEVICE WITH NARROW DOMINANT WAVELENGTH DISTRIBUTION AND METHOD OF MAKING THE SAME - This application discloses a light-emitting device with narrow dominant wavelength distribution and a method of making the same. The light-emitting device with narrow dominant wavelength distribution at least includes a substrate, a plurality of light-emitting stacked layers on the substrate, and a plurality of wavelength transforming layers on the light-emitting stacked layers, wherein the light-emitting stacked layer emits a first light with a first dominant wavelength variation; the wavelength transforming layer absorbs the first light and converts the first light into the second light with a second dominant wavelength variation; and the first dominant wavelength variation is larger than the second dominant wavelength variation. | 02-20-2014 |
20140048834 | METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE - A method for manufacturing a light emitting device that comprises a light emitting element and a phosphor layer to absorb at least a part of light emitted from the light emitting element to emit a light having a different wavelength from that of the absorbed light comprises a first resin layer forming step of forming a first resin layer with a first resin in which viscosity is adjusted to a first viscosity on a light emitting face of the light emitting element to define a predetermined shape of the phosphor layer; a second resin layer forming step of forming a second resin layer with a second resin containing a phosphor in which viscosity is adjusted to a second viscosity lower than the first viscosity on the first resin layer before curing the first resin layer; and a curing step of curing the first resin layer and the second resin layer. | 02-20-2014 |
20140048835 | REFLECTIVE DISPLAY DEVICES - A technique of producing a control component for a reflective display device, comprising: forming an array of electronic switching devices; forming over said array of electronic switching devices an insulator region defining a controlled surface topography; and forming on the patterned surface of the insulator region by a conformal deposition technique a substantially planar array of reflective pixel conductors each independently controllable via a respective one of the array of electronic switching devices, wherein each pixel conductor exhibits specular reflection at a range of reflection angles relative to the plane of the array of pixel conductors for a given incident angle relative to the plane of the array of pixel conductors. | 02-20-2014 |
20140054632 | SILICONE COATED LIGHT-EMITTING DIODE - A silicone protective coating for an electronic light source and a method for applying the coating over an exposed or outer surface of the electronic light source assembled as part of or mounted to a circuit board or other substrate. | 02-27-2014 |
20140054633 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate and a light emitting structure including a first conductive type semiconductor layer, an active layer and a second conductive type semiconductor layer provided in a first direction on the substrate. A first electrode layer is provided over the first conductive type semiconductor layer, and a second electrode layer is provided in a second direction over the second conductive type semiconductor layer. The second electrode layer has an energy band gap wider than an energy band gap of the active layer. | 02-27-2014 |
20140054634 | SILICATE PHOSPHOR EXHIBITING HIGH LIGHT EMISSION CHARACTERISTICS AND MOISTURE RESISTANCE, AND LIGHT EMITTING DEVICE - A silicate phosphor having a coating layer comprising a fluorine-containing compound on its surface which is obtained by a method of heating a mixture of 100 weight parts of a silicate phosphor and 0.5-15 weight parts of ammonium fluoride at a temperature in the range of 200 to 600° C. exhibits high light emission intensity and high moisture resistance. | 02-27-2014 |
20140054635 | CARRIER FOR AN OPTOELECTRONIC STRUCTURE AND OPTOELECTRONIC SEMICONDUCTOR CHIP COMPRISING SUCH A CARRIER - A carrier ( | 02-27-2014 |
20140054636 | NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND METHOD FOR FABRICATING THE SAME - This nitride-based semiconductor light-emitting element includes: a nitride-based semiconductor multilayer structure including a p-type semiconductor region, the nitride-based semiconductor multilayer structure having a growing plane which is an m-plane; and an electrode which is arranged on an Al | 02-27-2014 |
20140054637 | Omnidirectional Reflector - A system and method for manufacturing an LED is provided. A preferred embodiment includes a substrate with a distributed Bragg reflector formed over the substrate. A photonic crystal layer is formed over the distributed Bragg reflector to collimate the light that impinges upon the distributed Bragg reflector, thereby increasing the efficiency of the distributed Bragg reflector. A first contact layer, an active layer, and a second contact layer are preferably either formed over the photonic crystal layer or alternatively attached to the photonic crystal layer. | 02-27-2014 |
20140054638 | LIGHT EMITTING DEVICES HAVING SHIELDED SILICON SUBSTRATES - Light emitting devices comprise a light emitting component, such as a GaN LED having active material layers supported by a Silicon substrate, which can be a growth substrate, or attached. Phosphor(s) can be disposed relative to the light emitting component to absorb a primary emission, and produce a secondary emission that can be relatively tuned or selected so that their combination produces light of a desired spectrum, such as light appearing white. The Silicon substrate has exposed sidewalls, which can be angled, with respect to planar surfaces of the substrate, and a light reflecting material, such as a diffusely reflective material coats the sidewalls. The reflective material can be opaque to the primary and secondary emissions. If other exposed portions of the Silicon substrate exist and are exposed to primary or secondary light, these other exposed portions can be coated with such light reflecting material. | 02-27-2014 |
20140061695 | LIGHT-EMITTING DIODE WITH A MIRROR PROTECTION LAYER - A light-emitting diode (LED) with a mirror protection layer includes sequentially stacked an N-type electrode, an N-type semiconductor layer, a light-emitting layer, a P-type semiconductor layer, a metal mirror layer, a protection layer, a buffer layer, a binding layer, a permanent substrate, and a P-type electrode. The protection layer is made of metal oxide, and has a hollow frame for covering or supporting edges of the metal mirror layer. Accordingly, the metal mirror layer can be protected by the protection layer to prevent from oxidation in subsequent processes and to prevent metal deterioration during high-current operations. Thus the metal mirror layer can maintain high reflectivity, thereby increasing light extraction efficiency and electrical stability of the LED. | 03-06-2014 |
20140061696 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device is provided that includes a support substrate, a first metal layer formed on the support substrate, a transparent conductive layer formed on the first metal layer, a second metal layer embedded in the transparent conductive layer, and a semiconductor light emitting layer formed on the transparent conductive layer. A reflectance of the second metal layer to light emitted by the semiconductor light emitting layer is higher than a reflectance of the first metal layer to light emitted by the semiconductor light emitting layer. | 03-06-2014 |
20140061697 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - An LED package includes adjacent first and second electrodes, first and second extension electrodes protruding sideward from the first and second electrodes, a molded body surrounding the first and second electrodes and an LED die. The molded body forms a reflecting cup located over the first and second electrodes, with each reflecting cup defining a receiving cavity in a top face thereof to receive the LED die. The first and second extension electrodes are exposed from an outer periphery of the molded body. The first electrode has a first bottom face. The second electrode has a second bottom face. The first and second bottom faces of the first and second electrodes are exposed out from a bottom face of the molded body. A method for manufacturing the LED package is also provided. | 03-06-2014 |
20140061698 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - An LED package includes a first electrode, a second electrode adjacent to the first electrode, a molded body surrounding and encapsulating the first and second electrodes, and an LED die mounted on the second electrode. The molded body includes a reflecting cup located over the first and second electrodes and the reflecting cup defines a receiving cavity in a top face to receive the LED die. A first extension electrode protrudes sideward from the first electrode and a second extension electrode protrudes sideward from the second electrode. The first and second extension electrodes are exposed from an outer periphery of the molded body. A method for manufacturing the LED package is also provided. | 03-06-2014 |
20140061699 | OPTICAL LENS, LIGHT EMITTING DEVICE, AND LIGHTING DEVICE HAVING THE SAME - The light emitting device includes a light emitting chip, and an optical lens provided over the light emitting chip. The optical lens includes an incident surface into which a light emitted from the light emitting chip is incident, a recess portion opposite to the incident surface and recessed in a direction of the incident surface, an exit surface provided at a peripheral portion of the recess portion to output a light incident through the incident surface, and a convex portion protruding between the recess portion and the exit surface and connected with at least one of the recess portion and the exit surface through an inflection point. The convex portion is located inward of a line segment ranging from the light emitting chip to a first inflection point provided at an outermost portion of the recess portion. | 03-06-2014 |
20140061700 | FLIP-CHIP LIGHT-EMITTING DIODE STRUCTURE AND MANUFACTURING METHOD THEREOF - A flip-chip light-emitting diode structure comprises a carrier substrate, a light-emitting die structure, a reflective layer, an aperture, a dielectric layer, a first contact layer and a second contact layer. The light-emitting die structure, located on the carrier substrate, comprises a first type semiconductor layer, a second type semiconductor layer and a light emitting layer. The light emitting layer is formed between the first type and the second type semiconductor layer. The reflective layer is located on the first type semiconductor layer. The aperture penetrates the light-emitting die structure. The dielectric layer covers an inner sidewall of the aperture and extends to a portion of a surface of the reflective layer. The first contact layer is disposed on the part of the reflective layer not covered by the dielectric layer. The second contact layer fills up the aperture and is electrically connected to the second type semiconductor layer. | 03-06-2014 |
20140061701 | Electrode Foil and Electronic Device - There is provided an electrode foil, which can show superior light scattering, while preventing short circuit between electrodes. The electrode foil of the present invention comprises a metal foil having a thickness of from 1 μm to 250 μm, wherein the electrode foil comprises, on at least one outermost surface thereof, a light-scattering surface having a Pv/Pp ratio of 2.0 or higher, wherein the Pv/Pp ratio is a ratio of a maximum profile valley depth Pv of a profile curve to a maximum profile peak height Pp of the profile curve as measured in a rectangular area of 181 μm×136 μm in accordance with JIS B 0601-2001. | 03-06-2014 |
20140061702 | Optoelectronic Semiconductor Chip - An optoelectronic semiconductor chip includes a semiconductor layer sequence and a carrier substrate. A first and a second electrical contact layer are arranged at least regionally between the carrier substrate and the semiconductor layer sequence and are electrically insulated from each other by an electrically insulating layer. A minor layer is arranged between the semiconductor layer sequence and the carrier substrate. The semiconductor chip comprises a transparent encapsulation layer covering side surfaces of the semiconductor layer sequence, side surfaces of the minor layer and side surfaces of the electrically insulating layer facing towards the side surfaces of the semiconductor chip. | 03-06-2014 |
20140061703 | OPTOELECTRONIC SEMICONDUCTOR CHIP - An optoelectronic semiconductor chip includes a carrier including a carrier element having a mounting side; one electrically conductive n-type wiring layer arranged at the mounting side; a structured, electrically conductive contact layer having a p-side and n-side contact region and arranged at a side of the n-type wiring layer facing away from the carrier element; at least one insulation region electrically insulating the p-side contact region from the n-side contact region; at least one electrically insulating spacer layer arranged at a side of the n-type wiring layer facing away from the carrier element in a vertical direction between the p-side contact region and the n-type wiring layer, wherein the n-side contact region and the n-type wiring layer electrically conductively connect to one another, and the p-side contact region and the spacer layer border the n-side contact region in a lateral direction; an optoelectronic structure connected to the carrier. | 03-06-2014 |
20140061704 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a light emitting device comprises (a) preparing a structure including a substrate, a semiconductor layer formed on the substrate, and a p-side electrode and an n-side electrode formed on the semiconductor layer; (b) preparing a support member including a p-side wiring and an n-side wiring on the same surface thereof; (c) electrically connecting the p-side electrode and the n-side electrode of the structure to the p-side wiring and the n-side wiring of the support member, respectively, using an anisotropic conductive material containing conductive particles and a first resin; and after step (c), (d) removing the substrate from the structure. | 03-06-2014 |
20140061705 | LIGHT-EMITTING DIES INCORPORATING WAVELENGTH-CONVERSION MATERIALS AND RELATED METHODS - In accordance with certain embodiments, semiconductor dies are embedded within polymeric binder to form, e.g., freestanding white light-emitting dies and/or composite wafers containing multiple light-emitting dies embedded in a single volume of binder. | 03-06-2014 |
20140061706 | ULTRAVIOLET LIGHT EMITTING DIODE PACKAGE - An ultraviolet light emitting diode package for emitting ultraviolet light is disclosed. The ultraviolet light emitting diode package comprises an LED chip emitting light with a peak wavelength of 350 nm or less, and a protective member provided so that surroundings of the LED chip is covered to protect the LED chip, the protective member having a non-yellowing property to energy from the LED chip. | 03-06-2014 |
20140061707 | SOLID STATE LIGHT SOURCES BASED ON THERMALLY CONDUCTIVE LUMINESCENT ELEMENTS CONTAINING INTERCONNECTS - Solid state light sources based on LEDs mounted on or within thermally conductive luminescent elements provide both convective and radiative cooling. Low cost self-cooling solid state light sources can integrate the electrical interconnect of the LEDs and other semiconductor devices. The thermally conductive luminescent element can completely or partially eliminate the need for any additional heatsinking means by efficiently transferring and spreading out the heat generated in LED and luminescent element itself over an area sufficiently large enough such that convective and radiative means can be used to cool the device. | 03-06-2014 |
20140061708 | LIGHT-EMITTING DEVICE - A light-emitting device includes a first electrode; a light-emitting stacked layer on the first electrode; a first contact layer on the light-emitting stacked layer, wherein the first contact layer includes a first contact link and a plurality of first contact lines connected to the first contact link; a first conductive post in the light-emitting stacked layer and electrically connecting the first electrode and the first contact layer; and a passivation layer between the first conductive post and the light-emitting stacked layer. | 03-06-2014 |
20140061709 | WAFER LEVEL LED PACKAGE AND METHOD OF FABRICATING THE SAME - Disclosed are a light emitting diode (LED) package and a method of fabricating the same. The LED package includes a first substrate, a semiconductor stack disposed on a front surface of the first substrate, a second substrate including a first lead electrode and a second lead electrode, a plurality of connectors electrically connecting the semiconductor stack to the first and second lead electrodes, and a wavelength converter covering a rear surface of the first substrate. The semiconductor stack includes a first semiconductor layer, a second semiconductor layer, and an active layer disposed between the first semiconductor layer and the second semiconductor layer. | 03-06-2014 |
20140061710 | METHOD OF FABRICATING LIGHT-EMITTING APPARATUS WITH IMPROVED LIGHT EXTRACTION EFFICIENCY AND LIGHT-EMITTING APPARATUS FABRICATED USING THE METHOD - Provided are a method of fabricating a light-emitting apparatus with improved light extraction efficiency and a light-emitting apparatus fabricated using the method. The method includes: preparing a monocrystalline substrate; forming an intermediate structure on the substrate, the intermediate structure comprising a light-emitting structure which comprises a first conductive pattern of a first conductivity type, a light-emitting pattern, and a second conductive pattern of a second conductivity type stacked sequentially, a first electrode which is electrically connected to the first conductive pattern, and a second electrode which is electrically connected to the second conductive pattern; forming a polycrystalline region, which extends in a horizontal direction, by irradiating a laser beam to the substrate in the horizontal direction such that the laser beam is focused on a beam-focusing point within the substrate; and cutting the substrate in the horizontal direction along the polycrystalline region. | 03-06-2014 |
20140070247 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND FABRICATING METHOD THEREOF - A semiconductor light-emitting device comprises a light-emitting epitaxial structure, a first electrode structure, a light reflective layer and an resistivity-enhancing structure. The light-emitting epitaxial structure has a first surface and a second surface opposite to the first surface. The first electrode structure is electrically connected to the first surface. The light reflective layer is disposed adjacent to the second surface. The resistivity-enhancing structure is disposed adjacent to the light reflective layer and away from the second surface corresponding to a position of the first electrode structure. | 03-13-2014 |
20140070248 | LIGHT EMITTING DEVICE AND LIGHT EMITTING MODULE - According to one embodiment, a semiconductor light-emitting device includes a semiconductor light-emitting layer, a pair of electrodes, a fluorescent material layer and a chromaticity adjusting layer. The semiconductor light-emitting layer emits first light. The pair of electrodes is connected to the semiconductor light-emitting layer. The fluorescent material layer covers at least a center portion of the semiconductor light-emitting layer, and contains a fluorescent material to absorb the first light and radiate second light. The chromaticity adjusting layer covers at least a peripheral portion of the semiconductor light-emitting layer, is exposed to outside, and contains a fluorescent material with a concentration lower than a concentration of the fluorescent material in the fluorescent material layer. | 03-13-2014 |
20140070249 | LIGHT EMITTING DEVICE AND LIGHTING SYSTEM INCLUDING THE SAME - A light emitting device according to the embodiment includes a body; a first lead electrode having a first bonding part and a second bonding part; a second lead electrode having a third bonding part and a fourth bonding part; a gap part between the first and second lead electrodes; a third lead electrode on a bottom surface of the body; a fourth lead electrode on the bottom surface of the body; a first connection electrode; a second connection electrode; a light emitting chip; and a first bonding member, wherein the gap part includes a first gap part disposed between the first and third bonding parts, and the first gap part includes first and second regions spaced apart from each other corresponding to a width of the third bonding part, and a third region connected to the first and second regions and disposed perpendicularly to the first and second regions. | 03-13-2014 |
20140070250 | LIGHT-EMITTING DEVICE - A light-emitting device of an embodiment of the present application comprises a substrate; a first semiconductor light-emitting structure formed on the substrate, wherein the first semiconductor light-emitting structure comprises a first semiconductor layer having a first conductivity type, a second semiconductor layer having a second conductivity type and a first active layer formed between the first semiconductor layer and the second semiconductor layer, wherein the first active layer is capable of emitting a first light having a first dominant wavelength; and a first thermal-sensitive layer formed on a path of the first light, wherein the first thermal-sensitive layer comprises a material characteristic which varies with a temperature change. | 03-13-2014 |
20140070251 | REFLECTIVE PHASE RETARDER AND SEMICONDUCTOR LIGHT-EMITTING DEVICE INCLUDING SUCH REFLECTING PHASE RETARDER - The invention provides a reflective phase retarder and a semiconductor light-emitting device including such reflective phase retarder. The reflective phase retarder of the invention converts an incident light beam with a first type polarization into the light with a second type polarization, and reflects the converted light beam with the second type polarization out. | 03-13-2014 |
20140070252 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a first conductivity-type semiconductor layer, an active layer and a second conductivity-type semiconductor layer sequentially stacked on a substrate. A first electrode is disposed on a portion of the first conductivity-type semiconductor layer. A current diffusion layer is disposed on the second conductivity-type semiconductor layer and includes an opening exposing a portion of the second conductivity-type semiconductor layer. A second electrode covers a portion of the current diffusion layer and the exposed portion of the second conductivity-type semiconductor layer, wherein the portion of the current diffusion layer is near the opening. | 03-13-2014 |
20140070253 | SEMICONDUCTOR LIGHT EMITTING DEVICE - The semiconductor device includes a substrate, a semiconductor layer which is formed on the substrate and includes a light emitting layer, and a diffraction/scattering film for diffracting or scattering light generated at the light emitting layer. The diffraction/scattering film is formed between the light emitting layer and the substrate, has a side surface slanted with respect to a film thickness direction thereof, and has a composition gradient in the film thickness direction. | 03-13-2014 |
20140070254 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a semiconductor lamination including a p-type semiconductor layer, an active semiconductor layer, and an n-type semiconductor layer; opposing electrode structure including a first electrode structure formed above the p-type semiconductor layer, and a second electrode structure formed above the n-type semiconductor layer; and brightness grade producing structure including a surface layer of at least one of the p-type semiconductor layer and the n-type semiconductor layer and producing brightness grade gradually changing from one edge to opposite edge of light output plane. | 03-13-2014 |
20140070255 | NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE - A nitride semiconductor light-emitting device includes: a semiconductor light-emitting chip held on a mounting surface of a mounting substrate, having a growth surface that is a nonpolar or semipolar plane, and emitting polarized light; a reflector surrounding the semiconductor light-emitting chip when viewed in plan and having a reflective surface off which the polarized light is reflected; and a coupler held on the mounting surface of the mounting substrate and holding the reflector such that the reflector is rotatable around the semiconductor light-emitting chip. | 03-13-2014 |
20140070256 | LIGHT EMITTING DEVICE, METHOD FOR FABRICATING THE LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM - Provided is a light emitting device. The light emitting device includes a light emitting structure layer including a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer, a first electrode electrically connected to the first conductive type semiconductor layer, an insulating support member under the light emitting structure layer, and a plurality of conductive layers between the light emitting structure layer and the insulating support member. At least one of the plurality of conductive layers has a width greater than that of the light emitting structure layer and includes a contact part disposed further outward from a sidewall of the light emitting structure layer. | 03-13-2014 |
20140070257 | LIGHT EMITTING DIODE - A light emitting diode includes a second electrode, a first semiconductor layer, an active layer, a second semiconductor layer, a reflector, and a first electrode. The second electrode, the first semiconductor layer, the active layer, the second semiconductor layer, and the reflector are stacked on the first electrode in that order. The first semiconductor layer defines a number of grooves on a surface contacting the second electrode. The grooves form a patterned surface used as the light extraction surface. | 03-13-2014 |
20140077240 | IV MATERIAL PHOTONIC DEVICE ON DBR - A photonic structure including a substrate of either crystalline silicon or germanium and a multilayer distributed Bragg reflector (DBR) positioned on the substrate. The DBR includes material substantially crystal lattice matching the DBR to the substrate. The DBR includes a plurality of pairs of layers of material including any combination of IV materials and any rare earth oxide (REO). A photonic device including multilayers of single crystal IV material positioned on the DBR and including material substantially crystal lattice matching the DBR to the photonic device. | 03-20-2014 |
20140077241 | LIGHT EMITTING DIODE - A Light emitting diode (LED) includes a substrate, a LED chip, a wavelength conversion layer, a lens and a reflective layer. The LED chip is mounted on the substrate. The wavelength conversion layer covers the top surface of the LED chip and exposes the lateral surface of the LED chip. The lens is disposed on the substrate and encloses the LED chip and the wavelength conversion layer. The reflective layer is disposed on the lens for reflecting the light emitted from the lateral surface of the LED chip. | 03-20-2014 |
20140077242 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device including a light emitting structure comprising a first semiconductor layer, an active layer and a second semiconductor layer, a phosphor plate disposed on the second semiconductor layer, and a bonding portion disposed between the light emitting structure and the phosphor plate, the bonding portion bonding the phosphor plate to the light emitting structure. | 03-20-2014 |
20140077243 | LIGHT EMITTING DIODE LIGHT SOURCE DEVICE - An LED light source device includes an LED light source and a reflective layer located on a forward direction of light path of the LED light source. The LED light source includes a substrate with a first electrode and a second electrode, an LED chip and an encapsulation layer sealing the LED chip therein. The LED chip is electrically connected to the first electrode and the second electrode, respectively. The reflective layer receives part of the light emitted from the LED light source, and guides the part light to a lateral direction of the LED light source. | 03-20-2014 |
20140077244 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device includes a light emitting structure including a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer. An electrode is on a bottom surface of the light emitting structure and an electrode layer and a conductive support member are disposed on the top surface of the light emitting structure. A recess is recessed from a top surface of the light emitting structure. A transmittive layer is between the light emitting structure and the electrode layer. The transmittive layer includes a first portion having a protrusion disposed in the recess. | 03-20-2014 |
20140077245 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package according to embodiments comprises: a package body; a lead frame on the package body; a light emitting device supported by the package body and electrically connected with the lead frame; a filling material surrounding the light emitting device; and a phosphor layer comprising phosphors on the filling material. | 03-20-2014 |
20140077246 | LIGHT EMITTING DEVICE BONDED TO A SUPPORT SUBSTRATE - A support substrate including a body ( | 03-20-2014 |
20140077247 | Phosphor-enhanced Lighting Device, Retrofit Light Bulb and Light Tube with Reduced Color Appearance - A phosphor-enhanced lighting device | 03-20-2014 |
20140084316 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes: a stacked body and an insulative optical path control section. The stacked body includes: a first semiconductor layer of a first conductivity type; a second semiconductor layer of a second conductivity type; and a light emitting layer provided between the first semiconductor layer and the second semiconductor layer. The first semiconductor layer, the second semiconductor layer, and the light emitting layer are stacked along a stacking direction. The insulative optical path control section penetrates through the second semiconductor layer and the light emitting layer, has a refractive index lower than refractive index of the first semiconductor layer, refractive index of the second semiconductor layer, and refractive index of the light emitting layer. The insulative optical path control section is configured to change traveling direction of light emitted from the light emitting layer. | 03-27-2014 |
20140084317 | ULTRAVIOLET LIGHT EMITTING DEVICE - Disclosed is a light emitting device including an active layer emitting light with a wavelength band of 200 nm to 405 nm, and a light-transmitting layer disposed on the active layer, the light-transmitting layer having a lower part facing the active layer, wherein at least one of side and upper parts of the light-transmitting layer has a surface-processed pattern portion. | 03-27-2014 |
20140084318 | LIGHT EMITTING DEVICE PACKAGE AND PACKAGE SUBSTRATE - A light emitting device package is provide comprising a light emitting device including a first electrode and a second electrode. The package substrate allows the light emitting device to be mounted thereon and includes a first region and a second region electrically connected to the first electrode and the second electrode, respectively. At least one of the first region and the second region includes graphene. | 03-27-2014 |
20140084319 | Array Substrate, Display Panel And Preparing Method Thereof - An array substrate, a display panel and a preparing method thereof are disclosed. The array substrate comprises: a substrate, a gate line and a data line disposed on the substrate, a protective layer covering the gate line and/or data line; a light converging structure is disposed on the protective layer over the gate line and/or the data line. | 03-27-2014 |
20140084320 | LIGHT EMITTING DEVICE, RESIN PACKAGE, RESIN-MOLDED BODY, AND METHODS FOR MANUFACTURING LIGHT EMITTING DEVICE, RESIN PACKAGE AND RESIN-MOLDED BODY - A method of manufacturing a light emitting device having a resin package which provides an optical reflectivity equal to or more than 70% at a wavelength between 350 nm and 800 nm after thermal curing, and in which a resin part and a lead are formed in a substantially same plane in an outer side surface, includes a step of sandwiching a lead frame provided with a notch part, by means of an upper mold and a lower mold, a step of transfer-molding a thermosetting resin containing a light reflecting material in a mold sandwiched by the upper mold and the lower mold to form a resin-molded body in the lead frame and a step of cutting the resin-molded body and the lead frame along the notch part. | 03-27-2014 |
20140084321 | PACKAGE FOR LIGHT-EMITTING DEVICE - The present invention provides a package for a light-emitting device, including the light-emitting device configured to provide light having a specific wavelength region, electrode pads formed on the light-emitting device, and a phosphor layer formed over the light-emitting device other than regions where the electrode pads are formed and configured to convert the light of the light-emitting device into white light by changing the wavelength of the light provided by the light-emitting device, wherein the phosphor layer is formed in a conformable thickness and is formed in a region wider than an upper region of the light-emitting device other than the regions where the electrode pads are formed. | 03-27-2014 |
20140084322 | LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a light-emitting device and a manufacturing method thereof. A light-emitting device according to an exemplary embodiment of the present invention includes a base, a lighting element disposed on the base, the lighting element including an epitaxial layer and a substrate disposed on the epitaxial layer, a contact member disposed between the lighting element and the base, the contact member electrically connecting the lighting element and the base, and a lens disposed on the substrate. | 03-27-2014 |
20140084323 | LIGHT EMITTING DEVICE AND DISPLAY COMPRISING A PLURALITY OF LIGHT EMITTING COMPONENTS ON MOUNT - A light emitting device containing a semiconductor light emitting component and a phosphor, the phosphor is capable of absorbing a part of light emitted by the light emitting component and emitting light of a wavelength different from that of the absorbed light, is provided. A straight line connecting a point of chromaticity corresponding to a spectrum generated by the light emitting component and a point of chromaticity corresponding to a spectrum generated by the phosphor is substantially along a black body radiation locus in a chromaticity diagram. | 03-27-2014 |
20140084324 | LIGHT-EMITTING DEVICES - A light-emitting device of an embodiment of the present application comprises a semiconductor layer sequence provided with a first main side, a second main side, and an active layer; a beveled trench formed in the semiconductor layer sequence, having a top end close to the second main side, a bottom end, and an inner sidewall connecting the top end and the bottom end. In the embodiment, the inner sidewall is an inclined surface. The light-emitting device further comprises a dielectric layer disposed on the inner sidewall of the beveled trench and the second main side; a first metal layer formed on the dielectric layer; a carrier substrate; and a first connection layer connecting the carrier substrate and the semiconductor layer sequence. | 03-27-2014 |
20140084325 | OPTICAL ELEMENT AND SEMICONDUCTOR LIGHT EMITTING DEVICE USING THE OPTICAL ELEMENT - An optical element includes a phosphor layer containing a phosphor which is excited by light of a first wavelength and radiates light of a second wavelength different from the first wavelength, a first optical member provided on a first surface of the phosphor layer and configured to concentrate light in the phosphor layer, and a second optical member provided on the first surface of the phosphor layer or the same side to which the first surface faces, or on a second surface opposite to the first surface, and configured to convert light radiated from the phosphor layer into parallel light. | 03-27-2014 |
20140091344 | ILLUMINATION COMPONENT PACKAGE - An illumination component package includes a substrate, at least one illumination component, a dam and an encapsulating glue. The illumination component is mounted on the substrate. The dam surrounds the illumination component to form a accommodating space. The inner wall of the dam includes a plurality of glue adhering microstructures. The encapsulating glue is filled in the accommodating space. | 04-03-2014 |
20140091345 | LUMINESCENCE DEVICE - A luminescence device used in a backlight unit for lighting or displaying may include a substrate having a first electrode and a second electrode, and an LED chip disposed on the first electrode. A dam is disposed on the substrate. The dam is disposed spaced from the LED chip, and the substrate comprises a direct copper bonding (DCB) substrate including a first copper layer and a second copper layer. The first electrode and the second electrode include respectively a metal film which fills a void of the surfaces thereof. | 04-03-2014 |
20140091346 | PHOSPHOR ADHESIVE SHEET, OPTICAL SEMICONDUCTOR ELEMENT-PHOSPHOR LAYER PRESSURE-SENSITIVE ADHESIVE BODY, AND OPTICAL SEMICONDUCTOR DEVICE - A phosphor adhesive sheet includes a phosphor layer containing a phosphor and an adhesive layer laminated on one surface in a thickness direction of the phosphor layer. The adhesive layer is formed from a silicone pressure-sensitive adhesive composition. A percentage of the peel strength of the phosphor adhesive sheet is 30% or more. | 04-03-2014 |
20140091347 | PHOSPHOR LAYER ATTACHING KIT, OPTICAL SEMICONDUCTOR ELEMENT-PHOSPHOR LAYER ATTACHING BODY, AND OPTICAL SEMICONDUCTOR DEVICE - A phosphor layer attaching kit includes a phosphor layer and a silicone pressure-sensitive adhesion composition for attaching the phosphor layer to an optical semiconductor element or an optical semiconductor element package. A percentage of the peel strength of the silicone pressure-sensitive adhesion composition is 30% or more. | 04-03-2014 |
20140091348 | ENCAPSULATING SHEET-COVERED SEMICONDUCTOR ELEMENT, PRODUCING METHOD THEREOF, SEMICONDUCTOR DEVICE, AND PRODUCING METHOD THEREOF - An encapsulating sheet-covered semiconductor element includes a semiconductor element having one surface in contact with a board and the other surface disposed at the other side of the one surface and an encapsulating sheet covering at least the other surface of the semiconductor element. The encapsulating sheet includes an exposed surface that is, when projected from one side toward the other side, not included in the one surface of the semiconductor element and exposed from the one surface and the exposed surface has the other side portion that is positioned toward the other side with respect to the one surface of the semiconductor element. | 04-03-2014 |
20140091349 | OPTICAL DESIGNS FOR HIGH-EFFICACY WHITE-LIGHT EMITTING DIODES - A method for increasing the luminous efficacy of a white light emitting diode (WLED), comprising introducing optically functional interfaces between an LED die and a phosphor, and between the phosphor and an outer medium, wherein at least one of the interfaces between the phosphor and the LED die provides a reflectance for light emitted by the phosphor away from the outer medium and a transmittance for light emitted by the LED die. Thus, a WLED may comprise a first material which surrounds an LED die, a phosphor layer, and at least one additional layer or material which is transparent for direct LED emission and reflective for the phosphor emission, placed between the phosphor layer and the first material which surrounds the LED die. | 04-03-2014 |
20140091350 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light emitting device, includes: a stacked structural unit including a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type, and a light emitting layer provided therebetween; and an electrode including a first and second metal layers, the first metal layer including silver or silver alloy and being provided on a side of the second semiconductor layer opposite to the light emitting layer, the second metal layer including at least one element selected from gold, platinum, palladium, rhodium, iridium, ruthenium, and osmium and being provided on a side of the first metal layer opposite to the second semiconductor layer. A concentration of the element in a region including an interface between the first and second semiconductor layers is higher than that of the element in a region of the first metal layer distal to the interface. | 04-03-2014 |
20140097457 | SEMICONDUCTOR DEVICE - A semiconductor device includes a substrate and a semiconductor unit. The substrate includes a base and at least one pattern unit. The pattern unit includes a plurality of surrounding members disposed on the base and a central member surrounded by the surrounding members. A geometrical center is collectively defined by the surrounding members, an interval between the central member and the geometrical center is larger than zero. The semiconductor unit is disposed on the substrate and is operating with a current. | 04-10-2014 |
20140097458 | SEMICONDUCTOR LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, AND SEMICONDUCTOR LIGHT EMITTING DEVICE PACKAGE USING THE SAME - There is provided a semiconductor light emitting device, a method of manufacturing the same, and a semiconductor light emitting device package using the same. A semiconductor light emitting device having a first conductivity type semiconductor layer, an active layer, a second conductivity type semiconductor layer, a second electrode layer, and insulating layer, a first electrode layer, and a conductive substrate sequentially laminated, wherein the second electrode layer has an exposed area at the interface between the second electrode layer and the second conductivity type semiconductor layer, and the first electrode layer comprises at least one contact hole electrically connected to the first conductivity type semiconductor layer, electrically insulated from the second conductivity type semiconductor layer and the active layer, and extending from one surface of the first electrode layer to at least part of the first conductivity type semiconductor layer. | 04-10-2014 |
20140097459 | OPTICAL ELEMENT MODULE, OPTICAL TRANSMISSION MODULE, AND METHOD OF MANUFACTURING OPTICAL TRANSMISSION MODULE - An optical element module includes an optical element having a light receiving unit configured to input an optical signal or a light emitting unit configured to output an optical signal, a board on which the optical element is mounted, and a guide holding member that has a through hole into which an optical fiber is configured to be inserted for inputting and outputting the optical signal to or from the light receiving unit or the light emitting unit of the optical element, and is mounted and arranged to be aligned with the optical element in a thickness direction of the board. The through hole has a cylindrical shape and has substantially the same diameter as an outer diameter of the optical fiber. A diameter of the light receiving unit or the light emitting unit is smaller than that of the optical fiber. | 04-10-2014 |
20140097460 | LED DEVICE - An LED device comprises a substrate, an LED chip and a luminescent conversion layer. The substrate comprises a first electrode, a second electrode and a reflector located on top faces of the first and the second electrodes. The LED chip is disposed on the first electrode and electrically connected to the first and the second electrodes. The luminescent conversion layer is located inside the reflector and comprises a first luminescent conversion layer and a second luminescent conversion layer with different specific gravities. | 04-10-2014 |
20140097461 | PHOSPHOR SHEET-FORMING RESIN COMPOSITION - A phosphor sheet-forming resin composition uses a low-cost resin material having high light fastness and low visible light absorption and is capable of providing a phosphor sheet at low cost with deterioration of a phosphor due to moisture being suppressed. The phosphor sheet-forming resin composition contains a film-forming resin composition and a powdery phosphor that emits fluorescence when irradiated with excitation light. The film-forming resin composition contains a hydrogenated styrene-based copolymer, and uses a sulfide-based phosphor as the phosphor. Examples of the hydrogenated styrene-based copolymer include hydrogenated products of styrene-ethylene-butylene-styrene block copolymers. CaS:Eu is used as a preferred sulfide-based phosphor. | 04-10-2014 |
20140103377 | OPTOELECTRONIC COMPONENT AND METHOD FOR ITS MANUFACTURING - An optoelectronic component, including a housing including a thermosetting plastic including at least one material selected from the group consisting of aminoplastic thermosetting plastic, urea thermosetting plastic, melamine-formaldehyde thermosetting plastic, wet polyester thermosetting plastics, bulk molding compounds, polyester resin, phenolic resin and vinyl ester resin, a recess in the housing, and a radiation-emitting component, arranged in the recess, wherein the optoelectronic component includes a gate mark. | 04-17-2014 |
20140103378 | LIGHT-EMITTING DIODE STRUCTURE - A light-emitting diode structure is provided. The light-emitting diode structure comprises a substrate, a light-emitting diode device, a ring-shaped dam and an optical lens. The substrate has an upper surface. The light-emitting diode device is disposed on the upper surface of the substrate for emitting a first light having a first wavelength. The ring-shaped dam is disposed on the upper surface of the substrate, and surrounds the light-emitting diode device. The optical lens is disposed on the substrate, and encapsulates the ring-shaped dam and the light-emitting diode device. The optical lens and the ring-shaped dam and the light-emitting diode device form a cavity. | 04-17-2014 |
20140103379 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - The invention provides semiconductor light-emitting devices which have a semiconductor layer on a principal surface of a translucent substrate and a reflective layer on a second principal surface opposite to the principal surface having the semiconductor layer, which enables that the peeling of the reflective layer from the translucent substrate is suppressed. A semiconductor light-emitting device includes a first metal layer disposed in contact with a second principal surface of a translucent substrate, a second metal layer disposed in contact with at least the second principal surface or a side surface of the translucent substrate around the first metal layer, and a third metal layer disposed on the second metal layer. The first metal layer has a reflectance with respect to a peak wavelength of light emitted from an emitting layer higher than the reflectance of the second metal layer. The second metal layer has an adhesion with respect to the translucent substrate higher than the adhesion between the first metal layer and the translucent substrate. | 04-17-2014 |
20140103380 | LIGHT EMITTING DEVICE AND LIGHTING APPARATUS HAVING THE SAME - A light emitting device includes a substrate including a plurality of convex portions, and a first semiconductor layer over the substrate. A plurality of first pits is provided in a top surface of the first semiconductor layer, and a plurality of second pits is provided in the top surface of the first semiconductor layer. A first metallic compound is provided in the first pits, and a second metallic compound is provided in the second pits. A second semiconductor layer is provided over the first semiconductor layer, and a light emitting structure is provided over the second semiconductor layer. The light emitting structure includes a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer. | 04-17-2014 |
20140103381 | REMOTE PHOSPHOR LIGHT EMITTING DEVICES HAVING TRANSPARENT FEATURES - A substrate including phosphor is remotely illuminated by an LED. Optical radiation that emerges through the substrate is measured. Portions of the substrate, such as raised features on the substrate, are then selectively removed responsive to the measuring, so as to obtain a desired optical radiation. In removing portions of the substrate, holes may be drilled through the substrate to provide a separate path for light from the LED that does not pass through the phosphor. Alternatively, a separate LED may be provided outside the dome. | 04-17-2014 |
20140103382 | HIGH EFFICIENCY LIGHT EMITTING DIODE DEVICE - A light emitting diode device is provided. The light emitting diode device comprises a light emitting diode element, an encapsulation layer, and a plurality of pillars. The encapsulation layer is disposed on the light emitting diode element, and the pillars are disposed on the encapsulation layer. The pillars are formed by a light transmissible material. | 04-17-2014 |
20140103383 | LIGHT EMITTING DEVICE - A light emitting device is provided with a base member, an interconnect pattern disposed on an upper surface of the base member, a light reflecting layer comprising a first layer disposed on a part of the interconnect pattern and formed from a metal material, and a second layer made of a dielectric multilayer reflecting film made with stacked layers of dielectric films having different refractive indices and covering an upper surface and side surfaces of the first layer, a light emitting element chip fixed so as to face at least a part of the light reflecting layer, and a light transmissive sealing member sealing the light reflecting layer and the light emitting element chip. | 04-17-2014 |
20140103384 | LIGHT-EMITTING DEVICE - A light-emitting device includes a semiconductor light-emitting element and a fluorescent member which emits fluorescent light when irradiated with light from the semiconductor light-emitting element. The fluorescent member includes (i) oxygen-proof resin having no permeability to oxygen and (ii) resin which includes semiconductor particles having different excitation fluorescence spectra according to particle diameter and is encased in the oxygen-proof resin. | 04-17-2014 |
20140110733 | ULTRAVIOLET REFLECTIVE SILICONE COMPOSITIONS, REFLECTORS, AND LIGHT SOURCES INCORPORATING THE SAME - Disclosed herein are polysiloxane compositions that include a first polysiloxane and a second polysiloxane. The first and second polysiloxanes may be immiscible, and may exhibit differing refractive indices. The polysiloxane compositions may further include a curing agent and a catalyst. In some embodiments, the polysiloxane compositions significantly reflect light in at least the ultraviolet region of the electromagnetic spectrum. Reflectors and light emitting diode sources including the polysiloxane compositions are also disclosed. | 04-24-2014 |
20140110734 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURE - A light emitting device includes a conductive support layer, a light emitting structure layer on the conductive support layer, a first transparent conductive layer and a second transparent conductive layer disposed between the conductive support layer and the light emitting structure layer, and an electrode on the light emitting structure layer. | 04-24-2014 |
20140110735 | ELECTROLUMINESCENCE DISPLAY DEVICE - There is provided an EL display device of a color filter system which obtains sufficient brightness and contrast while making it difficult to generate a color mixture even if pixels become fine. An EL display device | 04-24-2014 |
20140110736 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD - A semiconductor light-emitting device and a method for manufacturing the same can include a wavelength converting layer located over a semiconductor epitaxial layer in order to emit various colored-lights including white light. The light-emitting device can include a semiconductor chip substrate configured not to transmit light emitted from the epitaxial layer and mounted on a mounting board, and a transparent resin layer located between the wavelength converting layer and the epitaxial layer mounted on the semiconductor chip substrate so as to extend from a side surface of the wavelength converting layer towards a substantially edge portion of the semiconductor chip substrate. The semiconductor light-emitting device can be configured to improve a color variability of a mixture light emitted from the device by using the transparent resin layer and the wavelength converting layer, and therefore can emit the mixture light having a substantially uniform color tone from a small light-emitting surface. | 04-24-2014 |
20140110737 | LIGHT EMITTING ELEMENT - To provide a semiconductor light emitting element with high luminous efficiency, the light emitting element includes: a substrate; a semiconductor laminate placed above the substrate, the semiconductor laminate comprising a second semiconductor layer, an active layer and a first semiconductor layer laminated in this order from the substrate; and a first electrode and a second electrode placed between the substrate and the semiconductor laminate, wherein the semiconductor laminate is divided in a plurality of semiconductor blocks by a groove, wherein the first electrode includes protrusions that are provided in each of the plurality of semiconductor blocks and that penetrate the second semiconductor layer and the active layer to be connected to the first semiconductor layer, and wherein the second electrode is connected to the second semiconductor layer in each of the plurality of semiconductor blocks and has an external connector that is exposed on the bottom of the groove. | 04-24-2014 |
20140110738 | LIGHT EMITTING ELEMENT - A light emitting element includes a semiconductor structure layer, a reflective electrode layer formed on a part of the semiconductor structure layer, a conductor layer formed on the semiconductor structure layer with the reflective electrode layer embedded therein, and a support substrate that is arranged on the conductor layer and joined to the conductor layer via a junction layer. A high resistance contact surface is provided at an interface between the semiconductor structure layer and the conductor layer. A high resistance portion is arranged in an area opposed via the conductor layer to an area where the high resistance contact surface is provided. The conductor layer is connected to the junction layer in a peripheral area of the conductor layer outside the high resistance portion. | 04-24-2014 |
20140110739 | LED PACKAGE AND METHOD FOR FABRICATING THE SAME - A light emitting diode (LED) package according to an exemplary embodiment of the present invention includes a base including a first lead terminal and a second lead terminal, an LED chip disposed on the base, a housing disposed on the base, the housing having a cavity in which the LED chip is disposed, and an encapsulation member having a side surface contacting the housing. The first lead terminal and the second lead terminal each have a first surface and a second surface opposite the first surface, and have an unbent form, respectively. The second surface is exposed to the outside of the LED package. | 04-24-2014 |
20140110740 | SEMICONDUCTOR DEVICE AND PRODUCTION METHOD THEREFOR - An object of the invention is to provide a method for producing a conductive member having low electrical resistance, and the conductive member is obtained using a low-cost stable conductive material composition that does not contain an adhesive. A method for producing a semiconductor device in which silver or silver oxide provided on a surface of a base and silver or silver oxide provided on a surface of a semiconductor element are bonded, includes the steps of arranging a semiconductor element on a base such that silver or silver oxide provided on a surface of the semiconductor element is in contact with silver or silver oxide provided on a surface of the base, temporarily bonding the semiconductor element and the base by applying a pressure or an ultrasonic vibration to the semiconductor element or the base, and permanently bonding the semiconductor element and the base by applying heat having a temperature of 150 to 900° C. to the semiconductor device and the base. | 04-24-2014 |
20140117388 | LIGHT-EMITTING SEMICONDUCTOR PACKAGES AND RELATED METHODS - Light-emitting semiconductor packages and related methods. The light-emitting semiconductor package includes a central barrier, a plurality of leads, a light-emitting device, a first encapsulant, a package body, and a second encapsulant. The light-emitting device is disposed in the interior space defined by the central barrier and is electrically connected to the leads surrounding the central barrier. The light-emitting device includes upper and lower light-emitting surfaces. The first encapsulant and the second encapsulant cover the upper and lower light-emitting surfaces, respectively. The package body encapsulates portions of the central barrier, portions of each of the leads, and the first encapsulant. The light-emitting semiconductor package can emit light from both the upper and lower sides thereof. | 05-01-2014 |
20140117389 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device including a light emitting structure comprising a first semiconductor layer, an active layer and a second semiconductor layer, a phosphor plate disposed on the second semiconductor layer, a first electrode portion disposed on the phosphor plate, and a plurality of bonding portions disposed between the light emitting structure and the phosphor plate, the bonding portions bonding the phosphor plate to the light emitting structure, wherein each bonding portion includes at least one first bonding portion electrically connected to the first electrode portion. | 05-01-2014 |
20140117390 | LIGHT EMITTING DIODE PACKAGE - An LED package includes a first electrode and a second electrode electrically insulating from the first electrode, an LED chip, two electrically insulating connecting layers, and a reflector. Top surfaces of the first electrode and the second electrode are recessed to define a first receiving space and a second receiving space therein. The LED chip is mounted on the top surface of the first electrode and electrically connects the first electrode and the second electrode. The electrically insulating connecting layers are respectively received in the first receiving space and the second receiving space. The reflector is mounted on top surfaces of the connecting layers and enclosing the LED chip therein. | 05-01-2014 |
20140117391 | LED LENS AND LED PACKAGE USING THE SAME - An LED lens includes a recess disposed in a quadrangular bottom surface of the LED lens and configured to have a light source disposed therein, wherein an internal surface of the recess, including lateral surfaces and top surfaces, is a light incident surface. The LED lens further includes a top surface forming a light exit surface, having a size greater than that of the bottom surface, and having a quadrangular shape; and lateral surfaces of the LED lens, disposed between the top and bottom surfaces of the LED lens, forming a reflective surface, and guiding light incident to the LED lens through the light incident surface to the light exit surface. The top surfaces of the light incident surface form an inverted quadrangular pyramid. | 05-01-2014 |
20140117392 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A method for manufacturing a semiconductor light emitting device includes forming an isolation pattern on a semiconductor single crystal growth substrate. A first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer are sequentially grown in one chip unit region of the semiconductor single crystal growth substrate defined by the isolation pattern, and a reflective metal layer is formed to cover the light emitting structure and the isolation pattern. A support substrate is formed on the reflective metal layer, and the semiconductor single crystal growth substrate is removed from the light emitting structure. The support substrate is then cut into individual light emitting devices. | 05-01-2014 |
20140117393 | LIGHT EMITTING DIODE DEVICES, METHOD OF MANUFACTURE, USES THEREOF - A light emitting diode lighting device contains a light emitting diode and a lighting device component, wherein the device component comprises a polycarbonate composition comprising a bisphenol A polycarbonate; and 2,2′-(1,4-phenylene)bis(4H-3,1-benzoxazin-4-one) in an amount effective to provide a composition wherein a molded sample of the composition has a UL94 rating of V-2 or better at a thickness of 0.9 mm; an absorbance of less than 2 a.u. in the region of 370 to 380 nanometers; and an increase in yellowness index (ΔYI) of 12 or less at 2.5 mm thickness after heat aging at 130° C. for 5,000 hours. | 05-01-2014 |
20140117394 | LENS AND LIGHT EMITTING MODULE FOR SURFACE ILLUMINATION - An exemplary embodiment of the present invention discloses a light-emitting module including a circuit board, a light-emitting device disposed on the circuit board, and a lens disposed on the circuit board and configured to distribute light emitted from the light emitting device. The lens includes a concave portion having an incidence surface configured to receive incident light emitted from the light-emitting device, and the light emitting device is disposed within the concave portion of the lens. | 05-01-2014 |
20140117395 | LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - Provided are a light emitting diode (LED) and a method of fabricating the same. The LED includes a unit chip. The unit chip includes a substrate, and a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer which are sequentially stacked on the substrate. A concavo-convex structure having the shape of irregular vertical lines is disposed in a side surface of the unit chip. | 05-01-2014 |
20140117396 | OPTOELECTRONIC SEMICONDUCTOR CHIP, OPTOELECTRONIC SEMICONDUCTOR COMPONENT, AND A METHOD FOR PRODUCING AN OPTOELECTRONIC SEMICONDUCTOR COMPONENT - An optoelectronic semiconductor chip includes a semiconductor body that emits primary light, and a luminescence conversion element that emits secondary light by wavelength conversion of at least part of the primary light, wherein the luminescence conversion element has a first lamina fixed to a first partial region of an outer surface of the semiconductor body, the outer surface emitting primary light, and leaves free a second partial region of the outer surface, the luminescence conversion element has a second lamina fixed to a surface of the first lamina facing away from the semiconductor body and spaced apart from the semiconductor body, the first lamina is at least partly transmissive to the primary radiation, a section of the second lamina covers at least the second partial region, and at least the section of the second lamina is designed to be absorbent and/or reflective and/or scattering for the primary radiation. | 05-01-2014 |
20140117397 | MOLD HAVING AN UNEVEN SURFACE STRUCTURE, OPTICAL ARTICLE, MANUFACTURING METHOD THEREFOR, TRANSPARENT SUBSTRATE FOR SURFACE LIGHT EMITTER AND SURFACE LIGHT EMITTER - The invention relates to a mold having an irregularly uneven surface structure in which an average inclination angle is from 20 to 80 degrees; an optical article having an irregularly uneven surface structure in which an average inclination angle is from 20 to 80 degrees; a method for manufacturing an optical article having an irregularly uneven surface structure by transferring an uneven structure of a mold; a transparent substrate for a surface light emitter which uses an optical article having an irregularly uneven surface structure; and a surface light emitter having a transparent substrate for a surface light emitter. | 05-01-2014 |
20140117398 | Epitaxial Substrate, Light-Emitting Diode, and Methods for Making the Epitaxial Substrate and the Light-Emitting Diode - An epitaxial substrate includes: a base member; and a plurality of spaced apart light-transmissive members, each of which is formed on and tapers from an upper surface of the base member, and each of which is made of light-transmissive material having a refractive index lower than that of the base member. A light-emitting diode having the epitaxial substrate, and methods for making the epitaxial substrate and the light-emitting diode are also disclosed. | 05-01-2014 |
20140117399 | LIGHT EMITTING DEVICE - A light emitting device may be provided that includes a conductive support member, a first conductive layer, a second conductive layer, an insulation layer between the first conductive layer and the second conductive layer, and a light emitting structure that includes a second semiconductor layer on the second conductive layer, a first semiconductor layer, and an active layer between the first semiconductor layer and the second semiconductor layer. The first conductive layer may include at least one conductive via that passes through the second conductive layer, the second semiconductor layer and the active layer. A top surface of the at least one conductive via is provided into the first semiconductor layer. The insulation layer may substantially surround a side wall of the conductive via. | 05-01-2014 |
20140117400 | LIGHT EMITTING DEVICE - A light emitting device may be provided that includes a conductive support member, a first conductive layer, a second conductive layer, an insulation layer between the first conductive layer and the second conductive layer, and a light emitting structure that includes a second semiconductor layer on the second conductive layer, a first semiconductor layer, and an active layer between the first semiconductor layer and the second semiconductor layer. The first conductive layer may include at least one conductive via that passes through the second conductive layer, the second semiconductor layer and the active layer. A top surface of the at least one conductive via is provided into the first semiconductor layer. The insulation layer may substantially surround a side wall of the conductive via. | 05-01-2014 |
20140124806 | Light Emitter with Coating Layers - An AlInGaN light emitting device having a coating is used to improve the extraction of light from a device. A coating has a very low optical loss and an index of refraction greater than 2, preferably having an index of refraction close to or greater than the index of refraction of GaN. The coating can be made from Ta | 05-08-2014 |
20140124807 | Light Emitting Device - A light-emitting device, comprising: a substrate; a semiconductor stacking layer comprising a first type semiconductor layer on the substrate, an active layer on the first semiconductor layer, and a second semiconductor layer on the active layer; and an electrode structure on the second semiconductor layer, wherein the electrode structure comprises a bonding layer, a conductive layer, and a first barrier layer between the bonding layer and the conductive layer; wherein the conductive layer has higher standard oxidation potential than that of the bonding layer. | 05-08-2014 |
20140124808 | LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - A light emitting diode (LED) includes a base, an LED die grown on the base, a transparent electrically conductive layer formed on a side of the LED die, a protecting layer covering the transparent electrically conductive layer, and a phosphor layer formed on the protecting layer. Through holes extend through the phosphor layer and the protecting layer to make part of light emitted from the LED die directly traveling out from the through holes to illuminate. A method for manufacturing the LED is also provided. | 05-08-2014 |
20140124809 | THERMOSETTING SILICONE RESIN SHEET AND METHOD FOR PRODUCING THE SAME, AND LIGHT-EMITTING APPARATUS USING THE THERMOSETTING SILICONE RESIN SHEET AND METHOD FOR PRODUCING THE SAME - The present invention provides a thermosetting silicone resin sheet having a phosphor-containing thermosetting silicone resin layer formed in the form of an LED device and a method for producing the same, and a light-emitting apparatus using the thermosetting silicone resin sheet and a method for producing the same. The present invention was accomplished by the phosphor-containing thermosetting silicone resin sheet comprising a substrate film and a phosphor-containing thermosetting silicone resin layer that is a plastic solid or a semi-solid at room temperature composed of a single layer having no adhesive layer, formed by printing and molding a phosphor-containing thermosetting silicone resin composition on the substrate film in the form of an LED device. | 05-08-2014 |
20140124810 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - A light emitting device includes a substrate and a plurality of protrusions protruding from a top surface of the substrate. A first semiconductor layer is provided on top surfaces of the protrusions and a plurality of seed patterns protrudes from a bottom surface of the first semiconductor layer toward the protrusions. A medium layer is provided between the protrusions and a light emitting structure on a top surface of the first semiconductor layer. The bottom surface of the first semiconductor layer is located at a higher position than that of each of the protrusions, and the first semiconductor layer contacts a c-plane of each protrusion. | 05-08-2014 |
20140124811 | PHOSPHOR AND LIGHT EMITTING DEVICE HAVING THE SAME - Disclosed are a phosphor and a light emitting device including the same. The light emitting device includes a light emitting chip, a phosphor layer on the light emitting chip, and a phosphor added into the phosphor layer to absorb a light emitted from the light emitting chip and emit a central wavelength having a first blue color. The phosphor has a composition formula of La | 05-08-2014 |
20140124812 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - To provide a light emitting device having high light extraction efficiency, and a method for manufacturing the light emitting device. A method for manufacturing a light emitting device ( | 05-08-2014 |
20140124813 | PHOSPHOR SHEET-FORMING RESIN COMPOSITION - A phosphor sheet-forming resin composition uses a low-cost resin material having high light fastness and low visible light absorption and is capable of providing a phosphor sheet at low cost with deterioration of a phosphor due to moisture being suppressed. The resin composition contains a film-forming resin composition and a powdery phosphor that emits fluorescence when irradiated with excitation light. The film-forming resin composition used contains a polyolefin copolymer component and a maleic anhydride component. A sulfurized phosphor, an oxide-based phosphor, or a phosphor mixture thereof is preferably used as the phosphor. | 05-08-2014 |
20140124814 | LIGHT EMITTING DEVICE HAVING LIGHT EXTRACTION STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A nitride-based light emitting device capable of achieving an enhancement in emission efficiency and an enhancement in reliability is disclosed. The light emitting device includes a semiconductor layer, and a light extracting layer arranged on the semiconductor layer and made of a material having a refractive index equal to or higher than a reflective index of the semiconductor layer. | 05-08-2014 |
20140124815 | LIGHT EMITTING DEVICE ASSEMBLY AND HEADLAMP INCLUDING THE SAME - An LED assembly according to an embodiment of the present invention may improve dark regions generated between LED chips by employing a first reflective layer between the LED chips. By employing a transparent optical layer or an optical layer including a scattering particle between an LED chip and a phosphor layer, direct contact between the LED chip and the phosphor layer may be avoided, thereby preventing a low light extraction efficiency. Further, by employing a second reflection layer on side surfaces of an LED chip, an optical layer, and a phosphor layer, a relatively high contrast may be obtained. An LED assembly may enhance contrast through a reflective layer while increasing light extraction efficiency by including a scattering particle in a phosphor layer. | 05-08-2014 |
20140124816 | STRUCTURE FOR GROWTH OF NITRIDE SEMICONDUCTOR LAYER, STACKED STRUCTURE, NITRIDE-BASED SEMICONDUCTOR ELEMENT, LIGHT SOURCE, AND MANUFACTURING METHOD FOR SAME - A structure for growth of a nitride semiconductor layer which is disclosed in this application includes: a sapphire substrate of which growing plane is an m-plane; and a plurality of ridge-shaped nitride semiconductor layers provided on the growing plane of the sapphire substrate, wherein a bottom surface of a recessed portion provided between respective ones of the plurality of ridge-shaped nitride semiconductor layers is the m-plane of the sapphire substrate, the growing plane of the plurality of ridge-shaped nitride semiconductor layers is an m-plane, and an absolute value of an angle between an extending direction of the plurality of ridge-shaped nitride semiconductor layers and a c-axis of the sapphire substrate is not less than 0° and not more than 35°. | 05-08-2014 |
20140131751 | WAVELENGTH CONVERTING SUBSTANCE, WAVELENGTH CONVERTING GEL AND LIGHT EMITTING DEVICE - A wavelength converting substance is made of semiconductor material. The wavelength converting substance is suitable for absorbing an exciting light with the wavelength range falling between 300 nanometers and 490 nanometers and converting the exciting light to an emitted light with wavelength range falling between 450 nanometers and 750 nanometers. | 05-15-2014 |
20140131752 | PHOSPHOR LAYER-COVERED OPTICAL SEMICONDUCTOR ELEMENT, PRODUCING METHOD THEREOF, OPTICAL SEMICONDUCTOR DEVICE, AND PRODUCING METHOD THEREOF - A method for producing a phosphor layer-covered optical semiconductor element includes a step of opposing a phosphor layer containing a phosphor to an optical semiconductor element and an adjusting step of adjusting a color tone of light emitted from the optical semiconductor element and exited via the phosphor layer by adjusting the thickness of the phosphor layer. | 05-15-2014 |
20140131753 | METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE - A manufacturing method of a light emitting device includes a light emitting element disposed over a substrate and a reflective resin disposed along the side surface of the light emitting element. The method includes disposing light emitting elements in a matrix over an aggregate substrate, and disposing a semiconductor element between the adjacent light emitting elements in one direction of column and row directions of the light emitting elements in the matrix. A reflective resin is disposed to cover the semiconductor elements along the side surfaces of the light emitting elements and the side surfaces of the phosphor layers. The reflective resin and the substrate disposed in between the adjacent light emitting elements is cut in the column or row direction and between the light emitting element and the adjacent semiconductor element in the other direction, to include a light emitting element or a semiconductor element. | 05-15-2014 |
20140131754 | Semiconductor Chip that Emits Polarized Radiation - A semiconductor chip that emits radiation includes a semiconductor body having an active zone, which emits unpolarized radiation having a first radiation component of a first polarization and having a second radiation component of a second polarization. A lattice structure acts as a waveplate or polarization filter and causes an increase in one radiation component relative to the other radiation component in the radiation emitted by the semiconductor chip through an output side. Therefore, the semiconductor chip emits polarized radiation, which has the polarization of the amplified radiation component. The attenuated radiation component remains in the semiconductor chip an optical structure, which converts the polarization of at least part of the attenuated radiation component remaining in the semiconductor chip to the polarization of the amplified radiation component, and a reflective rear side opposite the output side. | 05-15-2014 |
20140131755 | MICRO-REFLECTORS ON A SUBSTRATE FOR HIGH-DENSITY LED ARRAY - The present invention provides an optical array module that includes a plurality of semiconductor devices mounted on a thermal substrate formed with a plurality of openings that function as micro-reflectors, wherein each micro-reflector includes a layer of reflective material to reflect light. Such material preferably is conductive so as to provide electrical connection for its associated semiconductor device. | 05-15-2014 |
20140138722 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes: a stacked body, a wavelength conversion layer, a first metal layer, and a first insulating section. The stacked body includes: a first and a second semiconductor layers; and a first light emitting layer provided between the first and the second semiconductor layers. The wavelength conversion layer is configured to convert wavelength of light emitted from the first light emitting layer. The first semiconductor layer is placed between the first light emitting layer and the wavelength conversion layer. The first metal layer is electrically connected to the second semiconductor layer. The first insulating section is provided between a first side surface and a first side surface portion of the first metal layer and between the wavelength conversion layer and the first side surface portion. | 05-22-2014 |
20140138723 | PHOSPHOR COMPOSITION AND LIGHT EMITTING DIODE DEVICE USING THE SAME - A phosphor composition is provided. The phosphor composition comprises a phosphor nucleus and a hydrophobic layer. The hydrophobic layer is bonded on a surface of the phosphor nucleus and consists of an organic compound with a hydrophobic functional group. | 05-22-2014 |
20140138724 | SUBSTRATE FOR MOUNTING OPTICAL SEMICONDUCTOR ELEMENT, METHOD FOR MANUFACTURING THE SUBSTRATE, AND OPTICAL SEMICONDUCTOR DEVICE - To manufacture a low-temperature co-fired ceramic/high-temperature co-fired ceramic laminated substrate by laminating a porous layer on a dense layer. The porous layer includes a first glass layer, a porous ceramic layer, and a second glass layer laminated on the dense layer in the stated order. The porous ceramic layer contains a glass component and ceramic filler, and has a porosity of 10% or more and 40% or less. A concentration of the glass component at least one of surfaces of the porous ceramic layer in a thickness direction thereof is higher than an average concentration of the glass component in the porous ceramic layer. The dense layer contains a ceramic component, and has a higher transverse rupture strength than the porous ceramic layer. | 05-22-2014 |
20140138725 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND PRODUCING METHOD THEREOF - An object of the present invention is to provide an LED device that can achieve a large total luminance flux while also achieving a structure, using a phosphor sheet, that is compact in size and easy to produce and whose color emission is easy to manage, and a method for producing such an LED device. A semiconductor light-emitting device including a semiconductor light-emitting element which includes a transparent insulating substrate and a semiconductor layer formed on a lower surface of the transparent insulating substrate, a phosphor resin which covers a side face of the transparent insulating substrate, and which wavelength-converts a portion of light emitted from the semiconductor light-emitting element, and a phosphor sheet which covers an upper surface of the phosphor resin and is bonded to the transparent insulating substrate, wherein the phosphor sheet has a top plan shape that is identical with an outer peripheral shape of the phosphor resin, and the top plan shape of the phosphor sheet defines an overall outer plan shape of the device. | 05-22-2014 |
20140138726 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND MANUFACTURING METHOD THEREOF - Provided is a semiconductor light-emitting element in which dopant interdiffusion is suppressed, the efficiency at which a carrier is infused into an active layer is improved, and there is less of a decrease in light-emitting intensity (droop) during high-current driving at a high light-emitting efficiency. The semiconductor light-emitting element composed of a GaN-based semiconductor includes an n-type semiconductor layer, an active layer formed on the n-type semiconductor layer, a first semiconductor layer formed on the active layer and having a concave/convex structure layer in the surface, and a second semiconductor structure layer doped with Mg and formed on the first semiconductor layer. | 05-22-2014 |
20140138727 | METHOD AND APPARATUS FOR PROCESSING A WORKPIECE AND AN ARTICLE FORMED THEREBY - A manufacturing process is applicable to singulating die from a substrate, where the substrate has a layer distinguishable from the substrate by a mechanical property such as brittleness. The process can include providing a workpiece including a substrate and a layer disposed on a first surface, modifying the mechanical property such as by compression, deforming a region of the substrate proximate to the portion of the layer having the modified mechanical property; and fracturing the portion of the layer at a location proximate to the deformed region of the substrate. Also, the process can include propagating a crack through a region of the substrate on a line along the modified portion of the layer. A die formed in this manner is also provided. | 05-22-2014 |
20140138728 | PHOSPHOR COMPOSITION AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - Disclosed are a phosphor composition and a light emitting apparatus including the same. The phosphor composition has a compositional formula of A | 05-22-2014 |
20140138729 | HIGH EFFICIENCY LIGHT EMITTING DIODE - A method of fabricating method light-emitting diode according to an exemplary embodiment of the present invention includes forming a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer on a first substrate, forming a second substrate on the second conductivity-type semiconductor layer, separating the first substrate from the first conductivity-type semiconductor layer, forming a mask pattern including a plurality of openings on the first conductivity-type semiconductor layer exposed after separating the substrate, etching the first conductivity-type semiconductor layer having the mask pattern disposed thereon to form a plurality of recesses separated from each other, removing the mask pattern, and etching a surface of the first conductivity-type semiconductor layer to form a sub-micro texture. | 05-22-2014 |
20140138730 | Method of Producing a Plurality of Optoelectronic Semiconductor Chips - A method of producing a plurality of optoelectronic semiconductor chips is provided. At least one trench is incorporated into the semiconductor body by means of at least one structuring process. The trench breaks through the active zone in a vertical direction. At least one cleaning process is performed at least on exposed locations of the semiconductor body in the region of the trench. The cleaning process includes at least one plasma cleaning process, and the plasma cleaning process at least reduces a number and/or a spatial expansion of structuring residues at exposed locations of the semiconductor body at least in the region of the trench. At least one passivation layer is applied at least to exposed locations of the semiconductor body in the region of the trench. | 05-22-2014 |
20140138731 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element includes: a light-transmitting substrate; a semiconductor layer including an N-type semiconductor layer, a light-emitting layer, and a P-type semiconductor layer stacked on the substrate; a transparent conductive layer provided on the semiconductor layer; a multilayer reflective layer stacked on a reflective region of an upper surface of the transparent conductive layer which is divided into the reflective region and a conductive region; and a metal reflective layer provided so as to cover the conductive region and the multilayer reflective layer, wherein the multilayer reflective layer is formed by alternately stacking at least one first refractive index transparent layer having a refractive index of n1 and at least one second refractive index transparent layer having a refractive index of n2 lower than n1, and an outermost layer on the metal reflective layer side of the multilayer reflective layer is the second refractive index transparent layer. | 05-22-2014 |
20140145224 | OPTOELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - A method of fabricating an optoelectronic device, comprising: providing a first substrate; forming an epitaxial stack on the first substrate wherein the epitaxial stack comprising a first conductive-type semiconductor layer, an active layer and a second conductive-type semiconductor layer; etching an upper surface of the second conductive-type semiconductor layer and forming a first texture profile on the upper surface of the second conductive-type semiconductor layer; forming a passivation layer on the upper surface of the second conductive-type semiconductor layer; and etching an upper surface of the passivation layer forming a second texture profile on the upper surface of the passivation layer wherein the first texture profile is different from the second texture profile. | 05-29-2014 |
20140145225 | WHITE LIGHT EMITTING DIODE MODULE - Disclosed is a white light emitting diode module including a conducting wire frame, a first primary color chip, a second primary color chip and a phosphor layer. The conducting wire frame has an accommodating groove. The first primary color chip is installed at the bottom of the middle of the accommodating groove, and the first primary color chip transmits a first light emitting source with a wavelength λ | 05-29-2014 |
20140145226 | POLYMER COMPOSITE, USE OF THE POLYMER COMPOSITE AND OPTOELECTRONIC COMPONENT CONTAINING THE POLYMER COMPOSITE - A polymer composite includes a polymer matrix and ZnO particles distributed in the polymer matrix, wherein the polymer composite is a barrier for compounds containing sulfur. | 05-29-2014 |
20140145227 | LIGHT-EMITTING DIODE CHIP - A light-emitting diode chip includes a semiconductor body including a radiation-generating active region, at least two contact locations electrically contacting the active region, a carrier and a connecting medium arranged between the carrier and the semiconductor body, wherein the semiconductor body includes roughening on outer surfaces facing the carrier, the semiconductor body mechanically connects to the carrier by the connecting medium, the connecting medium locally directly contacts the semiconductor body and the carrier, and the at least two contact locations are arranged on the upper side of the semiconductor body facing away from the carrier. | 05-29-2014 |
20140145228 | OPTOELECTRONIC SEMICONDUCTOR CHIP, METHOD OF FABRICATION AND APPLICATION IN AN OPTOELECTRONIC COMPONENT - An optoelectronic semiconductor chip includes an active layer with a first and a second major face, including a semiconductor material which emits or receives radiation when the semiconductor chip is in operation; a patterned layer including three-dimensional patterns for outcoupling or incoupling radiation and arranged on the first major face in a beam path of the radiation, wherein the patterned layer includes an inorganic-organic hybrid material. | 05-29-2014 |
20140145229 | LIGHT EMITTING DEVICE PACKAGE - A semiconductor device, and more particularly a light emitting device package usable with a lighting apparatus is disclosed. The light emitting device package comprises a package body, a light emitting device located on the package body, the light emitting device emitting light having a first wavelength band, a transparent substrate located over the light emitting device with a distance therebetween, a wavelength conversion layer located on the transparent substrate, wherein the wavelength conversion layer absorbs and converts at least a part of the light having the first wavelength band into light having a second wavelength band, and a color calibration layer located on the wavelength conversion layer, the color calibration layer calibrating color of the wavelength conversion layer. | 05-29-2014 |
20140145230 | ENCAPSULATING SHEET, OPTICAL SEMICONDUCTOR DEVICE, AND PRODUCING METHOD THEREOF - An encapsulating sheet, for encapsulating an optical semiconductor element mounted on a board by a wire-bonding connection, includes an embedding layer for embedding the optical semiconductor element and a wire and a cover layer covering the embedding layer. The embedding layer and the cover layer contain a catalyst containing a transition metal and are prepared from a silicone resin composition that is cured by accelerating a reaction by the catalyst. The ratio of the concentration of the transition metal in the cover layer to that of the transition metal in the embedding layer is 1 or more. The length from an interface between the embedding layer and the cover layer to a portion of the wire that is positioned closest to the cover layer-side is 150 μm or more. | 05-29-2014 |
20140145231 | CONVERSION ELEMENT FOR LIGHT-EMITTING DIODES AND PRODUCTION METHOD - A method of producing a conversion element includes forming a preform from a glass, reshaping the preform into a structured glass fiber using a structuring element, and dividing the glass fiber into conversion elements. | 05-29-2014 |
20140145232 | SEMICONDUCTOR LIGHT-EMITTING DEVICE, LIGHTING INSTRUMENT EMPLOYING THE SAME AND PROCESS FOR PRODUCTION OF THE SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device according to the embodiment includes a substrate, a compound semiconductor layer, a metal electrode layer provided with particular openings, a light-extraction layer, and a counter electrode. The light-extraction layer has a thickness of 20 to 120 nm and covers at least partly the metal part of the metal electrode layer; or otherwise the light-extraction layer has a rugged structure and covers at least partly the metal part of the metal electrode layer. The rugged structure has projections so arranged that their summits are positioned at intervals of 100 to 600 nm, and the heights of the summits from the surface of the metal electrode layer are 200 to 700 nm. | 05-29-2014 |
20140145233 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE THEREOF - A light emitting device includes a light emitting structure including a second conduction type semiconductor layer, an active layer, and a first conduction type semiconductor layer, a second electrode layer arranged under the light emitting structure, a first electrode layer having at least portion extending to contact the first conduction type semiconductor layer passing the second conduction type semiconductor layer and the active layer, and an insulating layer arranged between the second electrode layer and the first electrode layer, between the second conduction type semiconductor layer and the first electrode layer, and between the active layer and the first electrode layer, wherein said at least one portion of the first electrode layer contacting the first conduction type semiconductor layer has a roughness. | 05-29-2014 |
20140145234 | Display Device and Manufacturing Method Thereof - A display device in which light leakage in a monitor element portion is prevented without increasing the number of steps and cost is provided. The display device includes a monitor element for suppressing influence on a light-emitting element due to temperature change and change over time and a TFT for driving the monitor element, in which the TFT for driving the monitor element is provided so as not to overlap the monitor element. Furthermore, the display device includes a first light shielding film and a second light shielding film, in which the first light shielding film is provided so as to overlap a first electrode of the monitor element and the second light shielding film is electrically connect to the first light shielding film through a contact hole formed in an interlayer insulating film. The contact hole is formed so as to surround the outer edge of the first electrode of the monitor element. | 05-29-2014 |
20140145235 | SYSTEM AND METHOD FOR LED PACKAGING - System and method for LED packaging. The present invention is directed to optical devices. More specifically, embodiments of the presentation provide LED packaging having one or more reflector surfaces. In certain embodiments, the present invention provides LED packages that include thermal pad structures for dissipating heat generated by LED devices. In particular, thermal pad structures with large surface areas are used to allow heat to transfer. In certain embodiments, thick thermally conductive material is used to improve overall thermal conductivity of an LED package, thereby allowing heat generated by LED devices to dissipate quickly. Depending on the application, thermal pad structure, thick thermal conductive layer, and reflective surface may be individually adapted in LED packages or used in combinations. There are other embodiments as well. | 05-29-2014 |
20140151729 | LED LIGHTING DEVICES WITH QUANTUM DOT GLASS CONTAINMENT PLATES - A lighting device is provided comprising a chip-on-board (COB) light emitting diode (LED) light source, a light source encapsulant, a quantum dot distributed color conversion medium, and a quantum dot glass containment plate. The COB LED light source comprises at least one LED and defines a light source encapsulant cavity in which the light source encapsulant is distributed over the LED. The quantum dot glass containment plate is positioned over the light source encapsulant cavity and contains a quantum dot distributed color conversion medium. The distributed color conversion medium comprises a quantum dot structure and is distributed in two dimensions over an emission field of the lighting device within the quantum dot glass containment plate. | 06-05-2014 |
20140151730 | LED Packaging Construction and Manufacturing Method Thereof - LED packaging construction includes a substrate, a cavernous construction, a LED, and a reflection layer. The substrate is daubed with an insulation layer and a circuit layer on a surface on the substrate, wherein the substrate is made of metal, and the insulation layer is disposed between the circuit layer and the substrate. The cavernous construction is disposed on the substrate and surrounds the LED, and is formed by disposing a photoresist layer and patterning the photoresist layer. The circuit layer electrically connects the LED through a conducting wire. The reflection layer is at least disposed on a first surface of the cavernous construction, wherein the first surface surrounds the LED and faces toward the LED, and a part of light emitted from the LED is reflected by the reflection layer. | 06-05-2014 |
20140151731 | PHOTON CONVERSION STRUCTURES, DEVICES FOR LIGHT EMITTING DEVICES - The disclosure herein provides photon conversion, extraction and distribution structures, devices, and methods for light emitting devices. The structures, devices, and methods described herein can improve the efficiency and/or light distribution of light emitting devices. | 06-05-2014 |
20140151732 | BLUE LIGHT-EMITTING PHOSPHOR AND LIGHT-EMITTING DEVICE USING SAME - A blue light-emitting Eu-activated silicate phosphor having a constitutional formula of Sr | 06-05-2014 |
20140151733 | LAYERED PRODUCT FOR FINE PATTERN FORMATION AND METHOD OF MANUFACTURING LAYERED PRODUCT FOR FINE PATTERN FORMATION - Disclosed is a layered product for fine pattern formation and a method of manufacturing the layered product for fine pattern formation, capable of easily forming a fine pattern having a thin or no remaining film in order to form a fine pattern having a high aspect ratio on a processing object. The layered product for fine pattern formation (1) of the present invention used to form a fine pattern ( | 06-05-2014 |
20140151734 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A light-emitting device includes: a substrate; a light-emitting element mounted on the substrate, with a surface opposite to a light-emitting surface facing the substrate; a first resin encapsulant which covers the light-emitting element such that at least part of the light-emitting surface is exposed; and a second resin encapsulant provided on and in contact with the first resin encapsulant and the light-emitting surface. The first resin encapsulant contains a light reflective material. The second resin encapsulant has a function of converting first light emitted by the light-emitting element into second light of different wavelength, and a function of mixing the first light and the second light. | 06-05-2014 |
20140151735 | LATERAL SEMICONDUCTOR LIGHT EMITTING DIODES HAVING LARGE AREA CONTACTS - Light emitting diodes include a diode region having first and second opposing faces that include therein an n-type layer and a p-type layer, an anode contact that ohmically contacts the p-type layer and extends on the first face, and a cathode contact that ohmically contacts the n-type layer and also extends on the first face. The anode and cathode contacts extend on the first face to collectively cover substantially all of the first face. A small gap may be provided between the contacts. | 06-05-2014 |
20140151736 | HIGH-POWER WHITE LEDS - A light emitting apparatus has a radiation source for emitting short wavelength radiation. A down conversion material receives and down converts at least some of the short wavelength radiation emitted by the radiation source and back transfers a portion of the received and down converted radiation. An optic device adjacent the down conversion material at least partially surrounds the radiation source. The optic device is configured to extract at least some of the back transferred radiation. A sealant substantially seals a space between the radiation source and the optic device. | 06-05-2014 |
20140151737 | LIGHT EMITTING DEVICE - A light emitting device includes an electrically conductive member provided with a reflective film; a light emitting element mounted on the reflective film; and a protective film continuously covering a surface of the light emitting element and a surface of the reflective film. A thickness of the protective film on the reflective film in a vicinity of the light emitting element is substantially equal to a thickness of the protective film on the reflective film in the region except for the vicinity of the light emitting element. | 06-05-2014 |
20140151738 | ROUGHENED HIGH REFRACTIVE INDEX LAYER/LED FOR HIGH LIGHT EXTRACTION - A light emitting diode (LED) includes a p-type layer of material, an n-type layer of material and an active layer between the p-type layer and the n-type layer. A roughened layer of transparent material is adjacent one of the p-type layer of material and the n-type layer of material. The roughened layer of transparent material has a refractive index close to or substantially the same as the refractive index of the material adjacent the layer of transparent material, and may be a transparent oxide material or a transparent conducting material. An additional layer of conductive material may be between the roughened layer and the n-type or p-type layer. | 06-05-2014 |
20140151739 | OPTICAL SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, an optical semiconductor device includes a light emitting layer, a transparent layer, a first metal post, a second metal post and a sealing layer. The light emitting layer includes a first and a second major surface, a first and a second electrode. The second major surface is a surface opposite to the first major surface, and the first electrode and second electrodes are formed on the second major surface. The transparent layer is provided on the first major surface. The first metal post is provided on the first electrode. The second metal post is provided on the second electrode. The sealing layer is provided on the second major surface. The sealing layer covers a side surface of the light emitting layer and seals the first and second metal posts while leaving end portions of the first and second metal posts exposed. | 06-05-2014 |
20140151740 | Micro-Structure Phosphor Coating - An optical emitter includes micro-structure phosphor coating on a light-emitting diode die mounted on a package substrate. The micro-structures are transferred onto a micro-structure phosphor coating precursor by patterning and curing the precursor or by curing the precursor through a mold. The micro-structures are half spheroids, three-sided pyramids, or six-sided pyramids. | 06-05-2014 |
20140159083 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND FABRICATION METHOD THEREOF - A semiconductor light emitting device includes a first conductivity-type semiconductor layer, an active layer, a second conductivity-type semiconductor layer, an insulating region formed along the outer edges of an upper surface of the second conductivity-type semiconductor layer, and an ohmic-electrode layer disposed on the second conductivity-type semiconductor layer. | 06-12-2014 |
20140159084 | LED DOME WITH IMPROVED COLOR SPATIAL UNIFORMITY - A light emitting diode (LED) package comprising an encapsulant designed to improve color spatial uniformity in comparison to hemispherical encapsulants is described. In some embodiments, the encapsulant comprises a segment of a hemisphere as a lower portion and an upper portion of a second shape. In some embodiments this second shape is defined by a spline curve. The encapsulant improves color uniformity over a wide range of angles while only minimally affecting other attributes such as photometric polar distribution, extraction efficiency, and luminous intensity. Embodiments of the present invention can also utilize light emitting systems comprising such LED packages. | 06-12-2014 |
20140159085 | LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - A light emitting package includes a circuit board, a light emitting chip disposed on the circuit board and electrically connected to the circuit board, a resin layer disposed on the light emitting chip, and a fluorescent layer disposed on the resin layer. The light emitting chip is disposed between the resin layer and the circuit board. The resin layer is disposed between the light emitting chip and the fluorescent layer. For a light, a refractive index of the resin layer is smaller than a refractive index of the light emitting chip and is larger than a refractive of the fluorescent layer. | 06-12-2014 |
20140159086 | ACTIVE DEVICE ARRAY SUBSTRATE AND DISPLAY PANEL - An active device array substrate and a display panel are provided. The active device array substrate includes a substrate, a first conductor layer, a gate dielectric layer, a second conductor layer, an overcoat layer, a transparent electrode, a capacitive layer and pixel electrodes. The first conductor layer includes gate lines and light-shielding patterns. The gate dielectric layer covers the first conductor layer. The second conductor layer includes data lines and drain electrodes. Each of the data lines correspondingly overlaps one of the light-shielding patterns. The transparent electrode covers the overcoat layer. The pixel electrode is disposed on the capacitive layer and covers a portion of the shielding pattern. Each of the light-shielding patterns has a width greater than that of the overlapping data line. The gap between the edge of the light-shielding pattern and that of the overlapping data line is not greater than 2.5 microns. | 06-12-2014 |
20140159087 | LIGHT EMITTING DEVICE - A light emitting device comprising a carrier, a first and a second reflective layers, a first and a second micro-structures, a LED package device, a light guide device and a light directing cover is provided. The carrier comprises an upper plate and a lower plate each having a first surface and a second surface. The lower plate has a through hole. The first and second reflective layers are formed on the edges of the second surface of the upper plate and the first surface of the lower plate, respectively. The first and second micro-structures are formed on the edges of the second surface of the upper plate and the first surface of the lower plate, respectively. The LED package device is disposed below the through hole. The light guide device is connected to the LED package device. The light directing cover surrounds the light guide device. | 06-12-2014 |
20140159088 | LIGHT EMITTING DEVICE PACKAGES WITH IMPROVED HEAT TRANSFER - Packages containing one or more light emitting devices, such as light emitting diodes (LEDs), are disclosed. In one embodiment, LED package can include a thermal element having improved solder reliability to improve heat dissipation capacity of the LED package. LED package can include a molded plastic body having one or more LEDs attached to one or more electrical elements. The LEDs can be connected to an upper surface of the thermal element. The thermal element can include a bottom surface which can extend further away in distance from a body of the LED package than a bottom surface of the electrical element. This configuration can result in an improved connection between the LED package and an external circuitry source, thereby increasing heat transfer ability of the LED package. | 06-12-2014 |
20140159089 | LIGHT-EMITTING DIODE AND METHOD OF FABRICATING THE SAME - Exemplary embodiments of the present invention disclose a light-emitting diode (LED) including a semiconductor stack structure including a first semiconductor layer, an active layer, and a second semiconductor layer, the semiconductor stack disposed on a substrate, a conductive substrate disposed on the semiconductor stack structure, and an electrode disposed on the conductive substrate and in ohmic contact with the conductive substrate, wherein the electrode comprises grooves penetrating the electrode and a portion of the conductive substrate. | 06-12-2014 |
20140159090 | light emitting device - A light-emitting device is disclosed and comprises: a semiconductor stack; a transparent substrate comprising a first material; a bonding layer which bonds the semiconductor stack and the transparent substrate; and a medium in the transparent substrate, the medium comprising a second material different from the first material. | 06-12-2014 |
20140159091 | LIGHT-EMITTING ELEMENT - A light-emitting element comprises: a light-emitting semiconductor stack comprising a first semiconductor layer; a second semiconductor layer on the first semiconductor layer; and a light-emitting layer between the first semiconductor layer and the second semiconductor layer; a first electrode on the first semiconductor layer; a first protection layer on the light-emitting semiconductor stack and comprising a first through hole; and a conductive contact layer on the first protection layer and electrically connected to the first electrode through the first through hole. | 06-12-2014 |
20140159092 | LIGHT-EMITTING APPARATUS - A light-emitting apparatus of the present invention has (i) a semiconductor device which emits light toward a higher position than a substrate and (ii) a plurality of external connection terminals, and includes: a light-reflecting layer, provided on the substrate, which reflects the light emitted by the semiconductor device; and a covering layer which covers at least the light-reflecting layer and which transmits the light reflected by the light-reflecting layer. Further, the semiconductor device is provided on the covering layer, and is electrically connected to the external connection terminals via connecting portions, and the semiconductor device and the connecting portions are sealed with a sealing resin so as to be covered. Therefore, the light-emitting apparatus has increased efficiency with which light is taken out, and can prevent a reflecting layer from being altered, deteriorating, and decreasing in reflectance. | 06-12-2014 |
20140159093 | Carrier, Optoelectronic Unit Comprising a Carrier and Methods for the Production of Both - A carrier for an optoelectronic unit has a carrier material which includes polyethylene terephthalate which contains reflector particles and a further filler. Methods for the production of the optoelectronic unit and the carrier are also disclosed. | 06-12-2014 |
20140159094 | WAVELENGTH-CONVERTING LIGHT EMITTING DIODE (LED) CHIP AND LED DEVICE EQUIPPED WITH CHIP - A wavelength-converted light emitting diode (LED) chip is provided. The wavelength-converted LED chip includes an LED chip and a wavelength-converted layer. The LED chip emits light in a predetermined wavelength region. The wavelength-converted layer is formed of a resin containing phosphor bodies of at least one kind which convert a portion of the light emitted from the LED chip into light in a different wavelength region. The wavelength-converted layer is formed on an upper surface of the LED chip, and has a convex meniscus-shaped upper surface. | 06-12-2014 |
20140159095 | LIGHT EMITTING DEVICE - A light emitting device includes a metal layer, a light emitting structure, an electrode disposed on a first upper portion of a second conductive type semiconductor layer, a current spreading portion disposed on a second upper portion of the second conductive type semiconductor layer, an adhesive layer disposed under a first conductive type semiconductor layer, an insulating layer disposed between the electrode and the adhesive layer, a passivation layer disposed on a side surface of the light emitting structure and on a at least one upper surface of the light emitting structure, and a reflective layer disposed between the metal layer and the first conductive type semiconductor layer. | 06-12-2014 |
20140159096 | Micro-Interconnects for Light-Emitting Diodes - The present disclosure provides a method of fabricating a light emitting diode (LED) package. The method includes bonding a plurality of separated light emitting diode (LED) dies to a substrate, wherein each of the plurality of separated LED dies includes an n-doped layer, a quantum well active layer, and a p-doped layer; depositing an isolation layer over the plurality of separated LED dies and the substrate; etching the isolation layer to form a plurality of via openings to expose portions of each LED die and portions of the substrate; forming electrical interconnects over the isolation layer and inside the plurality of via openings to electrically connect between one of the doped layers of each LED die and the substrate; and dicing the plurality of separated LED dies and the substrate into a plurality of LED packages. | 06-12-2014 |
20140159097 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - An object is to provide a light-emitting element which uses a plurality of kinds of light-emitting dopants and has high emission efficiency. In one embodiment of the present invention, a light-emitting device, a light-emitting module, a light-emitting display device, an electronic device, and a lighting device each having reduced power consumption by using the above light-emitting element are provided. Attention is paid to Förster mechanism, which is one of mechanisms of intermolecular energy transfer. Efficient energy transfer by Förster mechanism is achieved by making an emission wavelength of a molecule which donates energy overlap with a local maximum peak on the longest wavelength side of a graph obtained by multiplying an absorption spectrum of a molecule which receives energy by a wavelength raised to the fourth power. | 06-12-2014 |
20140167083 | LED PACKAGE WITH INTEGRATED REFLECTIVE SHIELD ON ZENER DIODE - A lighting package is disclosed. The lighting package is disclosed as including a primary light source, such as a Light Emitting Diode, and an additional electrical component that protects the primary light source from electrostatic discharge, for example. The additional electrical component may correspond to a Zener diode and may be treated with at least one material that helps reduce the light absorption of the Zener diode. | 06-19-2014 |
20140167084 | PHOSPHOR, METHOD FOR PRODUCING THE SAME, AND LUMINESCENT DEVICE USING THE SAME - A blue phosphor having an emission peak wavelength different from that of conventional blue phosphors, a method for producing the same, and a high-intensity luminescent device using the phosphor are provided. The phosphor of the present invention is represented by a general formula Me | 06-19-2014 |
20140167085 | LIGHT EMITTING DEVICE HAVING IMPROVED LIGHT EXTRACTION EFFICIENCY - According to example embodiments, a light emitting device includes a transparent substrate, a transparent electrode on a transparent substrate, a transparent light extraction layer at least partially on the transparent electrode, a light emitting layer on the transparent electrode, and a reflective electrode on the light extraction layer and the light emitting layer. The light extraction layer and the light emitting layer may be alternately and repeatedly arranged between the transparent electrode and the reflective electrode. | 06-19-2014 |
20140167086 | EPITAXIAL LAYER WAFER HAVING VOID FOR SEPARATING GROWTH SUBSTRATE THEREFROM AND SEMICONDUCTOR DEVICE FABRICATED USING THE SAME - An epitaxial wafer having a void for separation of a substrate and a semiconductor device fabricated using the same. The epitaxial wafer includes a substrate, a mask pattern disposed on the substrate and comprising a masking region and an opening region, and an epitaxial layer covering the mask pattern. The epitaxial layer includes a void disposed on the masking region. | 06-19-2014 |
20140167087 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD FOR THE SAME - The present invention provides a light emitting device, which includes a light emitting diode (LED) chip, a wavelength conversion plate including a fluorescent substance and disposed on a light output surface side of the LED chip, and a sub heat radiation path formed to radiate heat of the wavelength conversion plate. The sub heat radiation path includes a transparent heat-conductive film provided on a surface of the wavelength conversion plate, a heat radiator provided in the vicinity of the LED chip, and a thermal connection member that thermally connects the transparent heat-conductive film to the heat radiator. | 06-19-2014 |
20140167088 | Molded Reflectors for Light-Emitting Diode Assemblies - Polymer compositions are described that are well suited for producing reflectors for light-emitting devices, such as light-emitting diodes. In one particular embodiment, the polymer composition contains a polymer resin, a white pigment, a silicone compound, and a nucleating agent. The polymer resin may comprise, for instance, a poly(1,4-cyclohexanedimethanol terephthalate). In accordance with the present disclosure, the composition also contains at least one silicone compound and at least one nucleating agent. The silicone compound and nucleating agent have been found to improve the molding processability and reflectance stability of the polymer composition. | 06-19-2014 |
20140167089 | HIGH EFFICIENCY GROUP III NITRIDE LED WITH LENTICULAR SURFACE - A high efficiency Group III nitride light emitting diode is disclosed. The diode includes a Group III nitride-based light emitting region including a plurality of Group III nitride-based layers. A lenticular surface directly contacts one of the Group III nitride-based layers of the light emitting region. The lenticular surface includes a transparent material that is different from the Group III nitride-based layer of the light emitting region that the lenticular surface directly contacts. | 06-19-2014 |
20140167090 | OPTOELECTRONIC TRANSMISSION SYSTEM AND METHOD - An optoelectronic transmission system has a photoemitter semiconductor component and a photodetector semiconductor component. The photoemitter semiconductor component has a radiation source for converting a first electrical signal into a first electromagnetic radiation and a first polarization filter having a first polarization direction for filtering the first electromagnetic radiation. The photodetector semiconductor component has a second polarization filter having a second polarization direction for filtering a second electromagnetic radiation and a sensor element for converting a second electromagnetic radiation which has been polarized by the polarization filter into a second electrical signal. In this case, the first polarization direction of the first polarization filter is identical to the second polarization direction of the second polarization filter. | 06-19-2014 |
20140167091 | THERMOPLASTIC RESIN COMPOSITION FOR REFLECTIVE MATERIAL, REFLECTIVE PLATE, AND LIGHT-EMITTING DIODE ELEMENT - The purpose of the present invention is to provide a thermoplastic resin composition for a reflective material which has excellent mechanical strength, excellent heat resistance, excellent high reflectance, and excellent moldability, and which makes it possible to obtain a reflective plate with little decline in reflectance caused by heating. A first embodiment of this thermoplastic resin composition for a reflective material includes: a polyester resin (A) that has a melting point or glass transition temperature of 250° C. or higher and includes an alicyclic hydrocarbon structure; a thermoplastic resin (B) that has a polyolefin backbone and a functional group; a white pigment (C); and an inorganic filler (D); the thermoplastic resin (B) either including 0.1 to 1.8 wt % of a functional group unit (B1) that further includes a heteroatom, or further including an aromatic hydrocarbon structure (B2), and the limiting viscosity [η] being 0.04 to 1.0 dl/g. | 06-19-2014 |
20140167092 | OPTOELECTRONIC ASSEMBLY AND METHOD FOR PRODUCING AN OPTOELECTRONIC ASSEMBLY - An optoelectronic assembly includes a carrier, an optoelectronic component arranged on the carrier, wherein the optoelectronic component includes a substrate and a light-emitting layer arranged on the substrate, and a light-reflecting first encapsulation at least locally covers a region of the carrier surrounding the optoelectronic component and side surfaces of the optoelectronic component. | 06-19-2014 |
20140175477 | EDGE COUPLING ALIGNMENT USING EMBEDDED FEATURES - Methods and systems may provide an alignment scheme for components that may reduce positional deviation between the components. The method may include placing a first component on top of a substrate, wherein the first component includes a receiving alignment feature, and coupling a second component to the first component, wherein the coupling includes inserting a protruding alignment feature of the second component into the receiving alignment feature of the first component. In one example, the first component includes an edge-emitting semiconductor die and the second component include one or more of an optical lens and an alignment frame. | 06-26-2014 |
20140175478 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT AND SCATTERING BODY - An optoelectronic semiconductor component includes one or a plurality of optoelectonic semiconductor chips, and at least one scattering body including a radiation-transmissive matrix material and embedded therein scattering particles composed of a particle material and which is disposed downstream of at least one of the semiconductor chips, wherein, in the event of a temperature change, a difference in refractive index between the matrix material and the particle material changes, and the difference in refractive index between the matrix material and the particle material at a temperature of 300 K is at most 0.15. | 06-26-2014 |
20140175479 | LED UNIT WITH LIGHT MIXING ELEMENT - An LED unit includes an LED, a light mixing element mounted on the LED and a lens covering the light mixing element. The light mixing element is transparent and includes a top face, a bottom face and a circumferential face. Light emitted from the LED with a large output angle is refracted by the bottom face, reflected by the circumferential face and refracted by the top face of the light mixing element to radiate out of the light mixing element with a small light emergent angle. | 06-26-2014 |
20140175480 | LED DIE AND METHOD FOR MANUFACTURING LED INCORPORATING THE SAME - An LED die includes a substrate, a first semiconductor layer, a light emitting layer, a second semiconductor layer, a first electrode and a second electrode. A phosphor layer is formed on the second semiconductor layer. The phosphor layer has a constant thickness at a main light emitting face of the second semiconductor layer. A method for manufacturing an LED incorporating the die is also disclosed. | 06-26-2014 |
20140175481 | WAFER-LEVEL FLIP CHIP DEVICE PACKAGES AND RELATED METHODS - In accordance with certain embodiments, semiconductor dies are at least partially coated with a polymer and a conductive adhesive prior being bonded to a substrate having electrical traces thereon. | 06-26-2014 |
20140175482 | LIGHT EMITTING DIODE PACKAGE WITH LIGHT REFLECTING CUP INTERNALLY SLANTED - An exemplary LED package includes a base, electrodes formed on the base, an LED chip electrically connecting the electrodes, and a reflecting cup mounted on the base and surrounding the LED chip therein. The reflecting cup includes a bottom surface and an inner surface recessed up from the bottom surface and slantwise oriented towards a top end of the reflecting cup. The reflecting cup is annular. The inner surface includes a reflecting portion slantwise extending from the top surface, and a transition portion extending downwardly from the reflecting portion. The transition portion defines a through hole therein. The reflecting portion defines a reflecting hole therein. An angle α is defined between the reflecting portion and an imaginary surface parallel to the bottom surface. An angle β is defined between the reflecting portion and the bottom surface. The angle β is larger than the angle α. | 06-26-2014 |
20140175483 | LIGHT EMITTING DIODE PACKAGE - An LED package includes a first electrode, a second electrode electrically insulated from the first electrode, a reflecting cup connecting the first electrode and the second electrode, and an LED chip. The first electrode includes an elongated first main portion and a first connecting portion bending downwardly from an end of the first main portion. The second electrode includes an elongated second main portion and a second connecting portion bending downwardly from an end of the second main portion. The LED chip is received in reflecting cup. The first main portion and the second main portion are embedded into the receiving cup, the end of the first main portion and the end of the second main portion extend outside the reflecting cup, and the first connecting portion and the second connecting portion are located outside the receiving cup. | 06-26-2014 |
20140175484 | LIGHT EMITTING DIODE PACKAGE - An LED package includes a first electrode, a second electrode, a reflecting cup connecting the first electrode and the second electrode, and an LED chip. The first electrode includes a first main portion and a first connecting portion extending outwardly from the first main portion. The first connecting portion has a first connecting face away from the first main portion. The second electrode includes a second main portion and a second connecting portion extending outwardly from the second main portion. The second connecting portion has a second connecting face away from the second main portion. The first main portion and the second main portion are embedded into the receiving cup, and the first connecting face of the first connecting portion and the second connecting face of the second connecting portion are exposed outside the receiving cup. | 06-26-2014 |
20140175485 | LIGHT EMITTING DIODE WITH ENHANCED LIGHT EXTRACTION - A light-emitting diode includes a substrate, a stacked semiconductor structure on one side of the substrate, and a reflection layer on the other side of the substrate opposite to the stacked semiconductor structure. At least one contact electrode is disposed on the stacked semiconductor structure. The contact electrode includes a pad electrode and at least one finger electrode extending from the pad electrode. A light-guiding structure is disposed along the finger electrode. | 06-26-2014 |
20140175486 | NARROW VIEWING ANGLE PLASTIC LEADED CHIP CARRIER - The PLCC package enables a narrow viewing angle without requiring a second lens by providing the PLCC package with a reflector cup having multiple stages where the geometry or some other characteristic of one stage is different from the geometry or some other characteristic of another stage. | 06-26-2014 |
20140175487 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided. The light emitting device includes: a substrate; a light emitting device disposed at one side of the substrate; and a formation layer formed on the substrate and having a slope at an edge portion of the formation layer. | 06-26-2014 |
20140175488 | HEAT-CURABLE SILICONE RESIN SHEET HAVING PHOSPHOR-CONTAINING LAYER AND WHITE PIGMENT-CONTAINING LAYER, METHOD OF PRODUCING LIGHT EMITTING DEVICE USING SAME AND ENCAPSULATED LIGHT EMITTING SEMICONDUCTOR DEVICE PRODUCED THEREBY - Provided are a heat-curable silicone resin sheet capable of easily and uniformly dispersing phosphors on an LED element surface and reducing a brightness through a light-diffusing effect, a method of producing a light emitting device using the same and an encapsulated light emitting semiconductor device produced by the corresponding method. The heat-curable silicone resin sheet includes at least two layers that are: a phosphor-containing layer consisting essentially of a phosphor-containing heat-curable silicone resin composition that is in a plastic solid or plastic semi-solid state at room temperature; and a white-pigment-containing layer consisting essentially of a white pigment-containing heat-cured silicone resin composition. | 06-26-2014 |
20140175489 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element includes: a laminated semiconductor layer in which an n-type semiconductor layer, a light emitting layer and a p-type semiconductor layer are laminated; plural n-side electrodes that are laminated on the n-type semiconductor layer, electrically connected to the n-type semiconductor layer and arranged to surround at least a partial region of the light emitting layer and the p-type semiconductor layer as viewed from a lamination direction; and a p-side electrode that is provided on the p-type semiconductor layer, provided with a reflective property to light outputted from the light emitting layer and electrically connected to the p-type semiconductor layer, the p-side electrode including a connecting portion, which is used for electrical connection with an outside, at a region surrounded by the plural n-side electrodes as viewed from the lamination direction. | 06-26-2014 |
20140175490 | SILICON-GERMANIUM LIGHT-EMITTING ELEMENT - Provided is an element structure whereby it is possible to produce a silicon-germanium light-emitting element enclosing an injected carrier within a light-emitting region. Also provided is a method of manufacturing the structure. Between the light-emitting region and an electrode there is produced a narrow passage for the carrier, specifically, a one-dimensional or two-dimensional quantum confinement region. A band gap opens up in this section due to the quantum confinement, thereby forming an energy barrier for both electrons and positive holes, and affording an effect analogous to a double hetero structure in an ordinary Group III-V semiconductor laser. Because no chemical elements other than those used in ordinary silicon processes are employed, the element can be manufactured inexpensively, simply by controlling the shape of the element. | 06-26-2014 |
20140175491 | LIGHT EMITTING DEVICE - The light emitting device comprising a light emitting element; and a wavelength converting member having a first face and a second face, in which light emitted from the light emitting element enters in through the first face, and a part of the second face serves as a light emitting face, wherein the light emitting element further comprises a reflection control structure around the light emitting face of the second face, and the reflection control structure comprises a reflection film on the wavelength converting member and an anti-reflection film on the reflection film. | 06-26-2014 |
20140175492 | DENSE-LUMINESCENT-MATERIALS-COATED VIOLET LEDS - Techniques for fabricating and using arrays of violet-emitting LEDs coated with densely-packed-luminescent-material layers together with apparatus and method embodiments thereto are disclosed. | 06-26-2014 |
20140175493 | Light-Emitting Device - A light-emitting device includes a semiconductor light-emitting stack; a current injected portion formed on the semiconductor light-emitting stack; an extension portion having a first branch radiating from the current injected portion and a second branch extending from the first branch; an electrical contact structure between the second branch and the semiconductor light-emitting stack and having a first width; and a current blocking structure located right beneath the electrical contact structure and having a second width larger than the first width. | 06-26-2014 |
20140175494 | SILICONE RESIN COMPOSITION, ENCAPSULATING LAYER, REFLECTOR, AND OPTICAL SEMICONDUCTOR DEVICE - A silicone resin composition includes a cage octasilsesquioxane; a polysiloxane containing alkenyl groups at both ends containing an alkenyl group having the number of moles smaller than the number of moles of the hydrosilyl group of the cage octasilsesquioxane; a hydrosilylation catalyst; a hydroxyl group-containing polysiloxane, organohydrogenpolysiloxane, or a polysiloxane containing alkenyl groups at side chain. | 06-26-2014 |
20140183581 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light-emitting device comprises a substrate; a first semiconductor layer formed on the substrate; a light-emitting layer on the first semiconductor layer; and a second semiconductor layer having a rough surface formed on the light-emitting layer, wherein the rough surface comprises a plurality of cavities randomly distributed on the rough surface, and one of the plurality of cavities has a substantially hexagonal shape viewed from top and a curved sidewall viewed from cross-section. | 07-03-2014 |
20140183582 | LIGHT EMITTING DIODE PACKAGE AND DISPLAY APPARATUS INCLUDING THE SAME - A light emitting diode package includes a light emitting diode, an insulating layer, a plurality of light emitting particles, and a plurality of metal particles. The light emitting diode is configured to emit first light of a first wavelength in a visible light range. The insulating layer is disposed on the light emitting diode. The plurality of light emitting particles is dispersed in the insulating layer and is configured to receive the first light to generate a second light of a second wavelength different from the first wavelength. The plurality of metal particles is dispersed in the insulating layer, and is configured to receive at least one light component of the first light and the second light to cause, at least in part, surface plasmon resonance, the surface plasmon resonance being configured to yield a resonance wave comprising a peak wavelength in the range of the second wavelength. | 07-03-2014 |
20140183583 | WAVELENGTH CONVERTING MATERIAL AND APPLICATION THEREOF - This disclosure discloses a wavelength converting material. The wavelength converting material comprises a plurality of wavelength converting particles, the wavelength converting particles having an average particle size greater than 5 μm, and wherein each of the wavelength converting particles has a particle size. 90% of the wavelength converting particles have the particle size smaller than a μm; 50% of the wavelength converting particles have the particle size smaller than b μm; and 10% of the wavelength converting particles have the particle size smaller than c μm; wherein (a−c)/b≦0.5. | 07-03-2014 |
20140183584 | LED LAMP INCORPORATING REMOTE PHOSPHOR AND DIFFUSER WITH HEAT DISSIPATION FEATURES - LED lamps or bulbs are disclosed that comprise a light source, a heat sink structure and a remote phosphor carrier having at least one conversion material. The phosphor carrier can be remote to the light sources and mounted to the heat sink. The phosphor carrier can have a three-dimensional shape and comprise a thermally conductive transparent material and a phosphor layer, with an LED based light source mounted to the heat sink such that light passes through the phosphor carrier. The phosphor carrier converts at least some of the LED light, with some embodiments emitting a white light combination of LED and phosphor light. The phosphors in the phosphor carriers can operate at a lower temperature to have greater phosphor conversion efficiency and reduced heat related damage. The lamps or bulbs can also comprise a diffuser over the phosphor carrier to distribute light and conceal the phosphor carrier. | 07-03-2014 |
20140183585 | FABRICATION OF OPTICAL ELEMENTS AND MODULES INCORPORATING THE SAME - Fabricating a wafer-scale spacer/optics structure includes replicating optical replication elements and spacer replication sections directly onto an optics wafer (or other wafer) using a single replication tool. The replicated optical elements and spacer elements can be composed of the same or different materials. | 07-03-2014 |
20140183586 | LIGHT EMITTING ELEMENT - A light emitting element includes a semiconductor laminate structure including a first semiconductor layer of a first conductivity type, a light emitting layer, and a second semiconductor layer of a second conductivity type different from the first conductivity type, a part of the second semiconductor layer and the light emitting layer being removed to expose a part of the first semiconductor layer, a first reflecting layer located on the semiconductor laminate structure and including an opening, the opening being formed in the exposed part of the first semiconductor layer, a transparent wiring electrode for carrier injection into the first semiconductor layer or the second semiconductor layer through the opening, and a second reflecting layer formed on the transparent wiring electrode and covering a part of the opening so as to reflect light emitted from the light emitting layer and passing through the opening back to the first semiconductor layer. | 07-03-2014 |
20140183587 | LIGHT EMITTING DIODE PACKAGE - An LED package includes a substrate, an LED chip arranged on the substrate, and a light transmission layer arranged on a light output path of the LED chip. The substrate includes a first electrode and a second electrode separated and electrically insulated from the first electrode. The LED chip is electrically connected to the first electrode and the second electrode of the substrate. The light transmission layer comprises two parallel transparent plates and a fluorescent layer sandwiched between the two transparent plates. The LED package further includes an encapsulation layer sealing the LED chip therein. The light transmission layer is directly located on a top surface of each LED chip, and the encapsulation layer seals the light transmission layer therein. | 07-03-2014 |
20140183588 | LIGHT-EMITTING DIODE AND METHOD OF MANUFACTURING SAME - Provided are a light-emitting diode which prevents degradation of reflectance and which enables high-luminosity light emission, and its manufacturing method. Such a light-emitting diode includes a substrate ( | 07-03-2014 |
20140183589 | METHOD FOR MANUFACTURING A SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND SEMICONDUCTOR LIGHT-EMITTING ELEMENT MANUFACTURED THEREBY - There are provided a method of manufacturing a semiconductor light emitting device and a semiconductor light emitting device manufactured thereby. According to an exemplary embodiment, a method of manufacturing a semiconductor light emitting device includes: forming a light emitting structure by sequentially growing a first conductivity type semiconductor layer, an active layer and a second conductivity type semiconductor layer on a first main surface of a substrate, the substrate having first and second main surfaces opposing one another; forming a reflective film on the second main surface of the substrate, the reflective film including at least one laser absorption region; and performing a scribing process separating the light emitting structure and the substrate into device units by irradiating a laser from a portion of a top of the light emitting structure corresponding to the laser absorption region to the light emitting structure and the substrate. | 07-03-2014 |
20140191262 | MATERIAL WITH TUNABLE INDEX OF REFRACTION - Devices are described including a component comprising an alloy of AlN and AlSb. The component has an index of refraction substantially the same as that of a semiconductor in the optoelectronic device, and has high transparency at wavelengths of light used in the optoelectronic device. The component is in contact with the semiconductor in the optoelectronic device. The alloy comprises between 0% and 100% AlN by weight and between 0% and 100% AlSb by weight. The semiconductor can be a III-V semiconductor such as GaAs or AlGaInP. The component can be used as a transparent insulator. The alloy can also be doped to form either a p-type conductor or an n-type conductor, and the component can be used as a transparent conductor. Methods of making and devices utilizing the alloy are also disclosed. | 07-10-2014 |
20140191263 | COMPOSITIONS FOR AN LED REFLECTOR AND ARTICLES THEREOF - Disclosed herein is a resin composition for molding a reflector for a light-emitting semiconductor diode comprising about 25 to about 80 wt. % of an heat-resistant aromatic polyester, about 5 to 50 wt. % of titanium dioxide filler; and about 5 to 50 wt. % of a glass fibers having a flat surface. In another aspect of the present invention, there is also provided a reflector for a light-emitting semiconductor element, which includes a molded product of the resin composition. In a further aspect of the present invention, there is also provided a light-emitting semiconductor unit comprising a light-emitting semiconductor diode element, leads connecting electrodes of the light-emitting semiconductor diode element with external electrodes, respectively, and the reflector. | 07-10-2014 |
20140191264 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - There is provided a semiconductor light-emitting device including a substrate having a first refractive index, a nitride semiconductor layer formed on the substrate and having a second refractive index that is different from the first refractive index, a light-emitting structure formed on the nitride semiconductor layer and including a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer, and an optical extraction film disposed between the substrate and the nitride semiconductor layer and having a refractive index between the first refractive index and the second refractive index. | 07-10-2014 |
20140191265 | LIGHT EMITTING DEVICES WITH IMPROVED LIGHT EXTRACTION EFFICIENCY - Light emitting devices with improved light extraction efficiency are provided. The light emitting devices have a stack of layers including semiconductor layers comprising an active region. The stack is bonded to a transparent optical element. | 07-10-2014 |
20140191266 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE LIGHT EMITTING DEVICE - In one example embodiment, a light emitting device includes a transparent substrate and a transparent electrode on the transparent substrate, the transparent electrode comprising at least two transparent electrode layers, the at least two transparent electrode layers being successively stacked and having different refractive indices, the refractive index of one of the at least two transparent electrode layers that is closer to the transparent substrate being higher than the refractive index of the other one of the at least two transparent electrode layers. The light emitting device further includes a light emission layer on the transparent electrode and a reflective electrode on the light emission layer. | 07-10-2014 |
20140191267 | LIGHT EMITTING DEVICE - A light emitting device according to the embodiment includes a light emitting structure including a first conductive semiconductor layer, an active layer disposed under the first conductive semiconductor layer, and a second conductive semiconductor layer disposed under the active layer. A first electrode is disposed under the light emitting structure and is electrically connected to the first conductive semiconductor layer, and a second electrode is disposed under the light emitting structure and is electrically connected to the second conductive semiconductor layer. A first contact portion is disposed through the light emitting structure and includes a first region electrically connected to the first electrode and a second region making contact with a top surface of the first conductive semiconductor layer. | 07-10-2014 |
20140191268 | LIGHT EMITTING ELEMENT AND LIGHT EMITTING ELEMENT PACKAGE - A light emitting element includes: a substrate; a first conductive type semiconductor layer stacked on the substrate; a light emitting layer stacked on the first conductive type semiconductor layer; a second conductive type semiconductor layer stacked on the light emitting layer; an ITO layer stacked on the second conductive type semiconductor layer; and a reflective layer stacked on the ITO layer. The substrate is transparent to an emission wavelength of the light emitting layer, and the reflective layer includes a Ti-containing first layer stacked on the ITO layer to make contact with the ITO layer and an Al-containing second layer stacked on the first layer in an opposite side to the ITO layer. | 07-10-2014 |
20140191269 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a stacked structure body, a first electrode, a second electrode, and a dielectric body part. The stacked structure body includes a first semiconductor layer, having a first portion and a second portion juxtaposed with the first portion, a light emitting layer provided on the second portion, a second semiconductor layer provided on the light emitting layer. The first electrode includes a contact part provided on the first portion and contacting the first layer. The second electrode includes a first part provided on the second semiconductor layer and contacting the second layer, and a second part electrically connected with the first part and including a portion overlapping with the contact part when viewed from the first layer toward the second layer. The dielectric body part is provided between the contact part and the second part. | 07-10-2014 |
20140191270 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device includes a light emitting layer, a substrate that is transparent to an emission wavelength of the light emitting layer and positioned to receive an emission wavelength from the light emitting layer, a convex pattern including a collection of a plurality of convex portions discretely arranged on a front surface of the substrate with a first pitch, an n type nitride semiconductor layer located on the front surface of the substrate to cover the convex pattern and a p type nitride semiconductor layer located on the light emitting layer. The light emitting layer is located on the n type semiconductor layer. Each of the convex portions includes a sub convex pattern comprising a plurality of fine convex portions discretely formed at the top of the convex portion with a second pitch smaller than the first pitch, and a base supporting the sub convex pattern. | 07-10-2014 |
20140191271 | LIGHT EMITTING MODULE - In a light emitting module, a red phosphor is contained in a second phosphor layer so that the wavelength of a second phosphor layer after the wavelength conversion is longer than that of a first phosphor layer. And a blue phosphor and a yellow-green phosphor are contained in the first phosphor layer. The first phosphor layer is formed in an illumination area of light emitted from an LED chip, and the first phosphor layer converts the wavelength of the light from the LED chip and emits the wavelength-converted light from an emission surface. A part of the second phosphor layer is formed in a non-direct area where no light is illuminated from the LED chip and where light is illuminated from the first phosphor layer. The part of the second phosphor layer formed in the non-direct illumination area converts the wavelength of the light emitted from the first phosphor layer. | 07-10-2014 |
20140191272 | Optoelectronic Component and Method for Producing an Optoelectronic Component - An optoelectronic component includes a substrate, on which a semiconductor chip and a wettable attractor element are arranged. A medium including pigments at least regionally covers the exposed region of the substrate that is not covered by the semiconductor chip and the attractor element. The medium at least partly wets the semiconductor chip and the attractor element. | 07-10-2014 |
20140191273 | Light-emitting arrangement - A light emitting arrangement is provided, comprising:—a light source capable of emitting light of a first wavelength range;—a primary wavelength converting domain arranged to receive light emitted by said light source and capable of converting at least part of the light of said first wavelength range into light of a second wavelength range;—a secondary wavelength converting domain arranged to receive ambient light and capable of converting light into light of a third wavelength range from 470 nm to less than 570 nm, wherein said primary wavelength converting domain is arranged between said light source and said secondary wavelength converting domain; and—an optical element arranged in the path of light between said primary and secondary wavelength converting domains. By using the suggested arrangement a desirable off-state green or greenish appearance is obtained, using minor amounts of phosphor and with high light extraction efficiency. | 07-10-2014 |
20140197434 | LIGHT EMITTING DIODE DEVICE AND METHOD FOR MANUFACTURING HEAT DISSIPATION SUBSTRATE - The light emitting diode (LED) device includes a substrate formed with at least one electrode; an LED chip disposed on the substrate, and formed with at least one solder pad; at least one wire electrically connected between the solder pad and the electrode; and a fluorescent material layer covering the LED chip. Thermal conductivity of the substrate is 80˜120 W/mK and a color rendering index of the LED device under correlated color temperatures 2600K˜3700K is greater than 90. | 07-17-2014 |
20140197435 | Optoelectronic Semiconductor Chip - An optoelectronic semiconductor chip includes a semiconductor body of semiconductor material, a p-contact layer and an n-contact layer. The semiconductor body includes an active layer intended for generating radiation. The semiconductor body includes a p-side and an n-side, between which the active layer is arranged. The p-contact layer is intended for electrical contacting the p-side. The n-contact layer is intended for electrical contacting the n-side | 07-17-2014 |
20140197436 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - According to example embodiments, a light emitting device package includes a package body including nanofibers and light-reflective particles dispersed in a resin, first and second electrodes in the package body, and a light emitting device on the package body. The emitting device is electrically connected to the first and second electrodes. | 07-17-2014 |
20140197437 | FLUORESCENT MATERIAL AND ILLUMINATION DEVICE - A fluorescent material represented by the following formula (I): | 07-17-2014 |
20140197438 | LIGHT EMITTING DEVICE AND LIGHTING APPARATUS HAVING THE SAME - A light emitting device include a first lead frame including a first bonding region and a second lead frame including a second bonding region. A gap is provided between the first and second lead frames. A first body is coupled to the first and second lead frames and includes an open region exposing the first and second bonding regions. A second body is coupled onto the first body and includes a first opening on the open region of the first body. A light emitting chip is provided on the second bonding region of the second lead frame, and a transmissive resin layer surrounds the light emitting chip in the open region of the first body and the first opening of the second body. | 07-17-2014 |
20140197439 | LED MODULE - There is provided a manufacturing method of an LED module including: forming an insulating film on a substrate; forming a first ground pad and a second ground pad separated from each other on the insulating film; forming a first division film that fills a space between the first and second ground pads, a second division film deposited on a surface of the first ground pad, and a third division film deposited on a surface of the second ground pad; forming a first partition layer of a predetermined height on each of the division films; sputtering seed metal to the substrate on which the first partition layer is formed; forming a second partition layer of a predetermined height on the first partition layer; forming a first mirror connected with the first ground pad and a second mirror connected with the second ground pad by performing a metal plating process to the substrate on which the second partition layer is formed; removing the first and second partition layers; connecting a zener diode to the first mirror and connecting an LED to the second mirror; and depositing a fluorescent material so as to fill a space formed by the first mirror and the second mirror. | 07-17-2014 |
20140197440 | ILLUMINATION DEVICE - A semiconductor light emitting element includes a transparent substrate and a plurality of light emitting diode (LED) structures. The transparent substrate has a support surface and a second main surface disposed opposite to each other. At least some of the LED structures are disposed on the support surface and form a first main surface where light emitted from with a part of the support surface without the LED structures. Each of the LED structures includes a first electrode and a second electrode. Light emitted from at least one of the LED structures passes through the transparent substrate and emerges from the second main surface. An illumination device includes the semiconductor light emitting element and a supporting base. The semiconductor light emitting element is disposed on the supporting base, and an angle is formed between the semiconductor light emitting element and the supporting base. | 07-17-2014 |
20140197441 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND ILLUMINATION DEVICE COMPRISING THE SAME - A semiconductor light emitting element includes a transparent substrate and a plurality of light emitting diode (LED) chips. The transparent substrate has a support surface and a second main surface disposed opposite to each other. At least some of the LED structures are disposed on the support surface and form a first main surface where light emitted from with a part of the support surface without the LED structures. Each of the LED structures includes a first electrode and a second electrode. Light emitted from at least one of the LED structures passes through the transparent substrate and emerges from the second main surface. An illumination device includes the semiconductor light emitting element and a supporting base. The semiconductor light emitting element is disposed on the supporting base, and an angle is formed between the semiconductor light emitting element and the supporting base. | 07-17-2014 |
20140197442 | Optical Device Package and Method of Manufacturing the Same - Provided are an optical device package and a method of manufacturing the same. The method of manufacturing the optical device package according to an exemplary embodiment of the present invention comprises: forming an adhesive layer showing predetermined reflectance or more on an insulating layer; forming a metal layer on the adhesive layer; forming a circuit pattern layer by etching the metal layer; and mounting an optical device on the circuit pattern layer. According to the present invention, the adhesive layer showing the predetermined reflectance or more rather than a transparent adhesive layer is formed, whereby thanks to the adhesive layer exposed by the etched part of the circuit pattern layer, the light can be prevented from being trapped. Thus, it is advantageous that luminous intensity of the optical device package increases. | 07-17-2014 |
20140197443 | LED DEVICE HAVING IMPROVED LUMINOUS EFFICACY - There are provided a light emitting diode (LED) device including an LED chip emitting light within a specific wavelength region, a transparent resin layer covering a light emission surface of the LED chip, and a color conversion layer formed to be spaced apart from the LED chip by the transparent resin layer to cover the transparent resin layer and including at least one type of phosphor converting light emitted from the LED chip into light within a different wavelength region, wherein a mean free path of phosphor particles included in the color conversion layer is 0.8 mm or more at a temperature of 5500 K. | 07-17-2014 |
20140203311 | PHOTON EXTRACTION FROM NITRIDE ULTRAVIOLET LIGHT-EMITTING DEVICES - In various embodiments, a rigid lens is attached to a light-emitting semiconductor die via a layer of encapsulant having a thickness insufficient to prevent propagation of thermal expansion mismatch-induced strain between the rigid lens and the semiconductor die. | 07-24-2014 |
20140203312 | MIXED LIGHT LED STRUCTURE - Disclosed is a mixed light LED structure which is a solid-state phosphor plate manufactured by mixing phosphor and resin, and the solid-state phosphor plate is installed in a carrier and covered onto the top of a light emitting chip, and a specific ratio relation between the area of the solid-state phosphor plate and the area of the light emitting chip area or a specific ratio relation between the area of the solid-state phosphor plate and the area a light emitting hole are used. and also the relation of limiting the distance between the solid-state phosphor plate and the light emitting chip is satisfied, so as to achieve a better mixed light effect and a longer service life of the mixed light LED structure. | 07-24-2014 |
20140203313 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes: a mounting substrate; a semiconductor light emitting element; a first resin; and a second resin. The semiconductor light emitting element includes: a semiconductor layer including a light emitting layer; a p-side electrode; a p-side interconnection unit; an n-side electrode; and an n-side interconnection unit. The first resin covers a periphery of the semiconductor light emitting element on the substrate and contains a phosphorescent substance capable of being excited by emission light of the light emitting layer. The second resin is provided on the first resin layer and the semiconductor light emitting element and contains a fluorescent body capable of being excited by emission light of the light emitting layer to emit light of a different peak wavelength from emission light of the light emitting layer. | 07-24-2014 |
20140203314 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes: a semiconductor layer; a p-side electrode; an n-side electrode; and a fluorescent body layer. The p-side electrode is provided on a second surface side of the semiconductor layer. The n-side electrode is provided on the second surface side of the semiconductor layer. The fluorescent body layer is provided on a first surface side of the semiconductor layer and contains a plurality of fluorescent bodies configured to be excited by emission light of the light emitting layer and emit light of a different wavelength from the emission light and a bonding material integrating the plurality of fluorescent bodies and configured to transmit the emission light. An average spacing between adjacent ones of the fluorescent bodies is narrower than a peak wavelength of emission light of the light emitting layer. | 07-24-2014 |
20140203315 | LED LENS AND LED PACKAGE USING THE SAME - A light emitting diode (LED) lens comprises a light incident surface on a bottom surface of the LED lens facing a light source. A light exit surface, having a size greater than the bottom surface, is defined by a top surface of the LED lens. A planar portion, emitting light incident through the light incident surface, is in a central region of the light exit surface. At least one protrusion portion, protruding to be stepped with respect to the planar portion, is in a region of the light exit surface except for the central region. A reflective surface, defined by lateral surfaces of the LED lens between the top surface of the LED lens and the bottom surface thereof, guides the light incident through the light incident surface, and contacts a lower portion of the light exit surface corresponding to a boundary between the protrusion and the planar portions. | 07-24-2014 |
20140203316 | YELLOW PHOSPHOR LAYER CONTAINING COLORED BEADS FOR ADJUSTING ITS PERCEIVED OFF-STATE COLOR - LED dies, emitting blue light, are provided on a first support substrate to form a light emitting layer. A mixture of a transparent binder, yellow phosphor powder, magenta-colored glass beads, and cyan-colored glass beads is printed over the light emitting surface. The mixture forms a wavelength conversion layer when cured. The beads are sized so that the tops of the beads protrude completely through the conversion layer. When the LED dies are on, the combination of the yellow phosphor light and the blue LED light creates white light. When the LEDs are off, white ambient light, such as sunlight, causes the conversion layer to appear to be a mixture of yellow light, magenta light, and cyan light. The percentage of the magenta and cyan beads in the mixture is selected to create a desired off-state color, such as a neutral color, of the conversion layer for aesthetic purposes. | 07-24-2014 |
20140203317 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT EMITTING APPARATUS - There is provided a semiconductor light emitting device including a substrate having light transmission properties and including a first surface and a second surface opposed to the first surface, a light emitting structure including a first conductivity type semiconductor layer, an active layer, and a second conductivity type semiconductor layer sequentially disposed on the first surface of the substrate, a first electrode and a second electrode connected to the first conductivity type semiconductor layer and the second conductivity type semiconductor layer, respectively, and a window layer disposed on the second surface of the substrate, the window layer being formed of a light transmissive material which is different from a material of the substrate and including inclined side surfaces. | 07-24-2014 |
20140203318 | LIGHT EMITTING ELEMENT AND LIGHT EMITTING ELEMENT PACKAGE - A light emitting element includes: a sapphire substrate having a front surface and a rear surface opposite the front surface; a first conductive type semiconductor layer stacked on the front surface of the sapphire substrate; a light emitting layer stacked on the first conductive type semiconductor layer; a second conductive type semiconductor layer stacked on the light emitting layer; a reflective layer which contains Ag and is disposed on the rear surface of the sapphire substrate, the reflective layer reflecting light from the sapphire substrate toward the front surface of the sapphire substrate; and an adhesive layer which is interposed between the sapphire substrate and the reflective layer and is made of ITO, the adhesive layer being adhered to the reflective layer. | 07-24-2014 |
20140203319 | Article Including a Light Emitting Gadolinium-Containing Material and a Process of Forming the Same - An article, such as a light emitting device, can include a first material and a second material, wherein the first material is capable of emitting first radiation having a first emission maximum at a first wavelength, and the second material is capable of emitting second radiation in response to capturing the first radiation. The second material can have a second emission maximum at a second wavelength within the visible light spectrum. In an embodiment, the second material can be different from the first material. In another embodiment, a difference between the first wavelength and the second wavelength can be at least approximately 70 nm. Additionally, the second material can include a luminescent material having a formula of Gd | 07-24-2014 |
20140203320 | COMPOSITE HIGH REFLECTIVITY LAYER - A high efficiency light emitting diode with a composite high reflectivity layer integral to said LED to improve emission efficiency. One embodiment of a light emitting diode (LED) chip comprises an LED and a composite high reflectivity layer integral to the LED to reflect light emitted from the active region. The composite layer comprises a first layer, and alternating plurality of second and third layers on the first layer, and a reflective layer on the topmost of said plurality of second and third layers. The second and third layers have a different index of refraction, and the first layer is at least three times thicker than the thickest of the second and third layers. For composite layers internal to the LED chip, conductive vias can be included through the composite layer to allow an electrical signal to pass through the composite layer to the LED. | 07-24-2014 |
20140203321 | LIGHT EMITTING DIODE PACKAGE HAVING HEAT DISSIPATING SLUGS - A light emitting diode package having heat dissipating slugs is provided. The light emitting diode package comprises first and second heat dissipating slugs formed of a conductive material and spaced apart from each other; a package main body coupled to the first and second heat dissipating slugs to support the first and second heat dissipating slugs; and a light emitting diode die electrically connected to the first and second heat dissipating slugs, wherein the respective first and second heat dissipating slugs are exposed to the outside through lower and side surfaces of the package main body. As such, the first and second heat dissipating slugs can be used as external leads. | 07-24-2014 |
20140209946 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - An LED package includes a lens, an LED chip securely received and engaged in the lens, and a base with an electrode assembly thereon. A bottom surface of the LED chip is bare. The lens is mounted on the base and the bottom surface of the LED chip electrically and mechanically connects with the electrode assembly. | 07-31-2014 |
20140209947 | LAMP UNIT - The lamp unit includes a first substrate, a second substrate provided over the first substrate, a light emitting device provided over the second substrate, a first conductive layer and a second conductive layer provided over the second substrate, and at least one wire electrically coupling at least one of the first conductive layer and the second conductive layer to each of the light emitting device. A protective layer is provided over the first substrate and the second substrate and surrounding the light emitting device and the at least one wire, and the upper surface of the protecting layer is located at a position above the highest point of the at least one wire. | 07-31-2014 |
20140209948 | LIGHT EMITTING DIODE PACKAGE WITH OXIDATION-RESISTANT METAL COATING LAYER - An exemplary light emitting diode (LED) package includes a substrate, a first electrode and a second electrode embedded in the substrate and spaced from each other, and an LED die mounted on a top surface of the substrate. The substrate also includes a bottom surface. Top ends of the first and second electrodes are exposed at the top surface of the substrate, and bottom ends of the first and second electrodes are exposed at the bottom surface of the substrate. An oxidation-resistant metal coating layer is formed on a top face of each of the first and second electrodes. The LED die is electrically connected to the first and second electrodes via the two oxidation-resistant metal coating layers. | 07-31-2014 |
20140209949 | LIGHT-EMITTING ELEMENT COMPRISING A REFLECTIVE STRUCTURE WITH HIGH EFFICIENCY - A light-emitting element, comprises: a substrate; a light-emitting semiconductor stack over the substrate and comprising an active layer; and a Distributed Bragg reflective unit under the substrate comprising a first Distributed Bragg reflective structure under the substrate and comprising a first number of pairs of alternately stacked first sub-layers and second sub-layers, and a second Distributed Bragg reflective structure under the first Distributed Bragg reflective structure and comprising a second number of pairs of alternately stacked third sub-layers and fourth sub-layers, wherein the first number is different from the second number. | 07-31-2014 |
20140209950 | LIGHT EMITTING DIODE PACKAGE MODULE - A light emitting diode module includes a substrate, a light emitting diode die, a transparent layer, a phosphor material layer and a lens layer. The light emitting diode die is disposed on the substrate. The transparent layer disposed on the light emitting diode die. The phosphor material layer disposed on the transparent layer. The lens layer disposed on the phosphor material layer. | 07-31-2014 |
20140209951 | OXETANE-CONTAINING COMPOUNDS AND COMPOSITIONS THEREOF - Oxetane-containing compounds, and compositions of oxetane-containing compounds together with carboxylic acids, latent carboxylic acids, and/or compounds having carboxylic acid and latent carboxylic acid functionality are provided. The oxetane-containing compounds and compositions thereof are useful as adhesives, sealants and encapsulants, particularly for components, and in the assembly, of LED devices. | 07-31-2014 |
20140209952 | HIGH EFFICIENCY LIGHT EMITTING DIODE AND METHOD FOR FABRICATING THE SAME - A high-efficiency light emitting diode including: a semiconductor stack positioned on a support substrate, including a p-type compound semiconductor layer, an active layer, and an n-type compound semiconductor layer; an insulating layer disposed in an opening that divides the p-type compound semiconductor layer and active layer; a transparent electrode layer disposed on the insulating layer and the p-type compound semiconductor layer; a reflective insulating layer covering the transparent electrode layer, to reflect light from the active layer away from the support substrate; a p-electrode covering the reflective insulating layer; and an n-electrode is formed on top of the n-type compound semiconductor layer. The p-electrode is electrically connected to the transparent electrode layer through the insulating layer. | 07-31-2014 |
20140209953 | SUPPORTING MEMBER AND LIGHT EMITTING DEVICE USING THE SUPPORTING MEMBER - A light emitting device includes a support member having a mounting surface. The support member includes an insulating member having top surface and a plurality of side surfaces, a first metal pattern disposed on the top surface of the insulating member, and a second metal pattern disposed on the side surface of the insulating member such that a side surface of the second metal pattern is continuous with a top surface of the first metal pattern. The light emitting device further includes a light emitting element mounted on the mounting surface at a location of the first metal pattern, and a bonding member that bonds the light emitting element to the mounting surface. The bonding member covers at least a portion of the first metal pattern and at least a portion of the second metal pattern. | 07-31-2014 |
20140209954 | LIGHT-EMITTING ELEMENT, METHOD FOR PRODUCING SAME AND LIGHT-EMITTING DEVICE - A light-emitting element that includes a first wavelength conversion unit and a second wavelength conversion unit. The first wavelength conversion unit includes a ceramic containing, as a primary component, a pyrochlore-type compound represented by A | 07-31-2014 |
20140217436 | SUBMOUNT-FREE LIGHT EMITTING DIODE (LED) COMPONENTS AND METHODS OF FABRICATING SAME - Light emitting devices include a Light Emitting Diode (LED) chip having an anode contact and a cathode contact on a face thereof. A solder mask extends from the gap between the contacts onto one or both of the contacts. The LED chip may be mounted on a printed circuit board without an intervening submount. Related fabrication methods are also described. | 08-07-2014 |
20140217437 | LIGHT EMITTING APPARATUS AND MANUFACTURING METHOD THEREOF - The present application provides for a method for manufacturing a light emitting apparatus. The method includes mounting light emitting elements on a substrate and applying a resin containing phosphors to form wavelength conversion units covering the light emitting elements on the substrate. Portions of the wavelength conversion unit are removed between the light emitting elements. Regions of the substrate are diced, from which the wavelength conversion unit have been removed, to separate the plurality light emitting elements into individual light emitting elements. | 08-07-2014 |
20140217438 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes: a semiconductor layer; a first electrode; a first interconnection layer; a second electrode; a second interconnection layer; a support substrate; a bonding layer; a first terminal; and a second terminal. The support substrate has a third face facing the semiconductor layer, the first interconnection layer, and the second interconnection layer and a fourth face opposite to the third face. The support substrate has a first opening extending from the fourth face to the first interconnection layer and a second opening extending from the fourth face to the second interconnection layer. The bonding layer is provided between the support substrate and each of the semiconductor layer, the first interconnection layer, and the second interconnection layer. | 08-07-2014 |
20140217439 | Semiconductor Light Emitting Device - The present disclosure relates to a semiconductor light-emitting device, comprising: a plurality of semiconductor layers grown sequentially using a growth substrate; a first electrode for providing either electrons or holes to a first semiconductor layer; a non-conductive reflective film formed over a second semiconductor layer to reflect light from an active layer towards the first semiconductor layer which is on the growth substrate side; and a finger electrode formed between the plurality of semiconductor layers and the non-conductive reflective film, which is extended so as to provide remaining electrons or holes to the second semiconductor layer, which is in electrical communication with the second semiconductor layer, and which has an electrical connection for receiving the remaining electrons or holes. | 08-07-2014 |
20140217440 | LIGHT-EMITTING MODULE AND MANUFACTURING METHOD THEREOF - A light-emitting module includes a first conductive lead frame, a second conductive lead frame physically separated from the first conductive lead frame, a protective plastic layer, a reflective plastic layer, and a light-emitting die. The protective plastic layer surrounds the first and second conductive lead frames, and an accommodating space s defined by the protective plastic layer, and the first and second conductive lead frames. Inner surfaces of the first and second conductive lead frames are exposed through the accommodating space. The accommodating space further includes a die-mounting region. The reflective plastic layer is formed on the inner surfaces within the accommodating space. The light-emitting die is located on the die-mounting region and is electrically connected to the first and second conductive lead frames. The light-emitting die protrudes from the reflective plastic layer. | 08-07-2014 |
20140217441 | ANTIREFLECTION COATING USING SELF-ASSEMBLY NANO STRUCTURE AND MANUFACTURE METHOD THEREOF - In an aspect of the present disclosure, there is disclosed a manufacture method of an antireflection coating using a self-assembly nano structure, which includes forming a first metal droplet on a substrate by means of droplet epitaxy, depositing a first non-metal on the formed first metal droplet, and forming a first nano compound crystal by means of self-assembly of the deposited first non-metal and the first metal droplet. | 08-07-2014 |
20140217442 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT, LIGHT-SOURCE HEAD, AND IMAGE FORMING APPARATUS - A semiconductor light-emitting element includes a semiconductor layer including a light-emitting layer, and an upper reflective surface and a lower reflective surface between which the semiconductor layer is interposed. A distance L between the upper reflective surface and the lower reflective surface satisfies 0.20λ+0.5aλ≦L≦0.30λ+0.5aλ, where λ denotes a peak wavelength of light emitted from the light-emitting layer within the semiconductor layer, and a denotes an arbitrary positive integer. | 08-07-2014 |
20140217443 | CHIP WITH INTEGRATED PHOSPHOR - This disclosure relates to light emitting devices and methods of manufacture thereof, including side and/or multi-surface light emitting devices. Embodiments according to the present disclosure include the use of a functional layer, which can comprise a stand-off distance with one or more portions of the light emitter to improve the functional layer's stability during further device processing. The functional layer can further comprise winged portions allowing for the coating of the lower side portions of the light emitter to further interact with emitted light and a reflective layer coating on the functional layer to further improve light extraction and light emission uniformity. Methods of manufacture including methods utilizing virtual wafer structures are also disclosed. | 08-07-2014 |
20140217444 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF - An optoelectronic semiconductor device including a carrier substrate and at least one semiconductor chip arranged thereon, wherein the semiconductor chip includes an active layer that generates radiation, conductor tracks electrically contacting the semiconductor chip arranged on the carrier substrate, the semiconductor chip is enclosed in a potting material, and the potting material includes at least a first potting layer, a second potting layer and a third potting layer, which differ from one another in at least one of: their material composition, their optical properties and their chemical properties. | 08-07-2014 |
20140217445 | High efficiency plastic light conversion components by incorporation of phosphor in a polymer by adding to monomers before polymerisation - The invention relates to a method for producing a polymer product having integrated luminescent material particles, the polymer product being produced from at least one monomer in liquid phase and at least one kind of powder of luminescent material particles. The method is characterized by adding the luminescent material to the liquid monomer before polymerisation. The invention further relates to a plastic component for light conversion made of the polymer produced according to said method; a Light-emitting device comprising said plastic component; and the use of a polymer produced according to said method. | 08-07-2014 |
20140217446 | LED PACKAGE AND METALLIC FRAME THEREOF - A light emitting diode package includes a metallic frame, and an LED chip disposed on the metallic frame. The metallic frame includes first and second metal plates arranged side by side with a space therebetween, and two support arms extending integrally and respectively from two opposite ends of the second metal plate to a level higher than the second top surface and that further extend toward the first metal plate at a level higher than the first top surface crossing the space. The support arms are not in contact with the first metal plate. An encapsulant encapsulates the metallic frame and the LED chip. At least a region of the encapsulant that covers the LED chip is transparent. | 08-07-2014 |
20140217447 | Phosphor Compositions For Highly Reliable White Light-Emitting Diode Devices - Phosphor compositions for highly reliable white light-emitting diode (LED) devices are disclosed. The phosphor compositions include a first oxynitride-based phosphor that emits green light and a second nitride-based phosphor that emits red light. When the weight ratio of the first oxynitride-based phosphor to the second nitride-based phosphor is from about 8:2 to 9:1, the emission spectra of the phosphor compositions are very similar to that of a yellow YAG phosphor with a peak at 550 nm. The phosphor compositions have emissions with CIE color coordinates satisfying an equation expressed as: | 08-07-2014 |
20140217448 | SEMICONDUCTOR LIGHT EMITTING DEVICE - There is provided a semiconductor light-emitting device having a small size and high light efficiency. The semiconductor light-emitting device includes a substrate; a light-emitting structure that includes a first conductive-type semiconductor layer, an active layer, and a second conductive-type semiconductor layer are formed on the substrate, wherein the light-emitting structure comprises a first region, a second region, and a light radiation surface on one of the first and second conductive-type semiconductor layers, wherein only the first conductive-type semiconductor layer remains on the substrate in the first region as a part of the second conductive-type semiconductor layer and a part of the active layer are removed, wherein the active layer is disposed between the first and second conductive-type semiconductor layers on the substrate in the second region, a fluorescent body that covers at least a part of the second region on the light radiation surface of the light-emitting structure, and a first electrode and a second electrode which are electrically respectively connected to the first and second conductive-type semiconductor layers so that the first and second electrodes may be connected to a different conductive-type semiconductor layer from each other, wherein the second electrode is formed in the first region on the light radiation surface of the light-emitting structure. | 08-07-2014 |
20140217449 | SUBSTRATE FOR OPTICS AND SEMICONDUCTOR LIGHT EMITTING DEVICE - To provide a substrate for optics provided with a fine-structure product which improves luminous efficiency of an LED while improving internal quantum efficiency IQE by decreasing the number of dislocation defects in a semiconductor layer, a substrate for optics ( | 08-07-2014 |
20140217450 | ANISOTROPIC CONDUCTIVE ADHESIVE AND METHOD FOR MANUFACTURING SAME, AND LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - An anisotropic conductive adhesive which uses conductive particles where a silver-based metal is used as a conductive layer, having high light reflectance and excellent migration resistance is provided. The anisotropic conductive adhesive includes light reflective conductive particles in an insulating adhesive resin. The light reflective conductive particle includes a light reflective metal layer made of a metal having at least 60% of reflectance at a peak wavelength of 460 nm formed on the surface of a resin particle as a core, and a coating layer made of a silver alloy formed on the surface of the light reflective metal layer. The light reflective metal layer is preferably formed by a plating method. | 08-07-2014 |
20140217451 | MIXED LIGHT LED STRUCTURE - Disclosed is a mixed light LED structure which is a solid-state phosphor plate manufactured by mixing phosphor and resin, and the solid-state phosphor plate is installed in a carrier and covered onto the top of a light emitting chip, and a specific ratio relation between the area of the solid-state phosphor plate and the area of the light emitting chip area or a specific ratio relation between the area of the solid-state phosphor plate and the area of a light emitting hole are used, and also the relation of limiting the distance between the solid-state phosphor plate and the light emitting chip is satisfied, so as to achieve a better mixed light effect and a longer service life of the mixed light LED structure. | 08-07-2014 |
20140217452 | LIGHT EMITTING DEVICE HAVING VERTICAL STRUCTURE AND PACKAGE THEREOF - A light emitting device having a vertical structure and a package thereof, which are capable of damping impact generated in a substrate separation process, and achieving an improvement in mass productivity. The device and package include a sub-mount, a first-type electrode, a second-type electrode, a light emitting device, a zener diode, and a lens on the sub-mount. | 08-07-2014 |
20140217453 | LIGHT EMITTING DIODE - A light emitting diode includes a first semiconductor layer, an active layer, a second semiconductor layer, an upper electrode, and a lower electrode. The active layer is sandwiched between the first semiconductor layer and the second semiconductor layer. The lower electrode is electrically connected with the first semiconductor layer, and the upper electrode is electrically connected with the second semiconductor layer. A surface of the second semiconductor layer away from the active layer is used as the light extraction surface. A surface of the first semiconductor layer connected with the lower electrode is a patterned surface including a number of grooves. | 08-07-2014 |
20140217454 | LIGHT SOURCE COMPRISING A LUMINESCENT SUBSTANCE AND ASSOCIATED ILLUMINATION UNIT - A light source includes a primary radiation source, which emits radiation in the shortwave range of the optical spectral range, wherein this radiation is converted at least by means of a first luminescent substance entirely or partially into secondary longer-wave radiation in the visible spectral range, wherein the first luminescent substance originates from the class of nitridic modified orthosilicates (NOS), wherein the luminescent substance has as a component M predominantly the group EA=Sr, Ba, Ca, or Mg alone or in combination, wherein the activating dopant D is composed at least of Eu and replaces a proportion of M, and wherein a proportion of SiO2 is introduced in deficiency, so that a modified sub-stoichiometric orthosilicate is provided, wherein the orthosilicate is an orthosilicate stabilized with RE and N, where RE=rare earth metal. | 08-07-2014 |
20140231841 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A light-emitting device is disclosed including a light emitting structure comprising a lower layer of the first conductivity type, an active layer, an upper layer of the second conductivity type; a first electrode connected to the lower layer of the first conductivity type; a second electrode connected to the upper layer of the second conductivity type. The light emitting structure is formed using a shell member, which comprises a planar portion and a shell portion. The extent of growth defects such as misfit dislocations is reduced and the extraction of light and heat is improved in the present device. The beam profile of the device may be altered by patterning the light emitting structure instead of shaping the entire chip. The device may be manufactured in a way more compatible with the established, cost-effective processing and packaging methods for large size wafers from the IC industry. | 08-21-2014 |
20140231842 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a first electrode, a second electrode, a first insulating film, a first interconnection layer, a second interconnection layer, a first metal pillar, a second metal pillar, a second insulating film and a fluorescent material layer. The first electrode is provided in an emitting region of the semiconductor layer. The first electrode, the first insulating film, the first interconnection layer, the second interconnection layer, and the second insulating layer are configured to transmit radiated light of the light emitting layer. | 08-21-2014 |
20140231843 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode and a fluorescent material layer. The semiconductor layer has a first surface and a second surface on an opposite side to the first surface and includes a light emitting layer. The fluorescent material layer includes a plurality of fluorescent materials and a bonding material integrating the fluorescent materials. The fluorescent material layer includes a lower layer portion provided to spread over the entire first surface and having a larger thickness than a size of the fluorescent materials and an upper layer portion partially provided on the lower layer portion and having a larger thickness and a larger width than a size of the fluorescent materials. The fluorescent materials do not exist on a portion of the lower layer portion not provided with the upper layer portion. | 08-21-2014 |
20140231844 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode, a fluorescent material layer and a scattering layer. The semiconductor layer has a first surface and a second surface on an opposite side to the first surface and includes a light emitting layer. The p-side electrode and the n-side electrode are provided on the semiconductor layer on a side of the second surface. The fluorescent material layer is provided on a side of the first surface and includes a plurality of fluorescent materials and a first bonding material. The first bonding material integrates the fluorescent materials. The scattering layer is provided on the fluorescent material layer and includes scattering materials and a second bonding material. The scattering materials are configured to scatter radiated light of the light emitting layer. The second bonding material integrates the scattering materials. | 08-21-2014 |
20140231845 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode, a fluorescent material layer and a reflection film. The semiconductor layer has a first surface and a second surface on an opposite side to the first surface and includes a light emitting layer. The p-side electrode and the n-side electrode are provided on the semiconductor layer on a side of the second surface. The fluorescent material layer is provided on a side of the first surface and includes a plurality of fluorescent materials and a bonding material. The bonding material integrates the fluorescent materials. The reflection film is partially provided on the fluorescent material layer and has a higher reflectance to the radiated light of the light emitting layer than to the radiated light of the fluorescent materials. | 08-21-2014 |
20140231846 | LIGHT EMITTING MODULE - A light emitting module includes a light-emitting unit, a wavelength converting element and an optical convergent element for partially or totally converting the wavelength of incident light. The light-emitting unit includes a light-emitting element which emits a first light, the wavelength converting element and an optical convergent element disposed in a light path of the first light from the light-emitting element, such that the first light is converted into a particular light at a specific area with a reduced beam diameter after passing through the optical convergent element and before entering the wavelength converting element. | 08-21-2014 |
20140231847 | Light Emitting Module and Lighting Device - A light emitting module according to one embodiment includes a substrate; a light emitting body disposed on the substrate; a first phosphor which is excited by emitted light of the light emitting body; and a second phosphor which is arranged between the first phosphor and the light emitting body. The first phosphor has a light emitting peak whose half-value width is 20 nm or less in a wavelength range from 610 nm to less than 650 nm. The second phosphor is excited by the emitted light of the light emitting body, and has the light emitting peak in the wavelength range between a peak wavelength of a light emitting spectrum of the light emitting body and the peak wavelength of the light emitting spectrum of the first phosphor. | 08-21-2014 |
20140231848 | ENGINEERED-PHOSPHOR LED PACKAGES AND RELATED METHODS - In accordance with certain embodiments, regions of spatially varying wavelength-conversion particle concentration are formed over light-emitting dies. | 08-21-2014 |
20140231849 | SEMICONDUCTOR LIGHT-EMITTING DEVICES - Semiconductor light-emitting devices including a semiconductor region that includes a light-emitting structure; and an electrode layer including a first reflection metal layer that contacts a first portion of the semiconductor region and being configured to reflect light from the light-emitting structure and a second reflection metal layer that contacts a second portion of the semiconductor region and being configured to reflect light from the light-emitting structure, wherein the second reflection metal layer is spaced apart from the first reflection metal layer and at least partially covers the first reflection metal layer. | 08-21-2014 |
20140231850 | ENGINEERED-PHOSPHOR LED PACKAGES AND RELATED METHODS - In accordance with certain embodiments, regions of spatially varying wavelength-conversion particle concentration are formed over light-emitting dies. | 08-21-2014 |
20140231851 | LIGHT EMITTING DIODE - A light emitting diode includes a semiconductor stacked structure, a substrate, a first electrode, a second electrode and a third electrode. The semiconductor stacked structure includes a first semiconductor layer, a second semiconductor layer and a light emitting layer. The first semiconductor layer has a first surface and a second surface opposite to each other and has a first region and a second region. The second semiconductor layer is disposed on the second surface. The light emitting layer is disposed between the first semiconductor layer and the second semiconductor layer. The substrate has a first conductive layer and a second conductive layer thereon. The first electrode is disposed between the second semiconductor layer and the first conductive layer. The second electrode is disposed on the first surface. The third electrode is disposed between the second region and the second conductive layer, and electrically connected to the second electrode. | 08-21-2014 |
20140231852 | LED CHIP RESISTANT TO ELECTROSTATIC DISCHARGE AND LED PACKAGE INCLUDING THE SAME - A light emitting diode chip and a light emitting diode package including the same. The light emitting diode chip includes a substrate, a light emitting diode section disposed on the substrate, an inverse parallel diode section disposed on the substrate and connected inversely parallel to the light emitting diode section. In the light emitting diode chip, the light emitting diode section is disposed together with the inverse parallel diode section. | 08-21-2014 |
20140231853 | GROUP-III NITRIDE SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND MANUFACTURING METHOD THEREFOR - A group-III nitride semiconductor light emitting element includes a semiconductor layer that includes a light emitting layer, a p-type semiconductor layer and an n-type semiconductor layer, a p-contact electrode that is in contact with the p-type semiconductor layer, an n-contact electrode that is in contact with the n-type semiconductor layer, and a support substrate that supports the semiconductor layer. The p-contact electrode and the n-contact electrode are disposed at a position between the semiconductor layer and the support substrate. In a case where the p-contact electrode and the n-contact electrode are orthogonally projected on a plate surface of the support substrate, the p-contact electrode and the n-contact electrode are formed in a shape in which the orthogonally projected p-contact electrode and the orthogonally projected n-contact electrode are not overlapped with each other. | 08-21-2014 |
20140231854 | ENGINEERED-PHOSPHOR LED PACKAGES AND RELATED METHODS - In accordance with certain embodiments, regions of spatially varying wavelength-conversion particle concentration are formed over light-emitting dies. | 08-21-2014 |
20140231855 | METHOD FOR PRODUCING A LIGHT-EMITTING DIODE AND LIGHT-EMITTING DIODE - A method of producing a light-emitting diode includes providing at least one light-emitting diode chip, providing a suspension comprising a solvent and particles of at least one luminescent material, arranging the at least one light-emitting diode chip in the suspension, electrophoretically depositing the particles on an outer face of the at least one light-emitting diode chip, and completing the light-emitting diode. | 08-21-2014 |
20140231856 | Method for Producing at Least One Radiation-Emitting and/or -Receiving Semiconductor Component, and Semiconductor Component - A method for producing a radiation-emitting or radiation-receiving semiconductor component is specified. In a method step, a carrier body having a mounting surface is provided. In a further method step, a barrier frame is formed on the mounting surface, in such a way that the barrier frame laterally encloses a mounting region of the mounting surface. In a further method step, a radiation-emitting or radiation-receiving semiconductor chip is mounted within the mounting region on the mounting surface. The semiconductor chip is potted with a liquid lens material, wherein the lens material is applied to the mounting surface within the mounting region. The lens material is cured. The mounting surface, the barrier frame and the lens material are adapted to one another. | 08-21-2014 |
20140231857 | PHOSPHOR MATERIALS AND RELATED DEVICES - A phosphor material is presented that includes a blend of a first phosphor, a second phosphor and a third phosphor. The first phosphor includes a composition having a general formula of RE | 08-21-2014 |
20140239325 | LIGHT EMITTER COMPONENTS AND METHODS HAVING IMPROVED PERFORMANCE - Light emitter components and methods having improved performance and related methods are disclosed. In one embodiment, a light emitter component can include a submount and at least one light emitting diode (LED) chip disposed over the submount. The submount can contact at least two different sides of the at least one LED chip. In one aspect, a submount can include surface portions adapted to receive portions one or more LED chips. In one aspect, one or more LED chips can be embedded within the submount. | 08-28-2014 |
20140239326 | LIGHT EMITTING DIODE BACKLIGHT MODULE - A light emitting diode (LED) backlight module includes a transparent conductive substrate that has an electrode-bearing surface and a plurality of transparent conductive electrodes disposed on the electrode-bearing surface, an LED chip that is welded on the transparent conductive electrodes by flip-chip packaging techniques, and a reflecting member that is spaced apart from and that corresponds in position to the LED chip so as to reflect light generated from the LED chip to the transparent conductive substrate. | 08-28-2014 |
20140239327 | NANOSTRUCTURED LED - The device according to the invention comprises a nanostructured LED with a first group of nanowires protruding from a first area of a substrate and a contacting means in a second area of the substrate. Each nanowire of the first group of nanowires comprises a p-i-n-junction and a top portion of each nanowire or at least one selection of nanowires is covered with a light reflecting contact layer. The contacting means of the second area is in electrical contact with the bottom of the nanowires, the light-reflecting contact layer being in electrical contact with the contacting means of the second area via the p-i-n-junction. Thus when a voltage is applied between the contacting means of the second area and the light-reflecting contact layer, light is generated within the nanowire. On top of the light-reflecting contact layer, a first group of contact pads for flip-chip bonding can be provided, distributed and separated to equalize the voltage across the layer to reduce the average serial resistance. | 08-28-2014 |
20140239328 | LIGHT EMITTING DEVICE PACKAGE - The present application relates to a light emitting device package. The light emitting device package includes a package substrate in which a via hole is formed. An electrode layer extends to both surfaces of the package substrate after passing through the via hole. A light emitting device is arranged on the package substrate and is connected to the electrode layer. A fluorescence film includes a first part that fills at least a part of an internal space of the via hole and a second part that covers at least a part of the light emitting device. | 08-28-2014 |
20140239329 | COLOR FILTER SUBSTRATE, ELECTROOPTIC DEVICE, ELECTROOPTIC DEVICE MANUFACTURING METHOD, AND ELECTRONIC APPARATUS - A color filter substrate includes a second base material, a stopper film provided on the second base material, an insulating film including color filter grooves provided on the stopper film, and color filters provided so as to embed the color filter grooves. | 08-28-2014 |
20140239330 | OPTICAL COMMUNICATION MODULE AND METHOD FOR MAKING THE SAME - An optical communication module includes an optical semiconductor element. The element includes an optical functional region having a light receiving function or a light emitting function, a first transmission layer transmissive to light emitted from the optical functional region or light received by the optical functional region, and a wiring layer stacked on the first transmission layer and constituting a conduction path to the optical functional region. The communication module also includes a second transmission layer transmissive to the light and disposed to cover the optical semiconductor element, and a first resin member stacked on the second transmission layer. The communication module is formed with a fixing hole for fixing an optical fiber. The fixing hole includes a bottom face provided by the second transmission layer, and an opening formed in an outer surface of the first resin member. | 08-28-2014 |
20140239331 | LIGHT EMITTING DEVICE, LIGHT EMITTING ELEMENT MOUNTING METHOD, AND LIGHT EMITTING ELEMENT MOUNTER - Disclosed is a light emitting device including: a light emitting element including an LED chip and a phosphor layer provided at the light emitting side of the LED chip; and a substrate on which the light emitting element is bonded by an adhesive material. The adhesive material is an anisotropic conductive material. | 08-28-2014 |
20140239332 | LIGHT EMITTING DEVICE AND LIGHTING DEVICE INCLUDING SAME - A light emitting device includes a base that has an element mounting surface, a light emitting element that is mounted on the element mounting surface and that has maximum light intensity in a directly upward direction, and a coating member that contains a fluorescent body that is excited by light from the light emitting element, and that is constituted by a single layer that coats an upper part of the light emitting element. The fluorescent body exists at a position other than directly above the light emitting element. | 08-28-2014 |
20140239333 | LED LIGHTING SYSTEMS WITH PHOSPHOR SUBASSEMBLIES, AND/OR METHODS OF MAKING THE SAME - Certain example embodiments relate to improved lighting systems and/or methods of making the same. In certain example embodiments, a lighting system includes a glass substrate with one or more apertures. An LED or other light source is disposed at one end of the aperture such that light from the LED directed through the aperture of the glass substrate exits the opposite end of the aperture. Inner surfaces of the aperture have a mirroring material such as silver to reflect the emitted light from the LED. In certain example embodiments, a remote phosphor article or layer is disposed opposite the LED at the other end of the aperture. In certain example embodiment, a lens is disposed in the aperture, between the remote phosphor article and the LED. | 08-28-2014 |
20140239334 | PACKAGE STRUCTURE OF LIGHT EMITTING DIODE - A package structure of semiconductor light emitting element is provided. The package structure of semiconductor light emitting element includes a substrate, a light emitting element and a transparent conductive board. A first electrode and a second electrode are disposed on the substrate. The light emitting element is disposed on the substrate and between the first electrode and the second electrode. A first bonding pad and a second bonding pad are disposed on the light emitting element. The transparent conductive board has a first surface and a second surface opposite to the first surface. The second surface of the transparent conductive board is located over the light emitting element for electrically connecting the first electrode and the first bonding pad and electrically connecting the second electrode and the second bonding pad. | 08-28-2014 |
20140239335 | LIGHT-EMITTING DEVICE AND APPLICATION LIQUID - Formation of an interlayer is realized for a light-emitting device, the interlayer having properties of anticorrosion and adhesion to a silicone layer, thus preventing incidence of cracking during a baking process. The light-emitting device comprises a light-emitting element covered with the silicone layer, and the interlayer is provided between the light-emitting element and the silicone layer. The interlayer is formed of a mixture of a tri-functional polysiloxane and a tetra-functional polysiloxane. | 08-28-2014 |
20140239336 | SEMICONDUCTOR LIGHT DEVICE INCLUDING A LENS HAVING A LIGHT DEFLECTION STRUCTURE - A semiconductor lighting device may include at least one semiconductor light source and a lens, wherein the lens has a light entrance surface at the underside, said light entrance surface facing the at least one semiconductor light source, and a light exit surface at the top side, the light entrance surface has a light deflection structure in the form of a TIR structure, at which entering light can be deflected in the direction of the light exit surface by means of total internal reflection, and the lens is fitted to the semiconductor lighting device in a detachable fashion. | 08-28-2014 |
20140246689 | LED Lamp with Quantum Dots Layer - A lighting device | 09-04-2014 |
20140246690 | Optoelectronic Semiconductor Component - An optoelectronic semiconductor component includes one or more light-emitting diode chips. The light-emitting diode chip has a main radiation side. A diaphragm is arranged downstream of the main radiation side along a main radiation direction of the light-emitting diode chip. The diaphragm is mounted on or in a component housing. The main radiation side has a mean edge length of at least 50 μm. The diaphragm can be switched from light-impervious to light-pervious. The diaphragm comprises precisely one opening region for radiation transmission. The semiconductor component can be used as a flashlight for a mobile image recording device. | 09-04-2014 |
20140246691 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes, a first semiconductor layer, a second semiconductor layer, a first electrode, a second electrode, a first interconnection, and a second interconnection. The first semiconductor layer has a first major surface, a second major surface provided on an opposite side to the first major surface, a protrusion selectively provided on the second major surface, and a trench formed from the second major surface to the first major surface. The second semiconductor layer is stacked on the protrusion of the first semiconductor layer and includes a light emitting layer. The first electrode is provided on the second major surface of the first semiconductor layer and a side surface of the trench. The second electrode is provided on a surface of the second semiconductor layer on an opposite side to the first semiconductor layer. | 09-04-2014 |
20140246692 | PHOSPHOR MIXTURE, OPTOELECTRONIC COMPONENT COMPRISING A PHOSPHOR MIXTURE, AND STREET LAMP COMPRISING A PHOSPHOR MIXTURE - A phosphor mixture includes a first phosphor and a second phosphor, wherein an emission spectrum of the first phosphor has a relative intensity maximum in a yellow spectral range and an emission spectrum of the second phosphor has a relative intensity maximum in a red spectral range, the first phosphor corresponds to the following chemical formula: (Lu | 09-04-2014 |
20140246693 | LIGHT EMITTING DIODE (LED) RED FLUORESCENT MATERIAL AND LIGHTING DEVICE HAVING THE SAME - Provided are a Light Emitting Diode (LED) red fluorescent material and a lighting device having the same. The florescent material consists of elements M, A, D, X, L and Z, wherein element M at least contains one or more than one element of Be, Mg, Ca, Sr, Ba and Zn; element A at least contains one or more than one element of B, Al, Ga, In, La, Gd, Lu, Sc and Y; element D at least contains one or more than one element of Si, Ge, C, Sn, Ti, Zr and Hf; element X at least contains one or more than one element of N, O and F; element L at least contains one or more than one element of S, Se and Te; and element Z at least contains one or more than one element of a rare earth element or a transition-metal element. | 09-04-2014 |
20140252385 | LIGHT EMITTING DEVICE WITH ENHANCED PRE-DIP AND METHOD OF MANUFACTURING THE SAME - An illumination device is disclosed. The illumination device includes a light source a pre-dip material that at least partially encapsulates the light source. The pre-dip material may include one or both of thermally-conductive particles and a cyclo-aliphatic composition. The pre-dip material may further include a resin and a hardener for the resin. Methods of manufacturing an illumination device are also disclosed. | 09-11-2014 |
20140252386 | Sealing structure, device, and method for manufacturing device - Provided is a device in which heat conduction from a sealant to a functional element is suppressed and whose bezel is slim. The sealing structure includes a first substrate, a second substrate whose surface over which a sealed component is provided faces the first substrate, and a frame-like sealant which seals a space between the first substrate and the second substrate with the first substrate and the second substrate. The second substrate includes a groove portion between the sealant and the sealed component. The groove portion is in a vacuum or includes a substance whose heat conductivity is lower than that of the second substrate. | 09-11-2014 |
20140252387 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes first and second columnar units, a wavelength conversion layer, a light emitting unit, a resin unit and an intermediate layer. The first columnar unit extends in a first direction. The second columnar unit is provided apart from the first columnar unit, and extends in the first direction. The wavelength conversion layer is provided apart from the first and second columnar units in the first direction. The light emitting unit includes first and second semiconductor layers, and a light emitting layer configured to emit a first light. The resin unit covers side surfaces along the first direction of the first and second columnar units and the light emitting unit, and a surface of the light emitting unit. The intermediate layer includes first and second portions, and has a thickness thinner than a peak wavelength of the first light. | 09-11-2014 |
20140252388 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting element includes: a first conductive pillar extending in a first direction; a second conductive pillar extending in the first direction; a first semiconductor layer of a first conductivity type provided on the first conductive pillar; a light emitting layer provided on the first semiconductor layer; a second semiconductor layer of a second conductivity type provided on the light emitting layer and on the second conductive pillar; a sealing unit covering a side surface of the first conductive pillar and a side surface of the second conductive pillar; and a light transmissive layer provided on the second semiconductor layer and having light transmissivity, a hardness of an upper surface portion of the light transmissive layer being higher than a hardness of a lower portion between the upper surface portion and the second semiconductor layer. | 09-11-2014 |
20140252389 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a method for manufacturing a semiconductor light emitting device is disclosed. The method can include applying a resin liquid onto a first major surface of a workpiece. The workpiece has the first major surface and includes a plurality of element units and a resin layer holding the plurality of element units. The method causes the particles in the resin liquid to sink and forms a first region on a surface side of the resin liquid and a second region provided between the first region and the workpiece. The method raises a temperature of the workpiece to a second temperature higher than the first temperature to cure the resin liquid to form an optical layer including a first portion and a second portion. In addition, the method divides the optical layer and the resin layer for the plurality of element units. | 09-11-2014 |
20140252390 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a semiconductor region having a light-emitting structure, an electrode layer formed on the semiconductor region, and a reflective protection structure extending exposing the upper surface of the electrode layer and covering the semiconductor region adjacent to the electrode layer. | 09-11-2014 |
20140252391 | LIGHT-EMITTING DEVICE - A light-emitting device of an embodiment includes a light-emitting element emitting blue excitation light and a first phosphor excited by the blue excitation light and emitting fluorescence. A peak wavelength of the fluorescence is not shorter than 520 nm and shorter than 660 nm and the peak wavelength of the fluorescence shifting in the same direction when a peak wavelength of the blue excitation light shifts. The first phosphor is one of a yellow phosphor emitting yellow fluorescence, a green phosphor emitting green fluorescence, a yellow-green/yellow phosphor emitting yellow-green/yellow fluorescence and a red phosphor emitting red fluorescence. | 09-11-2014 |
20140252392 | LIGHT EMITTING DIODE - An embodiment of the present invention provides a light emitting diode including a chip having a light emitting layer on the front surface side and a translucent member that is bonded between a back surface of the chip and a lead frame to support the chip by a resin having translucency, and is transmissive to light emitted from the light emitting layer. According to this configuration, the light emitting diode includes the translucent member that is transmissive to light emitted from the light emitting layer on the back surface side of the chip having the light emitting layer. Therefore, the ratio of light reflected at the interface with the lead frame to return to the light emitting layer can be suppressed to a low ratio and the light extraction efficiency can be enhanced. | 09-11-2014 |
20140252393 | LIGHT-EMITTING STRUCTURE - A light-emitting structure is provided. The disclosed light-emitting structure may include a light-emitting diode (LED) die, a plurality of light-penetrating microspheres covered a light emitting surface of the LED die, and a light-penetrating structure, disposed over the LED die and the light-penetrating microspheres, for converting light emitting from the LED die. Another light-emitting structure is also provided. The disclosed light-emitting structure may include a light-emitting diode (LED) die, a plurality of light-penetrating microspheres covered a light emitting surface of the LED die, and a light-penetrating structure, disposed between the LED die and the light-penetrating microspheres, for converting light emitting from the LED die. | 09-11-2014 |
20140252394 | LIGHT EMITTING DEVICE - Provided is a light emitting device. In one embodiment, a light emitting device including: a support member; a light emitting structure on the support member, the light emitting structure comprising a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer; a protective member at a peripheral region of an upper surface of the support member; an electrode including an upper portion being on the first conductive type semiconductor layer, a side portion extended from the upper portion and being on a side surface of the light emitting structure, and an extended portion extended from the side portion and being on the protective member; and an insulation layer between the side surface of the light emitting structure and the electrode. | 09-11-2014 |
20140252395 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT EMITTING APPARATUS - According to one embodiment, a semiconductor light emitting device includes a p-type semiconductor layer, an n-type semiconductor layer, a light emitting layer, a p-side electrode and an n-side electrode. The p-type semiconductor layer includes a nitride semiconductor and has a first major surface. The n-type semiconductor layer includes a nitride semiconductor and has a second major surface. The light emitting layer is provided between the n-type semiconductor layer and the p-type semiconductor layer. The p-side electrode contacts a part of the p-type semiconductor layer on the first major surface. The n-side electrode contacts a part of the n-type semiconductor layer on the second major surface. The n-side electrode is provided outside and around the p-side electrode in a plan view along a direction from the p-type semiconductor layer to the n-type semiconductor layer. | 09-11-2014 |
20140252396 | HIGHLY EFFICIENT GALLIUM NITRIDE BASED LIGHT EMITTING DIODES VIA SURFACE ROUGHENING - A gallium nitride (GaN) based light emitting diode (LED), wherein light is extracted through a nitrogen face (N-face) of the LED and a surface of the N-face is roughened into one or more hexagonal shaped cones. The roughened surface reduces light reflections occurring repeatedly inside the LED, and thus extracts more light out of the LED. The surface of the N-face is roughened by an anisotropic etching, which may comprise a dry etching or a photo-enhanced chemical (PEC) etching. | 09-11-2014 |
20140252397 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light-emitting device of the invention includes: a semiconductor layer including a light-emitting layer and having a first major surface and a second major surface opposite to the first major surface; a phosphor layer facing to the first major surface; an interconnect layer provided on the second major surface side and including a conductor and an insulator; and a light-blocking member provided on a side surface of the semiconductor layer and being opaque to light emitted from the light-emitting layer. | 09-11-2014 |
20140252398 | OPTOELECTRONIC SEMICONDUCTOR CHIP AND METHOD FOR PRODUCING AN OPTOELECTRONIC SEMICONDUCTOR CHIP - An optoelectronic semiconductor chip includes a semiconductor layer sequence having an active layer that generates an electromagnetic radiation and a light exit side, and a light coupling-out layer applied to the light exit side, wherein the light coupling-out layer includes of radiation-inactive nanocrystals composed of a material transmissive to the radiation generated, and a refractive index of the radiation-transmissive material for the radiation is at least 1.9. | 09-11-2014 |
20140264409 | ENGINEERED-PHOSPHOR LED PACKAGES AND RELATED METHODS - In accordance with certain embodiments, a phosphor element at least partially surrounding a light-emitting die is shaped to influence color-temperature divergence. | 09-18-2014 |
20140264410 | LED with IC Integrated Lighting Module - The present disclosure involves a method of packaging light-emitting diodes (LEDs). A carrier having a first side and a second opposite the first side is provided. The carrier includes a plurality of conductive interconnect elements. An integrated circuit (IC) die is bonded to the first side of the carrier. A packaging material having light-reflective properties is molded over the first and second sides of the carrier such that the IC die is sealed by the packaging material. A portion of the packaging material is molded into a reflective cap structure. A light-emitting diode (LED) is bonded to the second side of the carrier. Sidewalls of the reflective cap structure circumferentially surround the LED. The LED and the IC die are electrically coupled together through the conductive interconnect elements in the carrier. A lens is then formed over the LED. | 09-18-2014 |
20140264411 | LIGHT EMITTING DEVICE - This disclosure discloses a light-emitting chip comprises: a light-emitting stack, having a side wall, comprising an active layer emitting light; and a light-absorbing layer having a first portion surrounding the side wall and being configured to absorb 50% light toward the light-absorbing layer. | 09-18-2014 |
20140264412 | SEMICONDUCTOR LIGHT EMITTING DEVICE PACKAGE - A semiconductor light emitting device package includes: a light emitting device; a wavelength conversion unit formed in a path of light emitted from the light emitting device and including a mixture of a wavelength conversion material and a glass material; and a reflective film disposed on an upper surface of the wavelength conversion unit and reflecting a partial amount of light emitted from the light emitting device and allowing a partial amount of light emitted from the light emitting device to be transmitted therethrough. | 09-18-2014 |
20140264413 | SEMICONDUCTOR LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element includes a stacked body, a first metal layer, and a second metal layer. The stacked body includes a first semiconductor layer, a second semiconductor layer, and a light emitting layer. The second semiconductor layer is separated from the first semiconductor layer in a first direction. The light emitting layer is provided between the first semiconductor layer and the second semiconductor layer. The first metal layer is stacked with the stacked body in the first direction to be electrically connected to one selected from the first semiconductor layer and the second semiconductor layer. The first metal layer has a side surface extending in the first direction. The second metal layer covers at least a portion of the side surface of the first metal layer. A reflectance of the second metal layer is higher than a reflectance of the first metal layer. | 09-18-2014 |
20140264414 | PHOSPHOR, LIGHT-EMITTING DEVICE AND METHOD FOR PRODUCING THE PHOSPHOR - The present disclosure provides a phosphor excellent in temperature characteristic and capable of highly efficiently emitting yellow light with a wide half-width in the emission spectrum. This phosphor emits luminescence with a peak wavelength of 500 to 600 nm under excitation by light with a peak wavelength of 250 to 500 nm, and is represented by the following formula (1): | 09-18-2014 |
20140264415 | Group III Nitride Semiconductor Light-Emitting Device and Method for Producing the Same - The present invention provides a Group III nitride semiconductor light-emitting device in which a flat semiconductor layer is grown on a sapphire substrate provided with an uneven shape, and a method for producing the same. When the area ratio R of the flat surface area S on the main surface to the total area K of the sapphire substrate is 0.1 or more to less than 0.5, in formation of the semiconductor layer on the sapphire substrate having an uneven shape on the main surface thereof, at least two types of gases: a raw material gas containing a Group III element and a raw material gas containing Group V element are supplied so as to satisfy the equation 1,000≦Y/(2×R)≦1,200. In the equation, Y is the partial pressure ratio of the raw material gas containing Group V element to the raw material gas containing Group III element. | 09-18-2014 |
20140264416 | Organic Light Emitting Diode With Light Extracting Layer - A light extraction substrate includes a glass substrate having a first surface and a second surface. A light extraction layer is formed on at least one of the surfaces. The light extraction layer is a coating, such as a silicon-containing coating, incorporating nanoparticles. | 09-18-2014 |
20140264417 | WIRING BOARD AND LIGHT EMITTING DEVICE - There is provided a wiring board for mounting a light emitting element thereon. The wiring board includes: an insulating layer; a wiring pattern on the insulating layer; a reflecting layer on the insulating layer to cover the wiring pattern, wherein the light emitting element is to be mounted on a surface of the reflecting layer; and a silica film on the surface of the reflecting layer. | 09-18-2014 |
20140264418 | COLOR STABLE RED-EMITTING PHOSPHORS - A process for synthesizing a color stable Mn | 09-18-2014 |
20140264419 | PHOSPHOR FILM, AND LIGHT EMITTING DEVICE AND SYSTEM USING THE SAME - Phosphor film, and light emitting device and system using the same are provided. The light emitting device comprises a package body, a light emitting element disposed on the package body to generate first light, one or more first quantum dot phosphor layers formed above the light emitting element to perform wavelength conversion of the first light and generate second light, and one or more second quantum dot phosphor layers formed above the light emitting element so as not to overlap with the first quantum dot phosphor layers to perform wavelength conversion of the first light and generate third light different from the second light. | 09-18-2014 |
20140264420 | PHOTOLUMINESCENCE WAVELENGTH CONVERSION COMPONENTS - A photoluminescence wavelength conversion component comprises a first portion having at least one photoluminescence material; and a second portion comprising light reflective material, wherein the first portion is integrated with the second portion to form the photoluminescence wavelength conversion component. | 09-18-2014 |
20140264421 | LIGHT EMITTING DEVICE - A light emitting device including a light emitting structure having a first semiconductor layer, a second semiconductor layer, and an active layer between the first and second semiconductor layers; a first electrode electrically connected to the first semiconductor layer; and a second electrode disposed on the second semiconductor layer. Further, the second electrode include a reflective layer disposed on the second semiconductor layer; a metal layer disposed on a side surface of the reflective layer and on a top surface of reflective layer; a first anti-oxidation layer on the metal layer; and a second anti-oxidation layer on the first anti-oxidation layer. In addition, the second anti-oxidation layer is more than 10 times thicker than the first anti-oxidation layer. | 09-18-2014 |
20140264422 | Optoelectronic Semiconductor Component and Conversion Element - In at least one embodiment, an optoelectronic semiconductor component includes an optoelectronic semiconductor chip. The semiconductor component includes a conversion element that is arranged to convert at least some radiation emitted by the semiconductor chip into radiation of a different wavelength. The conversion element comprises at least one luminescent substance and scattering particles and also at least one matrix material. The scattering particles are embedded in the matrix material. A difference in the refractive index between the matrix material and a material of the scattering particles at a temperature of 300 K is at the most 0.15. The difference in the refractive index between the matrix material and the material of the scattering particles at a temperature of 380 K is greater than at a temperature of 300 K. | 09-18-2014 |
20140284638 | NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE - A nitride semiconductor light emitting device includes a laminate, first and second electrodes, a conductive layer, and a phosphor layer. The laminate includes a first layer including a first electroconductive-type layer, a second layer including a second electroconductive-type layer, a light emitting layer between the first and second layers, and a nitride semiconductor. The laminate has a recessed portion extending from the first layer to the second layer in a central portion or an outer peripheral portion. The first electrode arranged on the first layer reflects light emitted from the light emitting layer. The second electrode is surrounded by the light emitting layer or on the periphery thereof and connected to a bottom surface of the recessed portion. The conductive layer is arranged on a surface of the second layer at a side opposite to the light emitting layer. The phosphor layer overlies the second layer and the conductive layer. | 09-25-2014 |
20140284639 | LIGHT EMITTING DIODE PACKAGE - A light emitting diode (LED) package includes a substrate, a first electrode and a second electrode embedded in the substrate and spaced from each other, an LED die mounted on a top surface of the substrate and electrically connected to the first and the second electrodes. Both the first and the second electrodes include a top face and a bottom face, with the top face and the bottom face of each of the first and the second electrodes being exposed at the top surface and a bottom surface of the substrate, respectively. The top face of the first electrode defines a first groove corresponding to a positive bonding pad (p-pad) of the LED die. The p-pad is partially inserted into the first groove. An oxidation-resistant metal coating layer is filled between an insertion portion of the p-pad and an inner surface of the first groove. | 09-25-2014 |
20140284640 | LIGHT EMITTING DIODE PACKAGE - A light emitting diode (LED) package includes a substrate, a first electrode, a second electrode, an LED die mounted on the substrate and electrically connected to the first and the second electrodes, and an encapsulation layer encapsulating the LED die. Both the first and the second electrodes are embedded in the substrate and spaced from each other. Each of the first and the second electrodes includes a top face and a bottom face, with the top face and the bottom face thereof being exposed at a top surface and a bottom surface of the substrate, respectively. The top face of the first electrode defines a first groove therein. An oxidation-resistant metal coating layer is filled in the first groove. A positive bonding pad of the LED die directly contacts with a top face of the first oxidation-resistant metal coating layer. | 09-25-2014 |
20140284641 | LED PACKAGES AND MANUFACTURING METHOD THEREOF - A method of manufacturing LED packages includes the steps of: forming a conductive circuit layer on a substrate; screen printing a wall layer on the conductive circuit layer to form a trellis with a plurality of wall units, so that regions of the conductive circuit layer surrounded by the wall units are exposed; mounting and electrically connecting at least one LED die on the conductive circuit layer within each of the wall units; molding a transparent layer to cover the LED dies; and cutting along the wall units to form a plurality of LED packages. | 09-25-2014 |
20140284642 | Light-Emitting Module and Light-Emitting Device - A light-emitting module which efficiently extracts light emitted from a light-emitting element is provided. Alternatively, a light-emitting module having lower power consumption or improved reliability is provided. A light-emitting module includes a window material having a light-transmitting property, a light-emitting element that emits light transmitted from a light-transmitting layer to the window material, and an optical bonding layer between the window material and the light-transmitting layer. The optical bonding layer includes a thick part overlapping the light-emitting element and a thin part surrounding the thick part. The light-transmitting layer, the optical bonding layer, and the window material are provided in decreasing order of refractive index. | 09-25-2014 |
20140284643 | POWER SURFACE MOUNT LIGHT EMITTING DIE PACKAGE - A light emitting die package is provided which includes a metal substrate having a first surface and a first conductive lead on the first surface. The first conductive lead is insulated from the substrate by an insulating film. The first conductive lead forms a mounting pad for mounting a light emitting device. The package includes a metal lead electrically connected to the first conductive lead and extending away from the first surface. | 09-25-2014 |
20140284644 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention is directed to reduction of angle dependence of chromaticity in a phosphor layer, without using a light scattering agent, the phosphor layer being made up of phosphor particles adhered tightly to one another via a binder according to the spray coating method. The phosphor layer contains phosphor particles laid along the top surface of the light emitting element and the binder embedded into a gap between the phosphor particles, and the phosphor layer does not contain the light scattering agent. The area of a region on the upper surface of the phosphor layer is between or equal to 3% and 10% with respect to the area of the top surface of the light emitting element, the region being positioned at the gap between the phosphor particles and allowing the light being outputted to pass through the binder and directly reach the upper surface of the phosphor layer. This configuration makes the asperities smaller on the surface of the phosphor layer and reduces the angular dependence of chromaticity. | 09-25-2014 |
20140284645 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT - An optoelectronic semiconductor component includes an optoelectronic semiconductor chip having side areas covered by a shaped body, at least one plated-through hole including an electrically conductive material, and an electrically conductive connection electrically conductively connected to the semiconductor chip and the plated-through hole, wherein, the plated-through hole is arranged in a manner laterally spaced apart from the semiconductor chip, the plated-through hole completely penetrates through the shaped body, and the plated-through hole extends from a top side of the shaped body to an underside of the shaped body, the electrically conductive connection extends at the top side of the shaped body. | 09-25-2014 |
20140284646 | LIGHT GENERATING DEVICE AND METHOD OF MANUFACTURING THE SAME - A light generating device and a method of manufacturing the light generating device are disclosed. The light generating device includes a p-type semiconductor layer, an n-type semiconductor layer, an active layer, a p-type electrode and an n-type electrode. The active layer is disposed between the p-type semiconductor layer and the n-type semiconductor layer. The p-type electrode provides the p-type semiconductor layer with holes. The n-type electrode provides the n-type semiconductor layer with electrons. At least one of the p-type electrode and n-type electrode has a protrusion protruding toward p-type semiconductor layer and the n-type semiconductor layer, respectively. Therefore, light efficiency is enhanced. | 09-25-2014 |
20140284647 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device has a light emitting element, a first electrode layer, a second electrode layer, a seed electrode layer and a plated layer. The light emitting element has a nitride-based III-V compound semiconductor on a substrate. The light emitting element having a light extraction surface. The first electrode layer on the light extraction surface. The second electrode layer is provided on a surface opposite to the light extraction surface of the light emitting element. The seed electrode layer is configured to cover the entire surface of the second electrode layer. The plated layer is provided on the seed electrode layer. The light emitting element has a light emitting layer, a first conductive type semiconductor layer, and a second conductive type semiconductor layer. The light emitting element has a forward tapered shape of a width which gradually narrows in order of the second conductive type semiconductor layer, the light emitting layer and the first conductive type semiconductor layer. | 09-25-2014 |
20140284648 | LIGHT EMITTING DEVICE WITH MOLDED WAVELENGTH CONVERTING LAYER - A flexible film comprising a wavelength converting material is positioned over a light source. The flexible film is conformed to a predetermined shape. In some embodiments, the light source is a light emitting diode mounted on a support substrate. The diode is aligned with an indentation in a mold such that the flexible film is disposed between the support substrate and the mold. Transparent molding material is disposed between the support substrate and the mold. The support substrate and the mold are pressed together to cause the molding material to fill the indentation. The flexible film conforms to the shape of the light source or the mold. | 09-25-2014 |
20140284649 | OPTOELECTRONIC COMPONENT AND PHOSPHORS - An optoelectronic component includes a layer sequence having an active region that emits primary electromagnetic radiation, wherein the primary electromagnetic radiation has a wavelength of 430 nm to 470 nm, a conversion material arranged in a beam path of the primary electromagnetic radiation and at least partly converts the primary electromagnetic radiation into a secondary electromagnetic radiation, wherein the conversion material includes a first phosphor having general composition A | 09-25-2014 |
20140284650 | LIGHT-EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING SAME - Disclosed are a light-emitting diode package and a method for manufacturing same. The method for manufacturing a light-emitting diode package comprises: preparing a package main body having a cavity and an air vent passageway which extends from the cavity; installing a light-emitting diode inside the cavity of the package main body; attaching a transparent member by means of an adhesive so as to cover the upper part of the cavity; and blocking the air vent passageway by forming a sealing member. As the air vent passageway is blocked after the transparent member is attached, the transparent member may be prevented from peeling off from the air pressure inside the cavity. | 09-25-2014 |
20140291711 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND PACKAGE STRUCTURE THEREOF - A semiconductor light emitting device and a package structure thereof are provided. The semiconductor light emitting device includes a substrate, an epitaxial structure layer, a first electrode, a second electrode and a patterned film structure. The substrate has a first surface and a second surface opposite to the first surface. The epitaxial structure layer is disposed on the first surface, and includes a first type semiconductor layer, an active layer and a second type semiconductor layer on the first surface in sequence. The first electrode is formed on an exposed surface of the first type semiconductor layer. The second electrode is formed on an exposed surface of the second type semiconductor layer. The patterned film structure is disposed on the second surface and includes thin films composed of a metamaterial having a negative refraction index. | 10-02-2014 |
20140291712 | PHOSPHOR AND LIGHT EMITTING DEVICE - A phosphor and a light emitting device are provided. The phosphor comprises a composition having a formula of (Ba | 10-02-2014 |
20140291713 | FLIP-CHIP LIGHT EMITTING DIODE PACKAGE WITH MOISTURE BARRIER LAYER - An exemplary light emitting diode (LED) package includes a substrate, a first electrode penetrating downward through the substrate, a second electrode penetrating downward through the substrate and spaced from the first electrode, an LED die arranged on the substrate and mounted to the first and second electrodes by flip-chip technology, and an encapsulation layer formed on the substrate to encapsulate the LED die therein. The substrate includes a top surface and a bottom surface at opposite sides thereof. Top ends of the first and second electrodes are exposed at the top surface of the substrate, and bottom ends of the first and second electrodes are exposed at the bottom surface of the substrate. A moisture barrier layer is attached on the bottom of the LED package to cover a joint of the first and/or second electrode and the substrate. | 10-02-2014 |
20140291714 | Semiconductor Light Emitting Device - The present disclosure relates to a semiconductor light emitting device, comprising: a plurality of semiconductor layers that grows sequentially on a growth substrate, with the plurality of semiconductor layers including a first semiconductor layer having a first conductivity, a second semiconductor layer having a second conductivity different from the first conductivity, and an active layer interposed between the first semiconductor layer and the second semiconductor layer, generating a light with a first wavelength via electron-hole recombination; a first electrode, supplying either electrons or holes to the plurality of semiconductor layers; a second electrode, supplying, to the plurality of semiconductor layers, electrons if the holes are supplied by the first electrode, or holes if the electrons are supplied by the first electrode; a phosphor part provided over the first semiconductor layer on the side of the growth substrate, converting the light with the first wavelength generated in the active layer into a light of a second wavelength; and a non-conductive reflective film formed on the second semiconductor layer for reflecting the light from the active layer towards the first semiconductor layer on the side of the growth substrate, with the non-conductive reflective film having a distributed bragg reflector designed based on the light converted by the phosphor part. | 10-02-2014 |
20140291715 | COMPACT LED PACKAGE WITH REFLECTIVITY LAYER - LED packages are disclosed that are compact and efficiently emit light, and can comprise encapsulants with curved and planar surfaces. The packages can comprise a submount with a one or a plurality of LEDs, and in those with a plurality of LEDs each of the LEDs can emit the same or different wavelengths of light than the others. A blanket conversion material layer can be included on at least some of the LEDs and the submount. The encapsulant can be on the submount, over at least some of the LEDs, with each of the planar surfaces being vertical and aligned with one of the edges of the submount. The packages can also comprise reflective layers to minimize losses due to light absorption, which in turn can increase the overall package emission efficiency. | 10-02-2014 |
20140291716 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is a light emitting device with improved light extracting efficiency and further higher heat releasing performance. A light emitting device includes a planar lead frame having a first lead and a second lead, and includes a light emitting element mounted on the first lead, a resin frame surrounding a periphery of the light emitting element, a first sealing resin filled in the inner side of the resin frame and sealing the light emitting element, and a second sealing resin covering the resin frame and the first sealing resin. Lower end of inner surface of the resin frame is arranged only on the first lead, and at an outside of the resin frame, and the second resin member covers at least a part of the first lead and the second lead. Of the back-surface of the first lead, a region directly under the blight emitting element is exposed. | 10-02-2014 |
20140291717 | METHOD FOR MANUFACTURING MACH-ZEHNDER MODULATOR, METHOD FOR MANUFACTURING OPTICAL WAVEGUIDE, AND OPTICAL WAVEGUIDE - A method for manufacturing a Mach-Zehnder modulator includes the steps of forming a stacked semiconductor layer, the stacked semiconductor layer including a first conductivity type semiconductor layer, a core layer and a second conductivity type semiconductor layer, forming a waveguide mesa, the waveguide mesa having a first portion, a second portion and a third portion arranged between the first and second portions; forming a buried region on the waveguide mesa; forming an opening in the buried region on the third portion by etching the buried region using a mask; etching the second conductivity type semiconductor layer in the third portion through the buried region as a mask; and removing the buried region after etching the second conductivity type semiconductor layer. In the step of etching the second conductivity type semiconductor layer, the buried region covers a side surface of the third portion of the waveguide mesa. | 10-02-2014 |
20140291718 | LIGHT EMITTING DIODES - A LED includes a red light emitting unit, a green light emitting unit, a blue light emitting unit, and an optical grating located on a same plane. The red light emitting unit, the green light emitting unit and the blue light emitting unit are located around the optical grating. Each light emitting unit includes a first substrate, a first semiconductor layer, an first active layer, a second semiconductor layer and a first reflector layer stacked in that order. The optical grating includes a second substrate, a first semiconductor layer, an active layer, and a second semiconductor layer stacked in that order. The second substrate and the three first substrates are a continuous integrated substrate structure. | 10-02-2014 |
20140291719 | LIGHT EMITTING DIODE PACKAGE HAVING FRAME WITH BOTTOM SURFACE HAVING TWO SURFACES DIFFERENT IN HEIGHT - Provided is a light emitting device package. It is a substrate comprising a top and a bottom surfaces being substantially parallel to each other; a light emitting diode chip on the substrate; a frame disposed around the light emitting diode chip and configured to reflect light emitted from the light emitting diode chip, the frame having an opening; a first metal layer disposed on the top surface of the substrate; a second metal layer disposed on the top surface of the substrate; a third metal layer disposed on the bottom surface of the substrate; a through hole connected between the first metal layer and the third metal layer; a material being filled in the opening of the frame; and a lens disposed on the material, wherein the substrate and the frame are separate from each other. | 10-02-2014 |
20140299902 | Articles and methods for rapid manufacturing of solid state light sources - Rapid manufacturing processes and designs based on solid luminescent elements form solid state light sources. Direct attach, as well as other LED types, are embedded or affixed to the solid luminescent elements to form low cost solid state light sources. | 10-09-2014 |
20140299903 | DOUBLE-CHIP LIGHT EMITTING DIODE - Disclosed is a double-chip LED including a leadframe, a green chip, a blue chip, a transparent colloid and a red fluorescent layer. The green chip is installed at the bottom of the leadframe; the blue chip is installed at the bottom of the leadframe and adjacent to the green chip; the transparent colloid is sprayed or coated onto the green chip and the blue chip; and the red fluorescent layer is disposed on the transparent colloid and excited by a green light source or a blue light source to produce a mixed light source. The red fluorescent layer can be a red fluorescent plate or red fluorescent powder. In the present double-chip LED, the green chip and the blue chip excite the red fluorescent plate or red fluorescent powder to produce a better white light mixing effect. | 10-09-2014 |
20140299904 | LIGHT EMITTING DEVICE - A light emitting device according to embodiments includes a light emitting element emitting light having a peak wavelength of 425 nm or more and 465 nm or less, a first phosphor emitting light having a peak wavelength of 485 nm or more and 530 nm or less, a second phosphor emitting light having a peak wavelength longer than that of the first phosphor, and a third phosphor emitting light having a peak wavelength longer than that of the second phosphor. Then, when the peak wavelength of the light emitting element is λ | 10-09-2014 |
20140299905 | LIGHT EMITTING DIODE WITH IMPROVED LUMINOUS EFFICIENCY - A light-emitting diode includes a substrate, and a light-emitting structure disposed on the substrate. The light-emitting structure includes a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer. A transparent electrode layer including concave portions and convex portions is disposed on the second conductivity-type semiconductor layer. Micro-lenses are disposed on the transparent electrode layer and completely cover the concave portions, and only partially cover the convex portions that are disposed between the micro-lenses. | 10-09-2014 |
20140299906 | LIGHT EMITTING DIODE, MANUFACTURING METHOD THEREOF, LIGHT EMITTING DIODE MODULE, AND MANUFACTURING METHOD THEREOF - A manufacturing method of a light emitting diode (LED) and a manufacturing method of an LED module are provided. The manufacturing method of the LED may include manufacturing a plurality of LED chips, manufacturing a phosphor pre-form including a plurality of mounting areas for mounting the plurality of LED chips, applying an adhesive inside the phosphor pre-form, mounting each of the plurality of LED chips in each of the plurality of mounting areas, and cutting the phosphor pre-form to which the plurality of LED chips are mounted, into units including individual LED chips. | 10-09-2014 |
20140299907 | Reflector for Light-Emitting Devices - Polymer compositions are described that are well suited for producing reflectors for light-emitting devices, such as light-emitting diodes. In one embodiment, the polymer composition contains a polymer resin and a stabilizer comprising a phosphonate compound and/or a phosphate compound and optionally a white pigment. The polymer resin may comprise, for instance, a poly(1,4-cyclohexanedimethanol terephthalate). The phosphate stabilizer has been found to significantly improve the stability of the polymer composition without interfering with the ability of the composition to bond to other polymer materials, such as silicone resins. Silicone resins, for instance, are typically used as an encapsulant for light-emitting diode assemblies. | 10-09-2014 |
20140306251 | PATTERNED LIGHT EMITTING ELEMENT SUBSTRATE - Disclosed is a patterned light-emission element substrate including a surface consisted of a plurality of cones, and the surface of each cone is roughened by a wet etch roughening treatment to produce a rough surface. | 10-16-2014 |
20140306252 | OPTICAL DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention provides an optical device, and the optical device comprises a luminous element and a gradient-index nanoparticle layer and scattering particles composed by particles stack with different refractive indexes and particle sizes. The luminous element has a light emitting surface. The refractive indexes of the nanoparticle layers decrease bottom up. The nanoparticles based gradient-index nanoparticle layer comprises a plurality of dielectric layers with different refractive index, and the dielectric scattering particle layers are stacked upward from the light emitting surface to let the gradient-index nanoparticle layer and scattering particles cover the light emitting surface. The method for manufacturing the abovementioned optical device is also disclosed. | 10-16-2014 |
20140306253 | Light Emitting Device - This disclosure relates to a light-emitting apparatus comprising a submount, a chip carrier formed on the submount, a light-emitting chip formed on the chip carrier, a reflecting cup formed on the submount and enclosing the light-emitting chip and the chip carrier, and a transparent encapsulating material for encapsulating the light-emitting chip. | 10-16-2014 |
20140306254 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD FOR SAME - Disclosed are a light emitting device and a method of manufacturing the same. The light emitting device includes a support substrate, a reflective ohmic contact layer on the support substrate, a functional complex layer including a process assisting region and ohmic contact regions divided by the process assisting region on the reflective ohmic contact layer, and a light emitting semiconductor layer including a second conductive semiconductor layer, an active layer, and a first conductive semiconductor layer on each ohmic contact regio. | 10-16-2014 |
20140306255 | LIGHT EMITTING DIODE - A light emitting diode includes a substrate, graphene layer, a first semiconductor layer, an active layer, a second semiconductor layer, a first electrode and a second electrode. The first semiconductor layer is on the epitaxial growth surface of the substrate. The active layer is between the first semiconductor layer and the second semiconductor layer. The first electrode is electrically connected with the second semiconductor layer and the second electrode electrically is connected with the second part of the carbon nanotube layer. The graphene layer is located between the active layer and the first semiconductor layer. | 10-16-2014 |
20140306256 | LIGHT EMITTING DIODE - A light emitting diode includes a graphene layer, a first semiconductor layer, an active layer, a second semiconductor layer, a first electrode and a second electrode. The first semiconductor layer, the active layer, and the second semiconductor layer are stacked with each other in sequence. The first electrode is located on and electrically connected with the second semiconductor layer. The second electrode is located on and electrically connected with the first semiconductor layer. The graphene layer is located between the active layer and the first semiconductor layer. | 10-16-2014 |
20140306257 | LED PACKAGE AND METHOD FOR FABRICATING THE SAME - A light emitting diode (LED) package according to an exemplary embodiment of the present invention includes a base including a first lead terminal and a second lead terminal, an LED chip disposed on the base, a housing disposed on the base, the housing having a cavity in which the LED chip is disposed, and an encapsulation member having a side surface contacting the housing. The first lead terminal and the second lead terminal each have a first surface and a second surface opposite the first surface, and have an unbent form, respectively. The second surface is exposed to the outside of the LED package. | 10-16-2014 |
20140306258 | CERAMIC CONVERSION ELEMENT, OPTOELECTRONIC SEMICONDUCTOR COMPONENT COMPRISING A CERAMIC CONVERSION ELEMENT, AND METHOD FOR PRODUCING A CERAMIC CONVERSION ELEMENT - A ceramic conversion element having a multiplicity of columnar regions arranged within a ceramic or vitreous matrix, wherein the columnar regions have a preferential direction which makes an angle of at most 45° with a normal to the main surface of the conversion element, at least either the columnar regions or the matrix convert electromagnetic radiation of a first wavelength range into electromagnetic radiation of a second wavelength range different from the first wavelength range and, the columnar regions are formed by wavelength-converting monocrystalline or ceramic fibers and/or monocrystalline or ceramic platelets, said fibers and/or said platelets are provided with a reflective coating. | 10-16-2014 |
20140312371 | HYBRID REFLECTOR CUP - A package for a light source and methods of manufacturing the same are disclosed. In particular, a light source package is disclosed with an outer component and an interchangeable inner component. The inner component can be modular and replaceable with other inner components having different properties, thereby enabling a flexible design of a light source package to accommodate different lighting conditions and desired lighting effects. | 10-23-2014 |
20140312372 | SEMICONDUCTOR OPTICAL EMITTING DEVICE WITH GROOVED SUBSTRATE PROVIDING MULTIPLE ANGLED LIGHT EMISSION PATHS - A semiconductor optical emitting device comprises an at least partially transparent substrate and an active semiconductor structure arranged on a first side of the substrate. A first portion of light generated by the active semiconductor structure is emitted through the substrate from the first side of the substrate to a second side of the substrate along a primary light emission path. The second side of the substrate has a groove formed therein with at least first and second surfaces configured to reflect respective additional portions of the light generated by the active semiconductor structure along respective first and second angled light emission paths. The first and second angled light emission paths may be in opposite directions to one another and substantially perpendicular to the primary light emission path, although numerous other light emission path arrangements are possible. | 10-23-2014 |
20140312373 | LIGHT EMITTING DIODES HAVING GROUP III NITRIDE SURFACE FEATURES DEFINED BY A MASK AND CRYSTAL PLANES - An LED includes a mesa having a Group III Nitride mesa face and a mesa sidewall, on an underlying LED structure. The mesa face includes Group III Nitride surface features having tops that are defined by mask features, having bottoms, and having sides that extend along crystal planes of the Group III Nitride. The mask features may include a two-dimensional array of dots that are spaced apart from one another. Related fabrication methods are also disclosed. | 10-23-2014 |
20140312374 | Method for Forming Projections and Depressions, Sealing Structure, and Light-Emitting Device - A novel method for forming projections and depressions is provided. A novel sealing structure is provided. A novel light-emitting device is provided. A first step of forming a film containing at least two kinds of metals having different etching rates over a surface; a second step of heating the film so that the metal having a lower etching rate segregates; a third step of selectively etching the metal having a higher etching rate; and a fourth step of selectively etching the surface using a residue containing the metal having a lower etching rate are included. | 10-23-2014 |
20140312375 | Method for producing an optoelectronic assembly and optoelectronic assembly - A method for producing an optoelectronic assembly ( | 10-23-2014 |
20140312376 | Semiconductor Light Emitting Devices Including Red Phosphors that Exhibit Good Color Rendering Properties and Related Red Phosphors - A light emitting device includes a light emitting diode (“LED”) that emits light having a dominant wavelength in the blue color range, and a recipient luminophoric medium that is configured to down-convert at least some of the light emitted by the LED. The recipient luminophoric medium includes a green phosphor that down-converts the radiation emitted by the LED to radiation having a peak wavelength that is between about | 10-23-2014 |
20140312377 | OPTOELECTRONIC APPARATUSES WITH POST-MOLDED REFLECTOR CUPS - An optoelectronic apparatus includes one or more packaged optoelectronic semiconductor devices (POSDs), each including one or more optoelectronic elements encapsulated by a light transmissive molding compound. Each POSD includes a top surface formed by a top surface of the light transmissive molding compound that encapsulates the one or more optoelectronic elements of the POSD. Each POSD also includes a bottom surface including electrical contacts for the one or more optoelectronic elements of the POSD. A peripheral surface extends between the top and bottom surfaces. A light reflective molding compound surrounds the peripheral surface of each POSD and forms a reflector cup for each POSD. The electrical contacts on the bottom surface of each POSD are exposed, and thus, are accessible for electrical connections to other circuitry. Where the optoelectronic apparatus includes a plurality of POSDs, the light reflective molding compound also connects neighboring POSDs to one another. | 10-23-2014 |
20140319560 | LIGHT-EMITTING DIES INCORPORATING WAVELENGTH-CONVERSION MATERIALS AND RELATED METHODS - In accordance with certain embodiments, semiconductor dies are embedded within polymeric binder to form, e.g., freestanding white light-emitting dies and/or composite wafers containing multiple light-emitting dies embedded in a single volume of binder. | 10-30-2014 |
20140319561 | SEMICONDUCTOR LIGHT EMITTING DEVICE WITH LIGHT TRANSMISSIVE ROUGHENED STRUCTURE AND METHOD OF MANUFACTURING THE SAME - A semiconductor light emitting device includes a semiconductor light emitting chip and a transparent conductive layer formed on the semiconductor light emitting chip. The semiconductor light emitting chip includes a substrate, and a first semiconductor layer, an active layer and a second semiconductor layer successively formed on the substrate. The transparent conductive layer is formed on the second semiconductor layer. A first electrode and a second electrode are respectively arranged on the transparent conductive layer and the first semiconductor layer. The transparent conductive layer has a roughened structure. A method of manufacturing a semiconductor light emitting device is also provided. | 10-30-2014 |
20140319562 | LIGHT-EMITTING DIODE PACKAGE STRUCTURE - An LED package structure of the invention includes a light-emitting device and a transparent molding compound. The light-emitting device has an upper surface. The transparent molding compound is disposed on the light-emitting device and covers the upper surface, in which the transparent molding compound has a top surface and a bottom surface opposite to each other and a first outside surface connecting the top surface and the bottom surface. A surface area of the first outside surface is greater than or equal to four times of a horizontal projection area of the upper surface. | 10-30-2014 |
20140319563 | LATERALLY ALIGNED COLLOIDAL NANORODS ASSEMBLIES - Nanorods assemblies that have lengths in excess of 50 microns to meters are formed from contacting rice-shaped colloidal superparticles that are aligned along the long axis of the colloidal superparticles. The rice-shaped colloidal superparticles are formed from a multiplicity of nanorods with a high degree of association that is end to end to form colloidal superparticles that are in excess of three microns in length and have a length to diameter ratio of about three or more. Methods of preparing the rice-shaped colloidal superparticles employ mixing with an additional ligand to the nanorods to bias the self assembly of the nanorods by solvophobic interactions. Methods of preparing the nanorods assemblies include the infusion of the rice-shaped colloidal superparticles into microchannels patterned on a substrate, wherein the rice-shaped colloidal superparticles' long axes align in the microchannels. | 10-30-2014 |
20140319564 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUCFACTURING SAME - A light emitting diode package for mounting to a printed circuit board by surface mounting technology includes a substrate, first and second electrodes and a light emitting diode. The first electrode and the second electrode each have a first end and a second end. The second end of the first electrode is adjacent to the first end of the second electrode and a distance therebetween is increased along a top-to-bottom direction of the light emitting diode package. The first end of the first electrode extends out of the substrate and forms a tapered structure. The second end of the second electrode extends out of the substrate and forms a tapered structure. The light emitting diode chip is electrically connected with the first and second electrodes. A method for manufacturing the light emitting diode package is also provided. | 10-30-2014 |
20140319565 | LIGHT EMITTING DIODE PACKAGE - A light emitting diode (LED) package includes a substrate, a pin structure and a reflector formed on the substrate, an LED chip arranged on the pin structure and a first encapsulation layer mixed with phosphor filled in the reflector. The LED chip includes a top surface, a side surface extending downward from the top surface and a bottom surface opposite to the top surface. A bottom end of the first encapsulation layer is located above the top surface of the LED chip. A transparent second encapsulation layer is located below the first encapsulation layer and surrounds the LED die. | 10-30-2014 |
20140319566 | LIGHT EMITTING DIODE CHIP - A light emitting diode chip includes a semiconductor layer sequence having an active layer that generates electromagnetic radiation, wherein the light emitting diode chip has a radiation exit area at a front side, the light emitting diode chip has a mirror layer at least in regions at a rear side situated opposite the radiation exit area, said mirror layer containing silver, a protective layer is arranged on the mirror layer, and the protective layer comprises a transparent conductive oxide. | 10-30-2014 |
20140319567 | LIGHT EMITTING DEVICE - A provided light includes a semiconductor chip including a p-type semiconductor layer and an n-type semiconductor layer, the semiconductor chip being adapted to emit light between the p-type semiconductor layer and the n-type semiconductor layer; a p-side pad electrode disposed on an upper surface side of the semiconductor chip and over the p-type semiconductor layer; an n-side pad electrode disposed on an upper surface side of the semiconductor chip and over the n-type semiconductor layer; a resin layer disposed to cover the upper surface of the semiconductor chip; a p-side connection electrode and an n-side connection electrode disposed at an outer surface of the resin layer and positioned on the upper surface side of the semiconductor chip; and a metal wire disposed in the resin. The metal wire is adapted to make connection at least one of between the p-side pad electrode and the p-side connection electrode, and between the n-side pad electrode and the n-side connection electrode. | 10-30-2014 |
20140319568 | PHOSPHOR, LIGHT-EMITTING APPARATUS INCLUDING THE SAME, AND PHOSPHOR PRODUCTION METHOD - A phosphor is provided which is represented by the general formula M | 10-30-2014 |
20140319569 | OPTICAL SEMICONDUCTOR ELEMENT MOUNTING PACKAGE, AND OPTICAL SEMICONDUCTOR DEVICE USING THE SAME - An optical semiconductor element mounting package that has good adhesion between the resin molding and the lead electrodes and has excellent reliability is provided, as well as an optical semiconductor device using the package is also provided. The optical semiconductor element mounting package having a recessed part that serves as an optical semiconductor element mounting region, wherein the package is formed by integrating: a resin molding composed of a thermosetting light-reflecting resin composition, which forms at least the side faces of the recessed part; and at least a pair of positive and negative lead electrodes disposed opposite each other so as to form part of the bottom face of the recessed part, and there is no gap at a joint face between the resin molding and the lead electrodes. | 10-30-2014 |
20140319570 | LIGHT-EMITTING DEVICE - A light-emitting device includes a substrate that includes at least a pair of electrodes, an LED element electrically mounted on the substrate, a phosphor plate adhered to an upper surface of the LED element and including an upper surface and a lower surface each having an area larger than that of the upper surface of the LED element, a white resin provided on an upper surface of the substrate and seamlessly covering a peripheral side surface of the LED element and a peripheral side surface of the phosphor plate. A lower surface of the phosphor plate is adhered to the upper surface of the LED element through a transparent adhesive. | 10-30-2014 |
20140319571 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT - In a surface emission-type semiconductor light-emitting element including a DBR layer, a variation in light intensity due to a temperature change in the formation of a large number of elements manufactured from one wafer is suppressed while maintaining a light intensity enhancement effect. In the semiconductor light-emitting element that outputs emitted light having a predetermined emission peak wavelength λ, including at least a substrate | 10-30-2014 |
20140319572 | CERAMIC CONVERSION ELEMENT, OPTOELECTRONIC COMPONENT COMPRISING A CERAMIC CONVERSION ELEMENT, AND METHODS FOR PRODUCING A CERAMIC CONVERSION ELEMENT - A ceramic conversion element includes a multiplicity of first regions and a multiplicity of second regions, wherein the first regions vitreous, ceramic or monocrystalline fashion, at least either the first regions or the second regions are columnar and have a preferred direction forming an angle of at most 45° with a normal to a main surface of the conversion element, the first regions convert electromagnetic radiation in a first wavelength range into electromagnetic radiation in a second wavelength range different from the first wavelength range, the second regions convert electromagnetic radiation in the first wavelength range into electromagnetic radiation in a third wavelength range different from the first and second wavelength ranges, wherein the second regions are formed by a resin into which phosphor particles are embedded. | 10-30-2014 |
20140327023 | PHOSPHOR ASSEMBLY FOR LIGHT EMITTING DEVICES - A method for fabricating a light emitting device is disclosed. The light emitting device includes a light emitting diode (LED). The method includes disposing a layered phosphor composite or a thick phosphor composite radiationally coupled to the LED to form a light emitting device. The layered phosphor composite includes a first phosphor layer including a yellow-emitting phosphor over a second phosphor layer including manganese-doped potassium fluorosilicate (PFS). The second phosphor layer is disposed closer to the LED. The mass of the PFS of this light emitting device is at least 15% less than mass of the PFS in a reference light emitting device that has the same color temperature as the above mentioned light emitting device, but includes a blend of PFS and the yellow emitting phosphor instead of a layered configuration or has a decreased thickness. | 11-06-2014 |
20140327024 | SEMICONDUCTOR DEVICE AND FABRICATION METHOD FOR SAME - A semiconductor device includes an electrical insulating layer with superior heat resistance, heat dissipation, and durability, and which is manufactured through a process with good cost performance and process performance. In a semiconductor device including a first substrate to which a semiconductor chip is mounted directly or indirectly, and a white insulating layer formed on a surface of the first substrate and functioning as a reflecting material, the semiconductor chip is an LED, at least the surface of the first substrate is made of a metal, and a stacked structure of the white insulating layer and a metal layer is formed by coating a liquid material, which contains SiO | 11-06-2014 |
20140327025 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - A light-emitting diode package structure including a chip carrier portion, a light-emitting diode chip, and a package material is provided. The light-emitting diode chip is disposed on the chip carrier portion of the package. The package material is filled in the chip carrier portion and covers the light-emitting diode chip. The package material includes a matrix material, a plurality of first powder particles, and a plurality of second powder particles. The first powder particles and the second powder particles are distributed in the matrix material. Each first powder particle is a wavelength conversion material. Each second powder particle has a shell-like structure. | 11-06-2014 |
20140327026 | COLOR STABLE RED-EMITTING PHOSPHORS - A process for synthesizing a color stable Mn | 11-06-2014 |
20140327027 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting element, includes: a laminated structure body including an n-type semiconductor layer, a p-type semiconductor layer, and a light emitting layer; a p-side electrode provided in contact with the p-type semiconductor layer; an n-side electrode provided in contact with the n-type semiconductor layer; a highly reflective insulating layer provided in contact with the n-type semiconductor layer and having a higher reflectance than a reflectance of the n-side electrode; and an upper metal layer provided on at least a part of the n-side electrode and on at least a part of the highly reflective insulating layer and electrically connected to the n-side electrode. An area of a region of the n-side electrode in contact with the n-type semiconductor layer is smaller than an area of a region of the highly reflective insulating layer sandwiched between the n-type semiconductor layer and the upper metal layer. | 11-06-2014 |
20140327028 | LIGHT-EMITTING DIODE, METHOD FOR MANUFACTURING LIGHT-EMITTING DIODE, LIGHT-EMITTING DIODE LAMP AND ILLUMINATION DEVICE - A light-emitting diode, a method of manufacturing the same, a lamp and an illumination device. A light-emitting diode ( | 11-06-2014 |
20140327029 | SEMICONDUCTOR LIGHT EMITTING DEVICE WITH THICK METAL LAYERS - A device according to embodiments of the invention includes a semiconductor structure including a light emitting layer sandwiched between an n-type region and a p-type region and first and second metal contacts, wherein the first metal contact is in direct contact with the n-type region and the second metal contact is in direct contact with the p-type region. First and second metal layers are disposed on the first and second metal contacts, respectively. The first and second metal layers are sufficiently thick to mechanically support the semiconductor structure. A portion of a sidewall the device adjacent to one of the first and second metal layers is reflective. | 11-06-2014 |
20140327030 | CONTROLLED LED LIGHT OUTPUT BY SELECTIVE AREA ROUGHENING - The surface of a light emitting device is roughened to enhance the light extraction efficiency of the surface, but the amount of roughened area is selected to achieve a desired level of light extraction efficiency. Photo-lithographic techniques may be used to create a mask that limits the roughening to select areas of the light emitting surface. Because the amount of roughened area can be precisely controlled, the light extraction efficiency can be precisely controlled, substantially independent of the particular process used to roughen the surface. Additionally, the selective roughening of the surface may be used to achieve a desired light emission output pattern. | 11-06-2014 |
20140332834 | SUBSTRATE FOR AN OPTO-ELECTRIC DEVICE - An opto-electric device has a substrate comprised of metal or plastic. The substrate in an uncoated condition has an average surface roughness Rz of 150 nm to 1500 nm. A dielectric coating coats the substrate and a non-thermally curable coating is directly on the dielectric coating. An electrode is on the non-thermally curable coating. The dielectric coating and the non-thermally curable coating are between the electrode and the substrate. A method of making an opto-electric device comprises: applying a dielectric coating to a substrate comprised of metal or plastic; applying a non-thermally curable coating directly on the dielectric coating; wherein applying a non-thermally curable coating comprises one of: roll coating, reverse roll coating, slot die coating, curtain coating and spray coating; curing the non-thermally curable coating; and placing an electrode on the non-thermally curable coating such that the dielectric coating and the non-thermally curable coating are between the electrode and the substrate. | 11-13-2014 |
20140332835 | LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE AND ELECTRONIC APPARATUS - The light emitting element of the embodiment includes an anode; a cathode; a visible light emitting layer provided between the anode and the cathode and emitting visible light; and a carrier trapping layer containing a thiadiazole based compound represented by the following formula (1). | 11-13-2014 |
20140332836 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device includes a plurality of compound semiconductor layers including a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer; a pad on the plurality of compound semiconductor layers; an electrode layer under the plurality of compound semiconductor layers; and a supporting member disposed under the plurality of compound semiconductor layers and corresponding to the pad. | 11-13-2014 |
20140332837 | LIGHT EMITTING APPARATUS - Provided is a light emitting apparatus. The light emitting apparatus includes a substrate; a light emitting device on the substrate; a fluorescent layer formed on the substrate and the light emitting device to surround the light emitting device; an encapsulant resin layer formed on the substrate and the fluorescent layer to surround the fluorescent layer; and a lens disposed on the light emitting device and supported by the substrate, wherein the lens includes a lens body having a first recess formed at a center of a top surface of the lens body and a second recess formed at a center of a bottom surface of the lens body, and a lens supporter provided at the bottom surface of the lens body to support the lens body such that the lens body is spaced apart from the substrate. | 11-13-2014 |
20140332838 | LIGHT EMITTING DEVICES HAVING LIGHT COUPLING LAYERS WITH RECESSED ELECTRODES - A light emitting device comprises a first layer of an n-type semiconductor material, a second layer of a p-type semiconductor material, and an active layer between the first layer and the second layer. A light coupling structure is disposed adjacent to one of the first layer and the second layer. In some cases, the light coupling structure is disposed adjacent to the first layer. An orifice formed in the light coupling structure extends to the first layer. An electrode formed in the orifice is in electrical communication with the first layer. | 11-13-2014 |
20140339582 | RESIN SHEET LAMINATE, METHOD FOR MANUFACTURING THE SAME AND METHOD FOR MANUFACTURING LED CHIP WITH PHOSPHOR-CONTAINING RESIN SHEET - In order to improve the color and luminance uniformity of an LED chip with a phosphor-containing resin sheet obtained by adhering the phosphor-containing resin sheet to the LED chip, improve the ease of production, and improve the degree of freedom in design, etc., provided is a resin sheet laminate provided with a phosphor-containing resin sheet on a base material, wherein the phosphor-containing resin sheet is divided into a plurality of sections. | 11-20-2014 |
20140339583 | PHOSPHOR - According to one embodiment, the phosphor exhibits a luminescence peak in a wavelength ranging from 500 to 600 nm when excited with light having an emission peak in a wavelength ranging from 250 to 500 nm. The phosphor has a composition represented by (M | 11-20-2014 |
20140339584 | PHOSPHOR AND LIGHT EMITTING DEVICE PACKAGE INCLUDING THE SAME - Embodiments provide a phosphor including a silicate-based first phosphor emitting light having a yellow wavelength, a nitride-based second phosphor emitting light having a green wavelength, and a nitride-based third phosphor emitting light having a red wavelength. A full width at half maximum of the spectrum of mixed light emitted from the first phosphor to the third phosphor as the phosphors are excited by light having a blue wavelength is 110 nm or more. | 11-20-2014 |
20140339585 | ENCAPSULATING COMPOSITION AND LIGHT EMITTING DEVICE - An encapsulating composition for a light emitting device includes a transparent resin, a plurality of light scattering particles distributed throughout the transparent resin and having an average particle size ranging from 190 nm to 450 nm, and a plurality of phosphor particles distributed throughout the transparent resin. A light emitting device includes the encapsulating composition and a light emitting diode that is encapsulated by the encapsulating composition. | 11-20-2014 |
20140339586 | BRANCHED POLYCARBONATE COMPOSITIONS HAVING CONVERSION MATERIAL CHEMISTRY AND ARTICLES THEREOF - Disclosed herein is a light emitting device, comprising: a lighting element located in a housing, wherein the housing is formed from a plastic composition comprising: a conversion material, and a polycarbonate composition comprising: a flame retardant comprising a sulfonate salt and three polycarbonates. The first polycarbonate has a branching level of greater than or equal to 2%, a weight average molecular weight of 20,000 g/mole to 55,000 g/mole and a peak melt viscosity of greater than or equal to 25,000 poise. The second polycarbonate has a glass transition temperature greater than or equal to 170° C. The third polycarbonate has a branching level of 0 to less than 2% and a molecular weight of 17,000 to 40,000 g/mol. | 11-20-2014 |
20140339587 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD OF MANUFACTURING THE SAME - A semiconductor light emitting element having: a semiconductor laminated body; a full surface electrode containing an Ag provided on an upper surface of the p-type semiconductor layer; a cover electrode that covers a surface of the full surface electrode, is provided to contact on the upper surface of the p-type semiconductor layer at an outer edge of the full surface electrode, and is made of an Al-based metal material; a p-side electrode that is provided on a portion of a surface of the cover electrode; a metal oxide film that covers other surfaces of the cover electrode and contains an oxide of a metal material forming the cover electrode; and an insulation film that is made of an oxide and covers a surface of the metal oxide film, is provided. | 11-20-2014 |
20140339588 | LIGHT-EMITTING DEVICE AND LIGHTING SYSTEM - Disclosed is a light-emitting device including a support member, a reflective layer on the support member, a light-transmitting electrode layer on the reflective layer, a light-emitting structure on the light-transmitting electrode layer, the light-emitting structure being provided with a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer, and a luminescence layer interposed between the reflective layer and the light-transmitting electrode layer. Accordingly, the luminescence layer is formed in the chip formation process to minimize non-uniform application of a phosphor composed of an epoxy resin and simplify fabrication of the light-emitting device. | 11-20-2014 |
20140339589 | METHOD FOR PRODUCING A POLYCHROMATIZING LAYER AND SUBSTRATE AND ALSO LIGHT-EMITTING DIODE HAVING A POLYCHROMATIZING LAYER - The invention relates to a method for applying a polychromatizing layer which contains at least one luminescent means on a semiconductor substrate, which layer is suitable for producing a monochromatic light. The polychromatizing layer is applied with a printing process, especially with a micro-contact printing process. Preferably the polychromatizing layer is applied structured. | 11-20-2014 |
20140339590 | LIGHT EMITTING APPARATUS - A light emitting device including a contact layer, a blocking layer over the contact layer, a protection layer adjacent the blocking layer, a light emitter over the blocking layer, and an electrode layer coupled to the light emitter. The electrode layer overlaps the blocking layer and protection layer, and the blocking layer has an electrical conductivity that substantially blocks flow of current from the light emitter in a direction towards the contact layer. In addition, the protection layer may be conductive to allow current to flow to the light emitter or non-conductive to block current from flowing from the light emitter towards the contact layer. | 11-20-2014 |
20140339591 | OPTOELECTRONIC SEMICONDUCTOR CHIP AND METHOD OF PRODUCTION THEREOF - An optoelectronic semiconductor chip includes a semiconductor layer stack including a nitride compound semiconductor material on a carrier substrate, wherein the semiconductor layer stack includes an active layer that emits an electromagnetic radiation, the semiconductor layer stack being arranged between a layer of a first conductivity and a layer of a second conductivity, the layer of the first conductivity is adjacent a front of the semiconductor layer stack, the layer of the first conductivity electrically connects to a first electrical connection layer covering at least a portion of a back of the semiconductor layer stack, and the layer of the second conductivity type electrically connects to a second electrical connection layer arranged at the back. | 11-20-2014 |
20140339592 | LIGHT EMITTING DIODE - A light emitting diode includes a patterned carbon nanotube layer, a first semiconductor layer, a second semiconductor layer, an active layer stacked on an epitaxial growth surface of a substrate in that sequence. A first portion of the patterned carbon nanotube layer is covered by the first semiconductor layer and a second portion of the patterned carbon nanotube layer is exposed. A first electrode is electrically connected with the second semiconductor layer. A second electrode electrically is electrically connected with the second portion of the patterned carbon nanotube layer. | 11-20-2014 |
20140339593 | LIGHT EMITTING DIODE (LED) COMPONENT COMPRISING A PHOSPHOR WITH IMPROVED EXCITATION PROPERTIES - A light emitting diode (LED) component comprises an LED having a dominant wavelength in a range of from about 425 nm to less than 460 nm and a phosphor in optical communication with the LED. The phosphor includes a host lattice comprising yttrium aluminum garnet (YAG), and may include an activator comprising Ce and a substitutional dopant comprising Ga incorporated in the host lattice. An emission spectrum of the phosphor has a maximum intensity in a wavelength range of from about 540 nm to about 570 nm, and an excitation spectrum of the phosphor comprises an intensity at 440 nm equivalent to at least about 85% of a maximum intensity of the excitation spectrum. | 11-20-2014 |
20140346543 | LIGHT-EMITTING DEVICE - A light-emitting device of the invention includes a base, at least one light-emitting element, a wavelength transferring cover and a heat-conducting structure. The light-emitting element is disposed on the base and electrically connected to the base. The wavelength transferring cover is disposed on the base and covers the light-emitting element. The heat-conducting structure is disposed on the base and directly contacts the wavelength transferring cover. | 11-27-2014 |
20140346544 | Light-Emitting Element Having a Reflective Structure with High Efficiency - A light-emitting element includes a reflective layer; a first transparent layer on the reflective layer; a light-emitting stack having an active layer on the first transparent layer; and a cavity formed in the first transparent layer. | 11-27-2014 |
20140346545 | LED DEVICES WITH REDUCED REFLECTION AND AN LED DISPLAY INCLUDING SAME - LED devices are provided including an LED package including an LED and an optical element in an optical receiving relationship with the LED. The optical element has a higher light absorbing property at an exit surface away from the LED than at a bottom surface proximal to the LED. The optical element may include different epoxies, dye, and opaque particles. Methods for producing disclosed LED devices are also disclosed. | 11-27-2014 |
20140346546 | GALLIUM-NITRIDE-ON-HANDLE SUBSTRATE MATERIALS AND DEVICES AND METHOD OF MANUFACTURE - A gallium and nitrogen containing substrate structure includes a handle substrate member having a first surface and a second surface and a transferred thickness of gallium and nitrogen material. The structure has a gallium and nitrogen containing active region grown overlying the transferred thickness and a recessed region formed within a portion of the handle substrate member. The substrate structure has a conductive material formed within the recessed region configured to transfer thermal energy from at least the transferred thickness of gallium and nitrogen material. | 11-27-2014 |
20140346547 | Light Emitting Device Package - Disclosed is a light emitting device package. The light emitting device package includes a body part provided therein with a cavity, a light emitting chip in the cavity, a cover part to cover the cavity, and a light conversion part provided on a bottom surface of the cover part while being separated from the light emitting chip. | 11-27-2014 |
20140346548 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device includes a light emitting element having electrodes on a lower surface side thereof; a phosphor layer covering a surface of the light emitting element; a transparent covering member disposed on at least one side surface of the light emitting device; and a reflection member that covers the covering member. | 11-27-2014 |
20140346549 | PHOSPHOR SHEET, LIGHT-EMITTING DEVICE HAVING THE PHOSPHOR SHEET AND METHOD OF MANUFACTURING THE SAME - Disclosed herein is a light emitting device including: a substrate; a light emitting diode (LED) chip disposed on the substrate; and a phosphor sheet disposed on an upper portion of the LED chip and including alignment members formed on a lower surface thereof. The alignment members contact the LED chip, such that the phosphor sheet is aligned with the LED chip. | 11-27-2014 |
20140346550 | CURABLE COMPOSITION - Provided are a curable composition and its use. The curable composition may provide a cured product having excellent processability, workability, and adhesive property, and having no whitening and surface stickiness. The curable composition has excellent thermal resistance at a high temperature, gas barrierability, and crack resistance, and thus, when applied to a semiconductor device, may stably maintain performance of the device at a high temperature for a long time. | 11-27-2014 |
20140353701 | LIGHT SOURCE PACKAGE AND METHOD OF MANUFACTURING THE SAME - A package for a light source is disclosed. In particular, a Plastic Leaded Chip Carrier (PLCC) is described which provides enhanced heat dissipating properties. Moreover, the PLCC is described as comprising a single-gage leadframe, which provides for enhanced design flexibility and reduced manufacturing costs. Methods of manufacturing light source packages are also disclosed. | 12-04-2014 |
20140353702 | WAVELENGTH CONVERSION ELEMENT, LIGHT EMITTING DEVICE INCLUDING WAVELENGTH CONVERSION ELEMENT, AND VEHICLE INCLUDING LIGHT EMITTING DEVICE - A wavelength conversion element includes a phosphor layer including phosphor particles configured to be excited by light from a light source and a matrix located among the phosphor particles; and a column-shaped structural body including at least two kinds of column-shaped bodies periodically arranged and in contact with the phosphor layer. The column-shaped bodies have different heights and/or different thicknesses. The column-shaped structural body is a photonic crystal. | 12-04-2014 |
20140353703 | LIGHT EMITTING DEVICE PACKAGE - Disclosed is a light emitting device package including a body including a recess, first and second electrodes disposed on the body, a light emitting device provided on the first electrode, and a molding part disposed on the light emitting device. At least one of the body and the molding part includes benzotriazol (BTA). | 12-04-2014 |
20140353704 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes: a semiconductor light emitting element including a transparent substrate; a reflective substrate on which the semiconductor light emitting element is mounted; an adhesive layer containing a fluorescent substance, for fixing the semiconductor light emitting element on the reflective substrate; and a sealing member containing a fluorescent substance, for sealing the semiconductor light emitting element. In the semiconductor light emitting device, the adhesive layer has a thickness equal to or smaller than average particle size of the fluorescent substance contained in the sealing member. | 12-04-2014 |
20140353705 | SEMICONDUCTOR LIGHT EMITTING ELEMENT, METHOD OF MANUFACTURING SEMICONDUCTOR LIGHT EMITTING ELEMENT, SEMICONDUCTOR LIGHT EMITTING DEVICE AND SUBSTRATE - A semiconductor light emitting element includes a transparent substrate that transmits light emitted from said semiconductor light emitting element and a multi-layered structure formed on the transparent substrate. The multi-layered structure includes a semiconductor multi-layered film consisting of an n-type layer, an MQW light emitting layer and a p-type layer. The transparent substrate includes a light scattering structure formed in the transparent substrate for scattering the light that entered the substrate. | 12-04-2014 |
20140353706 | SILVER SURFACE TREATMENT AGENT, AND LIGHT-EMITTING DEVICE - The silver surface treatment agent of the present invention contains a layered silicate compound. The light-emitting device of the present invention comprises a substrate having a silver-plated layer; a light-emitting diode mounted on the substrate; and a film, provided on a surface of the silver-plated layer, containing a layered silicate compound. | 12-04-2014 |
20140353707 | TRANSPARENT LIGHT EMITTING DIODES - A transparent light emitting diode (LED) includes a plurality of III-nitride layers, including an active region that emits light, wherein all of the layers except for the active region are transparent for an emission wavelength of the light, such that the light is extracted effectively through all of the layers and in multiple directions through the layers. Moreover, the surface of one or more of the III-nitride layers may be roughened, textured, patterned or shaped to enhance light extraction. | 12-04-2014 |
20140353708 | WAFER-LEVEL LIGHT EMITTING DIODE PACKAGE AND METHOD OF FABRICATING THE SAME - A light emitting diode (LED) package includes a semiconductor stack including a first semiconductor layer, a second semiconductor layer, and an active layer disposed between the first and second semiconductor layers, the first and second semiconductor layers having different conductivity types, a first contact layer disposed on the first semiconductor layer, a second contact layer disposed on the second semiconductor layer, a first insulation layer contacting the first contact layer, a second insulation layer disposed on the first insulation layer, a first bump disposed on a first side of the semiconductor stack, the first bump being electrically connected to the first contact layer, a second bump disposed on the first side of the semiconductor stack, the second bump being electrically connected to the second contact layer, and a third insulation layer disposed on side surfaces of the first bump and the second bump. | 12-04-2014 |
20140361323 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - A LED package structure includes a base portion, a light-emitting chip, a cup portion and an encapsulating glue. The base portion has an upper surface and a lower surface. The upper surface has a die-bonding area. The light-emitting chip emits a light with a first wavelength and is located on the die-bonding area. The cup portion is located on the base portion to surround the die-bonding area to form a recess having an opening. The encapsulating glue is filled into the recess. The encapsulating glue has a wavelength conversion material configured to convert part of the light with the first wavelength into a light with a second wavelength. The cup portion includes an electro chromic layer electrically connected to a first external power and a transmittance of the electro chromic layer is changed in accordance with an input voltage of the first external power to adjust the light-emitting profile of the light-emitting chip. | 12-11-2014 |
20140361324 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A light emitting device includes a light emitting element disposed on a portion of a first lead frame element, a first resin including a fluorescent material, and a second resin. The first resin is above the light emitting element. The second resin is between the first resin and the first lead frame element. In some embodiments, the second resin includes a filler material that reflects light emitted by the light emitting element. In some embodiments, the light emitting device includes a protective diode connected in reverse parallel with the light emitting element. In some embodiments, a transparent resin may be disposed first and second resins. | 12-11-2014 |
20140361325 | PACKAGE STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A package structure and methods for forming the package structure are provided. The package structure includes a lead frame having a chip bonding area and a shell surrounding a portion of the lead frame. The shell has an inner surface and an outer surface opposite to the inner surface. A cavity is recessed from the inner surface, and the chip bonding area is exposed from the cavity. The structure further includes a light emitting diode chip disposed over the chip bonding area and a first glue layer disposed in the cavity to cover the light emitting diode chip. A separation film is disposed on the first glue layer in the cavity and a second glue layer disposed on the separation film in the cavity. The second glue layer contains a wavelength conversion material and the first glue layer does not contain any wavelength conversion material. | 12-11-2014 |
20140361326 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device includes a light emitting structure provided over a first substrate and including at least a first conductive semiconductor layer; an active layer and a second conductive semiconductor layer. A first electrode is provided over the first conductive semiconductor layer; and a second electrode is provided over the second conductive semiconductor layer. A MIM (metal-insulator-metal) structure is provided over at least one of the first and second electrodes. | 12-11-2014 |
20140361327 | LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - The present invention relates to a light emitting diode and a method of manufacturing same. The light emitting diode includes: a first conductive semiconductor layer; a plurality of mesas that are disposed spaced apart from one another on the first conductive semiconductor layer, each mesa including an active layer and a second conductive semiconductor layer; reflective electrodes that are respectively disposed on the plurality of mesas and come into ohmic contact with the second conductive semiconductor layer; openings that cover the plurality of mesas and the first conductive semiconductor layer, are electrically insulated from the mesas, and expose the reflective electrodes to the upper region of each mesa; and a current spreading layer that comes into ohmic contact with the first conductive semiconductor layer. Thus, a light emitting diode that improves current spreading performance may be provided. | 12-11-2014 |
20140361328 | UV PHOTOEXCITED RED LIGHT-EMITTING MATERIAL AND LIGHT EMITTING APPARATUS - A UV photoexcited red light-emitting material comprising a fluoride single crystal represented by the chemical formula: M | 12-11-2014 |
20140361329 | PRODUCING LIGHT EMITTING DEVICES AT VARIABLE FLUX LEVELS - Conventional techniques are used to control the flux of an LED element, by modifying a surface of a light emitting element. One type of modification is roughening the surface, to enhance the light extraction efficiency. The degree of modification of the surface is controlled by controlling one or more of the parameters associated with the modification process. A given LED technology will have some minimum flux without modification and some maximum flux with optimal modification. By characterizing the relationship between the parameters of the modifying process and the resultant flux, the parameters of the modification process can be controlled to achieve a desired flux between the minimum and maximum flux achievable by the given LED technology. | 12-11-2014 |
20140361330 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element that outputs emitted light having a predetermined emitted light peak wavelength λ includes: at least a substrate; a lower distributed Bragg reflector layer provided on the substrate; and a light emitting layer provided on the lower distributed Bragg reflector layer. At least one phase changing layer having a thickness of mλ/2n (wherein n is the refractive index of the phase changing layer, and m is an integer 1 or greater) is provided within the lower distributed Bragg reflector layer. | 12-11-2014 |
20140361331 | LIGHT-EMITTING APPARATUS, SURFACE LIGHT SOURCE, AND METHOD FOR MANUFACTURING PACKAGE FOR LIGHT-EMITTING APPARATUS - A light-emitting apparatus of the present invention has (i) a semiconductor device which emits light toward a higher position than a substrate and (ii) a plurality of external connection terminals, and includes: a light-reflecting layer, provided on the substrate, which reflects the light emitted by the semiconductor device; and a covering layer which covers at least the light-reflecting layer and which transmits the light reflected by the light-reflecting layer. Further, the semiconductor device is provided on the covering layer, and is electrically connected to the external connection terminals via connecting portions, and the semiconductor device and the connecting portions are sealed with a sealing resin so as to be covered. Therefore, the light-emitting apparatus has increased efficiency with which light is taken out, and can prevent a reflecting layer from being altered, deteriorating, and decreasing in reflectance. | 12-11-2014 |
20140361332 | LIGHT-EMITTING DEVICE - Disclosed is a light-emitting device ( | 12-11-2014 |
20140367716 | CHIP ON BOARD LIGHT EMITTING DIODE DEVICE HAVING DISSIPATION UNIT ARRAY - A chip on board light emitting diode (LED) device comprises a LED device, a printed circuit board (PCB) and a dissipation unit array. The LED device comprises a LED substrate, a first contact pad and a second contact pad above the LED substrate and a thermal layer formed on top surface of the LED device. The thermal layer comprises a thermal conductive material. A printed circuit board (PCB) comprises a PCB substrate with a thermal projection extending from surface of the PCB substrate, and a first and a second electrode pads above the PCB substrate. The thermal projection and the PCB substrate comprise the thermal conductive material. The dissipation unit array comprises a plurality of dissipation units each disposed between the LED device and the PCB. The thermal layer is thermally coupled to the thermal projection via at least one dissipation unit. Each of the first and second contact pads is electrically coupled to the corresponding electrode pad via at least one dissipation unit. | 12-18-2014 |
20140367717 | SEMICONDUCTOR OPTICAL EMITTING DEVICE WITH METALLIZED SIDEWALLS - A semiconductor optical emitting device comprises an at least partially transparent substrate, an active semiconductor structure, a dielectric layer and a metal layer. The substrate comprises a first surface, a second surface and at least one sidewall. The active semiconductor structure comprises a first surface, a second surface and at least one sidewall, the first surface of the active semiconductor structure facing the second surface of the substrate. The dielectric layer surrounds at least a portion of the at least one sidewall of the active semiconductor structure. The metal layer surrounds at least a portion of the dielectric layer. The at least one sidewall of the active semiconductor structure is tapered and a first portion of the at least one sidewall of the active semiconductor structure has a different tapering than a second portion of the at least one sidewall of the active semiconductor structure. | 12-18-2014 |
20140367718 | LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a light-emitting device and a manufacturing method thereof. A light-emitting device according to a preferred embodiment of the disclosure comprises: a frame portion having a bottom and a sidewall; a light-emitting portion which is disposed on the frame portion and emits light; and a window portion disposed over the frame portion so as to cover the light-emitting portion. | 12-18-2014 |
20140367719 | Phosphors For Use With LEDS and Other Optoelectronic Devices - Phosphors fabricated from one or more layers of a naturally lamellar or fabricated lamellar semiconductor that is combined with a substrate. One or more of the layers of the lamellar semiconductor are separated from bulk material. The one or more layers are transformed into a phosphor for use with one or more light-emitting devices for the purpose of modifying the light emitted by the light-emitting device(s). Such transformation can be effected in a variety of ways, such as precise thinning or thickening of the removed layer(s) and/or intercalating one or more species of ions into the layer(s) that function as phosphors. | 12-18-2014 |
20140367720 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor light emitting device and method of manufacturing the semiconductor light emitting device are provided. The semiconductor light emitting device includes a light emitting structure including a first conductivity type semiconductor layer, an active layer, and a second conductivity type semiconductor layer. The device may also includes a first electrode connected to the first conductivity type semiconductor layer, and a second electrode connected to the second conductivity type semiconductor layer and having a pad region and a finger region extended from the pad region in one direction. The second electrode may include a transparent electrode part positioned on the second conductivity type semiconductor layer and including at least one opening therein, at least one reflective part spaced apart from the transparent electrode part within the opening and disposed in the pad region and the finger region, and a bonding part positioned on at least one portion of the reflective part and including a plurality of bonding finger parts spaced apart from each other in the finger region and a bonding pad part disposed in the pad region. | 12-18-2014 |
20140367721 | LIGHT-EMITTING DEVICE CONTAINING FLATTENED ANISOTROPIC COLLOIDAL SEMICONDUCTOR NANOCRYSTALS AND PROCESSES FOR MANUFACTURING SUCH DEVICES - A device that emits light in response to an electrical or optical excitation, such as LEDs, displays, e-readers, device includes at least one anisotropic flat colloidal semiconductor nanocrystal whose smallest dimension, namely the thickness, is smaller than the other two by a factor of at least 1.5, the emitted light having an intensity and a polarization that vary according to the angle formed by the light emitting direction and the normal to the largest surface of the flat nanocrystal. The device allows to realize a light-emitting device exhibiting simultaneously a high emission spectral finesse and allows proper control of the wavelength, the directivity and/or polarization of the emitted light, and thus increases the brightness and color gamut of displays composed of such a device. Such devices are found for example in displays, televisions, mobile phones, tablets, or computers. The various embodiments of these devices are also presented. | 12-18-2014 |
20140367722 | LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING SAME - Disclosed are a light-emitting diode and a method for manufacturing the same. A light-emitting diode according to one aspect of the present invention includes: a first conductive clad layer; a light-scattering pattern configured, in the first conductive clad layer, having a refractive index different from that of the first conductive clad layer; an active layer located under the first conductive clad layer; a second conductive clad layer located under the active layer; a first electrode configured to be electrically connected to the first conductive clad layer; and a second electrode configured to be electrically connected to the second conductive clad layer. The light-scattering pattern can improve light extraction efficiency. | 12-18-2014 |
20140367723 | Curable Silicone Composition, Cured Product Thereof, And Optical Semiconductor Device - A curable silicone composition comprises: (A) an organopolysiloxane represented by an average unit formula; (B) an organopolysiloxane having 10 or less silicon atoms, wherein 30 to 60 mole % of all silicon atom-bonded organic groups are alkenyl groups having from 2 to 6 carbons; (C) an organopolysiloxane represented by a general formula; (D) an organopolysiloxane having at least 2 silicon atom-bonded hydrogen atoms in a molecule, wherein the content of phenyl groups in all silicon atom-bonded organic groups in this component is at least 20 mole %; (E) an organopolysiloxane having at least 2 silicon atom-bonded hydrogen atoms in a molecule, wherein the content of phenyl groups in all silicon atom-bonded organic groups in this component is less than 20 mole %; (F) a hydrosilylation reaction catalyst; (G) a white pigment; and (H) an inorganic filler other than a white pigment, has excellent formability for forming a cured product that has little discoloration and lowering of mechanical strength by heat and light, has high light reflectance, has excellent dimensional stability, and is capable of good attachment by a sealing agent used for an optical semiconductor device. | 12-18-2014 |
20140367724 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - Provided are a light emitting device package and a lighting system including the light emitting device package. The light emitting device package includes a package body, at least one electrode on the package body, a light emitting device on the package body, a reflective structure around the light emitting device on the package body and a lens on the light emitting device and the electrode. | 12-18-2014 |
20140367725 | COMPOSITE FILM AND SEMICONDUCTOR LIGHT EMITTING DEVICE USING THE SAME - The present invention relates to a composite film including a wavelength conversion layer and a diffusive reflection resin layer in a laminated state and being used in a semiconductor light emitting device, in which the wavelength conversion layer contains a phosphor material which absorbs a part or all of excitation light and is excited to emit visible light in a wavelength region longer than a wavelength of the excitation light, the diffusive reflection resin layer is selectively formed with patterning on one surface of the wavelength conversion layer, and a region on the one surface of the wavelength conversion layer where the diffusive reflection resin layer is not formed with patterning is a path of the excitation light which excites the phosphor material in the wavelength conversion layer. | 12-18-2014 |
20140367726 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND FABRICATING METHOD THEREOF - A semiconductor light-emitting device including an epitaxial structure, a first electrode structure, a second electrode structure, a light reflective metal layer, a resistivity-enhancing structure and a protection ring is provided. The light-emitting epitaxial structure has a first surface and a second surface. The light-emitting epitaxial structure has a first zone and a second zone. The first electrode structure is disposed within the first zone. The second electrode structure is disposed within the second zone. The light reflective metal layer is disposed adjacent to the second surface. The resistivity-enhancing structure is disposed in contact with a surface of the light reflective metal layer and corresponding to a position of the first electrode structure. The protection ring has a first portion and a second portion. The first portion surrounds a sidewall of the light reflective metal layer. The second portion corresponds to the second electrode structure. | 12-18-2014 |
20140367727 | LIGHT-EMITTING DEVICE HAVING DIELECTRIC REFLECTOR AND METHOD OF MANUFACTURING THE SAME - A light-emitting device includes a first conductive semiconductor layer formed on a substrate, a mask layer formed on the first conductive semiconductor layer and having a plurality of holes, a plurality of vertical light-emitting structures vertically grown on the first conductive semiconductor layer through the plurality of holes, a current diffusion layer surrounding the plurality of vertical light-emitting structures on the first conductive semiconductor layer, and a dielectric reflector filling a space between the plurality of vertical light-emitting structures on the current diffusion layer. | 12-18-2014 |
20140367728 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device including a substrate including an entire top surface that is flat, a light emitting diode on the substrate, a lead frame disposed on the flat top surface of the substrate, the lead frame electrically connected to the light emitting diode, a dam member disposed on the substrate and being adjacent to the light emitting diode, the dam member having a circular configuration which has an opening, a first member disposed on the light emitting diode, the first member including a fluorescent substance to convert a light emission spectrum of light from the light emitting diode, a second member disposed in the opening of the dam member, a circumference of the second member being defined by the dam member and a lens disposed on the second member is provided. | 12-18-2014 |
20140367729 | ENCAPSULATING LAYER-COVERED SEMICONDUCTOR ELEMENT, PRODUCING METHOD THEREOF, AND SEMICONDUCTOR DEVICE - A method for producing an encapsulating layer-covered semiconductor element includes the steps of preparing a support sheet including a hard support board formed with a through hole passing through in a thickness direction and a pressure-sensitive adhesive layer laminated on a surface at one side in the thickness direction of the support board so as to cover the through hole; disposing a semiconductor element on a surface at one side in the thickness direction of the pressure-sensitive adhesive layer in opposed to the through hole in the thickness direction; covering the semiconductor element with an encapsulating layer to produce an encapsulating layer-covered semiconductor element; and inserting a pressing member into the through hole from the other side in the thickness direction to peel the encapsulating layer-covered semiconductor element from the pressure-sensitive adhesive layer. | 12-18-2014 |
20140374785 | LIGHT EMITTING DEVICE AND LIGHTING APPARATUS INCLUDING THE SAME - Disclosed herein is a light emitting device exhibiting improved current spreading. The disclosed light emitting device includes a light emitting structure including a first conductivity type semiconductor layer, a second conductivity type semiconductor layer, and an active layer disposed between the first conductivity type and second conductivity type semiconductor layers, a first electrode disposed on the first conductivity type semiconductor layer, and a second electrode disposed on the second conductivity type semiconductor layer. The light emitting structure includes a mesa etching region where the second conductivity type semiconductor layer, active layer, and first conductivity type semiconductor layer are partially etched, thereby exposing a portion of the first conductivity type semiconductor layer. The first electrode is disposed on the exposed portion of the first conductivity type semiconductor layer. A first electrode layer is disposed between the second conductivity type semiconductor layer and the second electrode. A second electrode layer is disposed between portions of the first electrode layer spaced from each other at opposite sides of the mesa etching region. | 12-25-2014 |
20140374786 | MOULDED LENS FORMING A CHIP SCALE LED PACKAGE AND METHOD OF MANUFACTURING THE SAME - A wafer-scale process is described that simultaneously encapsulates LED dies, forms lenses over the LED dies, and forms a chip scale package for said dies. An array of LED dies ( | 12-25-2014 |
20140374787 | ENCAPSULATING SHEET, PRODUCING METHOD OF OPTICAL SEMICONDUCTOR DEVICE, OPTICAL SEMICONDUCTOR DEVICE, AND LIGHTING DEVICE - An encapsulating sheet, encapsulating an optical semiconductor element, includes a first layer which contains a phosphor and a second layer which contains a phosphor, is laminated on the first layer, and encapsulates the optical semiconductor element. The ratio of the volume of the phosphor in the first layer to that of the phosphor in the second layer is 90:10 to 55:45. | 12-25-2014 |
20150008462 | FLIP-CHIP LIGHT EMITTING DIODE PACKAGE MODULE AND MANUFACTURING METHOD THEREOF - The instant disclosure relates to a flip-chip LED package module and a method of manufacturing thereof. The method of manufacturing flip-chip LED package module comprises the following steps. A plurality of LEDs is disposed on a carrier. A packaging process is forming a plurality of transparent lens corresponding to LEDs and binding each other by a wing portion. A separating process is proceeding to form a plurality of flip-chip LED structures without the carrier. A bonding process is proceeding to attach at least one flip-chip LED structure on the circuit board. | 01-08-2015 |
20150008463 | FLUORIDE PHOSPHOR AND LIGHT EMITTING DEVICE USING THE SAME AND METHOD OF MANUFACTURING THE FLUORIDE PHOSPHOR - A fluoride phosphor activated with tetravalent Mn can absorb blue light and emit red light, and is represented by the general formula: K | 01-08-2015 |
20150008464 | LIGHT EMITTING DEVICE - A light emitting device comprising: a package having a recess; a light emitting element mounted in the recess of the package; a transmissive member provided above the light emitting element; a sealing resin that seals the recess of the package; a first fluorescent material contained in the transmissive member; and a second fluorescent material contained in the sealing resin and having a specific gravity different from that of the first fluorescent material, wherein a greater amount of the second fluorescent material is distributed to a side of the light emitting element than above the light emitting element, and a side surface of the light emitting element is exposed on the sealing resin. | 01-08-2015 |
20150008465 | REFLECTIVE ELECTRODE STRUCTURE, LIGHT EMITTING DEVICE AND PACKAGE - The present invention describes a buried reflective electrode with vias and mesh current spreader isolated by a reflective stack of dielectric layers (BREVMIRS). The BREVMIRS includes a reflective stack of dielectric layers, a conducting mesh, a transparent conducting layer and a first electrode layer with vias going through the stack of reflective dielectric layers, the conducting mesh and the transparent conducting layer. There is at least one via going through the conductive reflective mesh and transparent conducting electrode. The BREVMIRS may be integrated into semiconductor light emitting diode devices to improve the device efficiency and light output power. | 01-08-2015 |
20150008466 | WAVELENGTH CONVERTED LIGHT EMITTTING DEVICE - Embodiments of the invention include a semiconductor structure comprising a light emitting layer. The semiconductor structure is attached to a support such that the semiconductor structure and the support are mechanically self-supporting. A wavelength converting material extends over the sides of the semiconductor structure and the support, wherein the wavelength converting material has a substantially uniform thickness over the top and sides of the semiconductor structure and the support. | 01-08-2015 |
20150008467 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - There is provided a light-emitting device comprising a light-emitting element. The light-emitting device of the present invention comprises an electrode part for the light-emitting element; a reflective layer provided on the electrode part; and the light-emitting element provided on the reflective layer such that the light-emitting element is in contact with at least a part of the reflective layer, wherein the light-emitting element and the electrode part are in an electrical connection with each other by mutual surface contact via the at least a part of the reflective layer, wherein the electrode part serves as a supporting layer for supporting the light-emitting element, and wherein the electrode part extends toward the outside of the light-emitting element and beyond the light-emitting element. | 01-08-2015 |
20150008468 | LIGHT EMITTING DEVICES, SYSTEMS, AND METHODS OF MANUFACTURING - A light emitting device includes: a substrate; an n layer; an active light emitting region having a light emitting side; a p layer; a reflector opposite the light emitting side; and a plurality of microchannels configured to optically couple the active light emitting region with the reflector. | 01-08-2015 |
20150008469 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light emitting device includes a light emitting unit, a first and second conductive pillar, a sealing unit, and a first and second terminal. The light emitting unit includes a first and second semiconductor layer and a light emitting layer. The light emitting layer is provided on the first semiconductor layer. The second semiconductor layer is provided on the light emitting layer. The first conductive pillar is provided on the first semiconductor layer. The second conductive pillar is provided on the second semiconductor layer. The sealing unit covers side faces of each of the light emitting unit, the first conductive pillar, and the second conductive pillar. The first terminal is provided on the first conductive pillar and on the sealing unit. The second terminal is provided on the second conductive pillar and on the sealing unit. | 01-08-2015 |
20150008470 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a light emitting element, a phosphor layer, and a fluorescent reflection film. The phosphor layer has a transparent medium, a phosphor dispersed in the transparent medium, and a particle dispersed in the transparent medium. The phosphor is excited by the excitation light so as to emit a fluorescence. The particle is a magnitude of not more than 1/10 a wavelength of the excitation light. The particle has a different refractive index from a refractive index of the transparent medium. The fluorescent reflection film is provided between the light emitting element and the phosphor layer. The fluorescent reflection film has a higher reflectance with respect to a fluorescent wavelength of the phosphor, than a reflectance with respect to the wavelength of the excitation lights. | 01-08-2015 |
20150008471 | Contacting an Optoelectronic Semiconductor Component Through a Conversion Element and Corresponding Optoelectronic Semiconductor Component - A method for manufacturing an optoelectronic semiconductor component, comprising: providing a semiconductor chip in a composite wafer, comprising an active side for emitting a primary radiation and a contact terminal which is arranged on the active side; depositing a coupling element on the active side; attaching a luminescence conversion element, for converting part of the primary radiation into a secondary radiation, to the coupling element. | 01-08-2015 |
20150014718 | NON-CHIP LED ILLUMINATION DEVICE - A non-chip LED illumination device includes a retaining layer having one or more chambers for engaging with light emitting diode elements each of which include an outer surface and two terminals disposed on the outer surface of the light emitting diode element. A covering layer is engaged onto the retaining layer and the light emitting diode element and includes one or more openings aligned with the light emitting diode elements respectively. One or more fluorescent members of different colors are engaged into the openings of the covering layer for allowing the light generated by the light emitting diode elements to emit through the fluorescent members of different colors. | 01-15-2015 |
20150014719 | LIGHT-EMITTING DIODE CHIP - A light-emitting diode chip includes an illuminating body and a first phosphor layer. The first phosphor layer is disposed on the illuminating body, and the first phosphor layer includes multiple first phosphor powder groups and multiple second phosphor powder groups. The illuminating body has a first emission wavelength, the first phosphor powder groups have a second emission wavelength, and the second phosphor powder groups have a third emission wavelength. The first wavelength is smaller than the second emission wavelength, and the second emission wavelength is smaller than the third emission wavelength. | 01-15-2015 |
20150014720 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - A LED package structure including a carrier and a light emitting diode (LED) chip is provided. The LED chip includes a substrate, a patterned structure, a first semiconductor layer, an active layer and a second semiconductor layer. The substrate has a first surface and a second surface opposite to the first surface. The patterned structure is formed on the second surface of the substrate. The first semiconductor layer is disposed on the first surface of the substrate. The active layer is disposed on a portion of a surface of the first semiconductor layer, and other portion of the surface not covered by the active layer is exposed. The second semiconductor layer is disposed on the active layer. The LED chip is disposed on the carrier by way of flip-chip so that the first and the second semiconductor layers face towards the carrier. | 01-15-2015 |
20150014721 | LIGHT-EMITTING ELEMENT - A light-emitting element includes a light-emitting stack which has an active layer, and a non-oxide insulative layer below the light-emitting stack, wherein a refractive index of the non-oxide insulative layer is less than 1.4. | 01-15-2015 |
20150014722 | LED STRUCTURE, METALLIC FRAME OF LED STRUCTURE, AND CARRIER MODULE - A metallic frame of an LED structure includes two conductive frames spaced apart from each other with a gap and a plurality of extending arms respectively and integrally extended from the conductive frames. Each conductive frame includes a top surface, a bottom surface, and a lateral surface connecting the top and bottom surfaces. Each top surface comprises a sealed region and a mounting region surrounded by the sealed region, and the sealed and mounting regions of each conductive frame are defined by an insulating body. Each conductive frame has at least one slot concavely formed on the sealed region, and the lateral surface is formed with two openings and the slot is communicated with the two openings, such that the slot of each of the conductive frames is configured to separate at least one of the extending arms from the mounting region of the conductive frames. | 01-15-2015 |
20150014723 | OPTICAL TUNING OF LIGHT EMITTING SEMICONDUCTOR JUNCTIONS - Light emitting semiconductor junctions are disclosed. An exemplary light emitting junction has a first electrical contact coupled to a first side of the junction. The exemplary junction also has a second electrical contact coupled to a second side of the junction. The exemplary junction also has a region of set straining material that exerts a strain on the junction and alters both: (i) an optical polarization, and (ii) an emission wavelength of the junction. The region of set straining material is not on a current path between said first electrical contact and said second electrical contact. The region of set straining material covers a third side and a fourth side of the light emitting junction along a cross section of the light emitting junction. The light emitting semiconductor junction device comprises a three-five alloy. | 01-15-2015 |
20150014724 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device includes a light emitting structure including a plurality of compound semiconductor layers. A current spreading layer is provided under the light emitting structure, and a plurality of wavelength conversion structures is provided in the current spreading layer. An electrode layer is provided under the current spreading layer, and an electrode is provided on the light emitting structure. | 01-15-2015 |
20150014725 | PHOSPHOR AND LIGHT EMITTING DEVICE HAVING THE SAME - Disclosed are a phosphor and a light emitting device having the same. The light emitting device includes a light emitting chip, a plurality of phosphors to absorb a portion of light emitted from the light emitting chip and to emit lights having mutually different peak wavelengths, and a molding member provided on the light emitting chip and including the phosphors. The phosphors include a first phosphor to emit light having a first peak wavelength, a second phosphor to absorb the portion of the light emitted from the light emitting chip and to emit light having a second peak wavelength, and a third phosphor to absorb the portion of the light emitted from the light emitting chip and to emit light having a third peak wavelength. The first to third peak wavelengths have mutually different color spectrums, and a light emission spectrum in which the first to third peak wavelengths are mixed with each other has a luminous intensity having a substantially flat section in at least 30 nm at a peak wavelength thereof. | 01-15-2015 |
20150014726 | PHOSPHOR, METHOD FOR PRODUCING PHOSPHOR AND LIGHT EMITTING DEVICE - The present invention provides a phosphor comprising a europium-activated sialon crystal having a basic composition represented by a formula: (Sr | 01-15-2015 |
20150014727 | Silicone-Grafted Core-Shell Particles, Polymer Matrix, and LED Containing Same - A silicone-grafted core-shell particle is described wherein the silicone-grafted core-shell particle comprises a core of an inorganic particle and a shell of a grafted poly(dimethylsiloxane) polymer formed from a bi-terminated poly(dimethylsiloxane) having reactive groups at each terminal end. The silicone-grafted core-shell particles may be dispersed in a polysiloxane polymer matrix and employed as an LED encapsulant. | 01-15-2015 |
20150014728 | Phosphor-matrix composite powder for minimizing light scattering and led structure including the same - This invention relates to a phosphor-matrix composite powder for minimizing light scattering and to an LED structure including the same, wherein the phosphor-matrix composite powder satisfying certain relation is prepared and the LED structure including the same is manufactured, thus minimizing light scattering and reflection and maximizing package efficiency. | 01-15-2015 |
20150014729 | RESIN COMPOSITION FOR REFLECTING LIGHT, SUBSTRATE FOR MOUNTING OPTICAL SEMICONDUCTOR ELEMENT, AND OPTICAL SEMICONDUCTOR DEVICE - A resin composition for reflecting light of the present invention includes an epoxy resin B having a unit structure X of alicyclic acid anhydride and a unit structure Y of hydrogenated bisphenol, and a colorant. The epoxy resin B preferably further has a unit structure Z of bisphenol-type epoxy. In addition, the resin composition for reflecting light of the present invention preferably further includes an epoxy resin A having a structure represented by the following formula (1). Then, when a content of the epoxy resin A is M [% by mass], and a content of the epoxy resin B is N [% by mass], it is preferable to satisfy a relationship of 0.1≦M/N≦10. | 01-15-2015 |
20150014730 | LIGHT-EMITTING DIODE - The invention relates to a light-emitting diode comprising a body ( | 01-15-2015 |
20150014731 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package includes: a package body having a first cavity; an electrode layer comprising a first electrode and a second electrode which are electrically isolated from each other; a light emitting device electrically connected to the electrode layer on the package body; a protective device disposed in a second cavity formed at the package body and electrically connected to the electrode layer; a reflective layer on the protective device; and a molding part on the light emitting device, wherein at least one of the first electrode and the second electrode is disposed on the package body. | 01-15-2015 |
20150014732 | TEXTURED PHOSPHOR CONVERSION LAYER LIGHT EMITTING DIODE - This invention is related to LED Light Extraction for optoelectronic applications. More particularly the invention relates to (Al, Ga, In)N combined with optimized optics and phosphor layer for highly efficient (Al, Ga, In)N based light emitting diodes applications, and its fabrication method. A further extension is the general combination of a shaped high refractive index light extraction material combined with a shaped optical element. | 01-15-2015 |
20150014733 | LED LIGHTING APPARATUS AND METHOD FOR FABRICATING WAVELENGTH CONVERSION MEMBER FOR USE IN THE SAME - A light-emitting diode (LED) lighting apparatus is provided. The LED lighting apparatus includes at least one LED, and a wavelength conversion member spaced apart from the LED and configured to convert a wavelength of light emitted from the LED. The wavelength conversion member includes a light-transmitting member, and a transfer molded wavelength conversion layer disposed on at least one surface of the light-transmitting member. The transfer molded wavelength conversion layer includes a resin and a phosphor. | 01-15-2015 |
20150014734 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - A light emitting device according to the embodiment includes a first electrode; a light emitting structure including a first semiconductor layer, an active layer and a second semiconductor layer on the first electrode; a second electrode on the light emitting structure; and a control switch installed on the light emitting structure to control the light emitting structure. | 01-15-2015 |
20150021639 | LIGHT EMITTING DIODE STRUCTURE - A light emitting diode structure including a substrate, a semiconductor epitaxial layer and a reflective conductive structure layer is provided. The semiconductor epitaxial layer is disposed on the substrate and exposes a portion of the substrate. The reflective conductive structure layer covers a part of the semiconductor epitaxial layer and the portion of the substrate exposed by the semiconductor epitaxial layer. | 01-22-2015 |
20150021640 | LIGHT-EMITTING DEVICE - A light-emitting device includes a lead frame, a white resist, a light-emitting element, and a wire. The white resist is provided on the lead frame to be in contact with the lead frame. The white resist has an opening to expose the lead frame. The light-emitting element is disposed on the white resist and includes a transparent substrate and a semiconductor layer. The transparent substrate is bonded to the white resist via a bonding member. The semiconductor layer is provided on the transparent substrate. The wire connects the light-emitting element and the lead frame at the opening. | 01-22-2015 |
20150021641 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate member, a light emitting element, a resin member, an insulating layer and a fluorescent material layer. The light emitting element is arranged on the substrate member. The resin member surrounds sides of the light emitting element, and has a top portion located higher than a light emission surface of the light emitting element. The insulating layer covers the light emission surface of the light emitting element and an outer wall surface and an inner wall surface of the top portion of the resin member. The fluorescent material layer covers a surface of the insulating layer. | 01-22-2015 |
20150021642 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A light emitting device has a substrate including a pair of connection terminals at least on a first main surface of the substrate a light emitting element connected to the connection terminals by a molten material, and a light reflecting member covering the light emitting element, at least one of the connection terminals including a protruding portion configured to project from a first main surface of the connection terminal at a region which is connected with the light emitting element, the protruding portion and the molten material being embedded into the light reflecting member. | 01-22-2015 |
20150021643 | SURFACE-MODIFIED-METAL-OXIDE-PARTICLE MATERIAL, COMPOSITION FOR SEALING OPTICAL SEMICONDUCTOR ELEMENT, AND OPTICAL SEMICONDUCTOR DEVICE - There is provided a surface-modified-metal-oxide-particle material including surface-modified-metal-oxide-particles obtained by performing surface modification on metal oxide particles with a surface-modifying material, in which the surface-modifying material includes a predetermined silicone compound, an average primary particle diameter of the metal oxide particles is 3 nm to nm, viscosity at 25° C. is 1000 Pa·s or less, and transmittance of light at a wavelength of 400 nm to 800 nm and a thickness of 1 mm is 60% or greater, a composition for sealing optical semiconductor element, and an optical semiconductor device using the same. | 01-22-2015 |
20150021644 | LIGHT EMITTING DEVICE PACKAGE AND LIGHT UNIT HAVING THE SAME - Disclosed is a light emitting device package. The light emitting device package includes a package body having a first cavity and a second cavity; a plurality of reflective frames comprising a first reflective frame and a second reflective frame on the first cavity and the second cavity, respectively, and each of the first reflective frame and the second reflective frame comprises a bottom frame and at least two side wall frames extending from the bottom frame; and a light emitting device on the first reflective frame, wherein the first reflective frame and the second reflective frame are electrically separated from each other. | 01-22-2015 |
20150021645 | LIGHT EMITTING PACKAGE HAVING A GUIDING MEMBER GUIDING AN OPTICAL MEMBER - A light emitting package, includes a base; a light emitting device on the base; an electrical circuit layer electrically connected to the light emitting device; an optical member formed of a light transmissive material; and a guiding member guiding the optical member, the guiding member including an opening, a first portion disposed on the uppermost surface of the base, and a second portion connected to an edge portion of the optical member. The first portion of the guiding member is positioned higher than a bottom surface of the optical member, an uppermost surface of the base is closer to the first portion of the guiding member than the second portion of the guiding member, and the edge portion of the optical member is closer to the second portion of the guiding member than the first portion of the guiding member. | 01-22-2015 |
20150021646 | LIGHT EMITTING DEVICE PACKAGE INCLUDING A SUBSTRATE HAVING AT LEAST TWO RECESSED SURFACES - A light emitting device package is disclosed, which includes a first via hole and a second via hole disposed lower than a light emitting part, the first via hole and the second via hole are disposed at an outer area of the light emitting part, a bottom metal includes a first bottom metal, a second bottom metal, and a third bottom metal between the first bottom metal and the second bottom metal, a first conductive metal electrically connected to the first bottom metal through the first via hole and a second conductive metal electrically connected to the second bottom metal through the second via hole. Further, the first bottom metal, the second bottom metal are apart from the third bottom metal at a bottom surface of the substrate, and the third bottom metal is not electrically connected to the second conductive type metal layer. | 01-22-2015 |
20150021647 | LUMINOUS ELEMENT - A light emitting device according to the embodiment includes a first conductive semiconductor layer; an active layer over the first conductive semiconductor layer; a second conductive semiconductor layer over the active layer; a bonding layer over the second conductive semiconductor layer; a schottky diode layer over the bonding layer; an insulating layer for partially exposing the bonding layer, the schottky diode layer, and the first conductive semiconductor layer; a first electrode layer electrically connected to both of the first conductive semiconductor layer and the schottky diode layer; and a second electrode layer electrically connected to the bonding layer. | 01-22-2015 |
20150028370 | LIGHT SOURCE HAVING LIQUID ENCAPSULANT - In one embodiment, a light source comprising a substrate, a die, a liquid encapsulant, an attachment member and a resilient cover configured to hold the liquid encapsulant is disclosed. At least a portion of the resilient cover is easily stretchable so as to absorb size increment of the liquid encapsulant due to thermal expansion. One other embodiment discloses a light-emitting device comprising a die, a liquid encapsulant and the resilient cover. The resilient cover may comprise a dome shaped portion, a vertical portion and a thermal joint portion. In another embodiment, a lighting apparatus having similar resilient cover is disclosed. The resilient cover may further comprise a thermal joint portion having first and second indentations for absorbing thermal expansion. | 01-29-2015 |
20150028371 | PACKAGE STRUCTURE OF OPTICAL MODULE - A package structure of an optical module is provided and includes: a light-emitting chip and a light-admitting chip which are disposed at a light-emitting region and a light-admitting region of a substrate, respectively; two encapsulants for enclosing the light-emitting chip and the light-admitting chip, respectively, and forming hemispherical first and second lens portions above the light-emitting chip and the light-admitting chip, respectively; a cover disposed on the substrate and the encapsulants and having a light-emitting hole and a light-admitting hole, wherein the light-emitting hole and the light-admitting hole are positioned above the light-emitting chip and the light-admitting chip, respectively, and the first and second lens portions are received in the light-emitting hole and the light-admitting hole, respectively. The encapsulants of the optical module package structure can be of unequal curvature as needed to enhance light emission efficiency of the light-emitting chip and enhance reception efficiency of the light-admitting chip. | 01-29-2015 |
20150028372 | LIGHT EMITTING DEVICE PACKAGE AND PACKAGE FOR MOUNTING LIGHT EMITTING DEVICE - A package for mounting a light emitting device thereon. The package includes a substrate, a light emitting device mounting part including a wiring formed on one surface of the substrate, the wiring including two areas that are arranged facing each other and being separated a predetermined interval apart from each other in a plan view, first and second through-wirings that penetrate the substrate and are provided on the two areas, respectively, each of the first and second through-wirings including one end electrically connected to the light emitting device mounting part and another end exposed from another surface of the substrate. A part of each of the first and second through-wirings includes a maximum part having a plan-view shape that is larger than a plan-view shape of the one end of each of the first and second through-wirings. | 01-29-2015 |
20150028373 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING LIGHT EMITTING DEVICE - A light emitting device includes a light emitting element configured to emit visible light; a fluorescent substance excited by light from the light emitting element and configured to emit visible light; a translucent member containing a translucent base material, which provided on the fluorescent substance or configured to contain the fluorescent substance, and provided on the light emitting element; and a film provided on an upper surface of the translucent member, and configured as an agglutination of nanoparticles having a different refractive index from the base material. | 01-29-2015 |
20150028374 | LIGHT-EMITTING ELEMENT AND THE MANUFACTURING METHOD OF THE SAME - The present application discloses a light-emitting element comprising a semiconductor light-emitting stack emitting a first light which has a first color coordinate, a first wavelength conversion material on the semiconductor light-emitting stack converting the first light to emit a second light, and a second wavelength conversion material on the first wavelength conversion material converting the second light to emit a third light. The first light and the second light are mixed to be a fourth light having a second color coordinate. The third light and the fourth light are mixed to be a fifth light having a third color coordinate, and the second color coordinate locates at the top right of the first color coordinate and the third color coordinate locates at the top right of the second color coordinate. | 01-29-2015 |
20150028375 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - The present invention relates to a light-emitting device that is capable of preventing an increase in forward voltage while improving optical output characteristics, and to a method for manufacturing same. The light-emitting device comprises: a first conductive semiconductor layer; an active layer which is in contact with the first conductive semiconductor layer; a second conductive semiconductor layer which is in contact with the active layer and which has a patterned groove on a surface opposite the surface that is in contact with the active layer; a current-blocking layer which is formed on a bottom of the groove; a transparent conductive layer which is formed along a surface opposite the surface of the second conductive semiconductor layer that is in contact with the active layer, a sidewall of the groove, and the current-blocking layer; a reflective layer which is formed on a surface opposite the surface of the transparent conductive layer that is in contact with the second conductive semiconductor layer; a support substrate which is formed on a surface opposite the surface of the reflective layer that is in contact with the transparent conductive layer; and an electrode that is patterned on a surface opposite the surface of the first conductive semiconductor layer that is in contact with the active layer. | 01-29-2015 |
20150034983 | LIGHT EMITTING DEVICE AND METHOD FOR PRODUCING SAME - There is provided a light emitting device highly resistant to the environment, and having good heat resistance, light resistance and gas barrier property, and a method for producing same. With the light emitting device, a substrate | 02-05-2015 |
20150034984 | METHOD AND APPARATUS FOR MOLDING ENCAPSULANT OF LIGHT EMITTING DEVICE - Disclosed is an apparatus for forming an encapsulation material for a light emitting device. The apparatus for forming an encapsulation material comprises: an upper mold on which is mounted a substrate having a plurality of optical semiconductors; a lower mold arranged opposite the upper mold; a resin-capture space for capturing a resin between the upper mold and the lower mold; and an ejector pin for dividing the resin-capture space into a plurality of spaces at the position where the encapsulating material is formed, thereby dividing the encapsulation material into a plurality of parts formed on the substrate. | 02-05-2015 |
20150034985 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, the optical layer has a larger planar size than the semiconductor layer. The optical layer is transmissive to emission light of the light emitting layer. The first insulating film is provided on a side surface of the semiconductor layer continued from the first surface. The metal film includes a first reflective part covering the side surface of the semiconductor layer via the first insulating film. The metal film includes a second reflective part opposed to the optical layer in a region around the side surface of the semiconductor layer and extending from the first reflective part toward a side opposite from the side surface of the semiconductor layer. | 02-05-2015 |
20150034986 | LED PACKAGE - An LED package includes a chip carrier, an adhesive layer, one high-voltage LED die, and an encapsulating member. The chip carrier defines a receiving space. The adhesive layer is disposed in the receiving space and has a thermal conductivity of larger than or equal to 1 W/mK. The high-voltage LED die is attached to the adhesive layer to be received in the reflective space and has a top surface formed with a trench. The trench of the high-voltage LED die is disposed at an optical center of the receiving space. The encapsulating member encapsulates the high-voltage LED die and includes a plurality of diffusers. The trench is embedded with the encapsulating member and has a width ranging from 1 μm to 10 μm and a depth of less than or equal to 50 μm. | 02-05-2015 |
20150034987 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE - A semiconductor device includes a substrate; a light emitting element flip-chip mounted on the substrate; a phosphor-containing member provided at least above the light emitting element and separated from the light emitting element; and a first reflecting member configured to cover the phosphor-containing member, at least one of a side faces of the light emitting device having an opening for extracting light emitted from the light emitting element and light wavelength-converted by the phosphor-containing member. | 02-05-2015 |
20150034988 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE - Disclosed are a light emitting device and a light emitting device package. The light emitting device includes a first electrode, a light emitting structure including a first semiconductor layer, an active layer, and a second semiconductor layer on the first electrode, a nano-tube layer including a plurality of carbon nano tubes on the light emitting structure, and a second electrode on the light emitting structure. | 02-05-2015 |
20150034989 | ANISOTROPIC CONDUCTIVE ADHESIVE AND METHOD FOR MANUFACTURING SAME, LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - an anisotropic conductive adhesive which uses conductive particles where a silver-based metal is used as a conductive layer, having high light reflectance and excellent migration resistance is provided. The anisotropic conductive adhesive includes light reflective conductive particles in an insulating adhesive resin. The light reflective conductive particle includes a light reflective metal layer made of a metal alloy including silver, gold and hafnium formed on the surface of a resin particle as a core by sputtering method. The light reflective metal layer is preferably formed having a composition ratio of a silver of at least 50% by weight to at most 80% by weight: a gold of at least 10% by weight to at most 45%: a hafnium of at least 10% by weight to at most 40% by weight, and a total ratio does not exceed 100% by weight. | 02-05-2015 |
20150034990 | CONTROLLING LED EMISSION PATTERN USING OPTICALLY ACTIVE MATERIALS - A light emission device comprising a light emitting element, a wavelength conversion (e.g. phosphor) element, and a filter that reduces Color over Angle (CoA) effects by at least partially reflecting light from the light emitting element that strike the filter at near-normal angles of incidence. In some embodiments, a combined phosphor and filter layer is formed over the LED die. The filter may comprise a dispersion of self-aligning moieties, such as dielectric platelets in a film that is vacuum laminated to the LED structure. Xirallic® Galaxy Blue pigment, comprising an aluminium oxide core coated on both sides with thin films of SnO | 02-05-2015 |
20150034991 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a light emitting structure including a first conductive type semiconductor layer, a second conductive type semiconductor layer, and an active layer between the first conductive type semiconductor layer and the second conductive type semiconductor layer and a light extraction pattern in which a period (a) exceeds λ/n (where, λ is a wavelength of light emitted from the active layer, and n is a refractive index of the light emitting structure) on the light emitting structure. The period (a) may be in the range of 5×(λ/n) | 02-05-2015 |
20150034992 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate, metallization, a light emitting element, conducting wire, light reflective resin, and insulating material. The metallization is provided on a surface of the substrate that is made of insulating substance. The light emitting element is mounted on the substrate. The conducting wire electrically connects the metallization and the light emitting element. The light reflective resin is provided on the substrate to reflect light from the light emitting element. The insulating material covers at least part of metallization surfaces. The insulating material is established to come in contact with a side of the light emitting element. | 02-05-2015 |
20150034993 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a substrate, an LED chip mounted on the substrate, and a resin package covering the LED chip. The substrate includes a base and a wiring pattern formed on the base. The resin package includes a lens. The base includes an upper surface, a lower surface and a side surface extending between the upper surface and the lower surface. The LED chip is mounted on the upper surface of the base. The side surface of the base is oriented in a lateral direction. The wiring pattern includes a pair of first mount portions and a pair of second mount portions. The paired first mount portions are formed on the lower surface of the base. The paired second mount portions are oriented in the lateral direction and offset from the side surface of the base in the lateral direction. | 02-05-2015 |
20150034994 | LIGHT-EMITING DEVICE, LIGHT-EMITING DEVICE PACKAGE, METHOD OF MANUFACTURING LIGHT-EMITING DEVICE, AND METHOD OF PACKAGING LIGHT-EMITING DEVICE - A light-emitting device including a phosphor layer, a light-emitting device package employing the light-emitting device, a method of manufacturing the light-emitting device, and a method of packaging the light-emitting device. The light-emitting device includes: a light-transmissive substrate having a top surface, a bottom surface, and side surfaces; a light-emitting unit formed on the top surface of the light-transmissive substrate; and a phosphor layer covering all the side surfaces of the light-transmissive substrate. According to the present invention, chromaticity inferiorities of light emitted from side surfaces of a substrate may be reduced. | 02-05-2015 |
20150041837 | LENS ELEMENT FOR DISTRIBUTING LIGHT WITH A UNIFORM INTENSITY DISTRIBUTION AND LIGHTING DEVICE COMPRISED THEREOF - Embodiments of a lens element have a body that incorporates geometry that defines features for surfaces that receive and transmit light, e.g., from a light emitting diode (LED) device. These features include, for example, curves, bends, arcs, and like curvilinear objects and/or shapes. These embodiments employs these features to change the direction of light that transits the body. These changes can reflect, refract, and/or re-direct light, thus rendering light that emits from the lens element with one or more light distributing characteristics. In one embodiment, the body comprises a cavity with an inner surface that has a first section and a second section that curve, respectively, with positive concavity and with negative concavity relative to the LED device. | 02-12-2015 |
20150041838 | LENS AND LED UNIT USING THE SAME - A lens includes a bottom face, a light incident face defined in the bottom face, two opposite first lateral faces, two opposite second lateral faces and a light emerging face. The two first lateral faces are located adjacent to the light incident face, and the two second lateral faces are located away from the light incident face. The light emerging face includes two convex faces and a concave face interconnecting the two convex faces. The light emerging face has a light diverging capability along a first direction larger than that along a second direction perpendicular to the first direction. | 02-12-2015 |
20150041839 | ELECTRON BEAM CURABLE RESIN COMPOSITION, RESIN FRAME FOR REFLECTORS, REFLECTOR, SEMICONDUCTOR LIGHT EMITTING DEVICE, AND METHOD FOR PRODUCING MOLDED BODY - Provided are an electron beam curable resin composition including polymethylpentene, and a crosslinking agent, in which the crosslinking agent has a saturated or unsaturated ring structure, at least one atom among atoms forming at least one ring is bonded to any allylic substituent of an allyl group, a methallyl group, an allyl group through a linking group, and a methallyl group through a linking group, and a molecular weight is 1,000 or less, a resin frame for reflectors using the resin composition, a reflector, and a molding method using the resin composition. | 02-12-2015 |
20150041840 | Optoelectronic Semiconductor Component, and Method for the Manufacture of an Optoelectronic Semiconductor Component - In at least one embodiment, the semiconductor component includes a semiconductor layer sequence with an active layer for generating an electromagnetic radiation. The semiconductor component includes a radiation-permeable element and a connecting element. The connecting element is layered in form and connects the radiation-permeable element and the semiconductor layer sequence to another mechanically. The connecting element is designed to be passed through by at least one part of the radiation generated in the active layer. A refractive index of the connecting means deviates from a refractive index of the semiconductor layer sequence by a maximum of 25%. The connecting element includes at least two principal components, which are solids at a temperature of 300 K. At least one of the principal components has a melting temperature of no more than 750 K. | 02-12-2015 |
20150041841 | PHOSPHOR IN INORGANIC BINDER FOR LED APPLICATIONS - A method for fabricating an LED/phosphor structure is described where an array of blue light emitting diode (LED) dies are mounted on a submount wafer. A phosphor powder is mixed with an organic polymer binder, such as an acrylate or nitrocellulose. The liquid or paste mixture is then deposited over the LED dies or other substrate as a substantially uniform layer. The organic binder is then removed by being burned away in air, or being subject to an O2 plasma process, or dissolved, leaving a porous layer of phosphor grains sintered together. The porous phosphor layer is impregnated with a sol-gel (e.g., a sol-gel of TEOS or MTMS) or liquid glass (e.g., sodium silicate or potassium silicate), also known as water glass, which saturates the porous structure. The structure is then heated to cure the inorganic glass binder, leaving a robust glass binder that resists yellowing, among other desirable properties. | 02-12-2015 |
20150041842 | INORGANIC LAYER LIGHT-EMITTING DEVICE - There is provided an inorganic layer light-emitting device including: a light-emitting layer including an emission body made of an inorganic material; and a metal-based particle assembly layer being a layer consisting of a particle assembly including 30 or more metal-based particles separated from each other and disposed in two-dimensions, said metal-based particles having an average particle diameter in a range of from 200 to 1600 nm, an average height in a range of from 55 to 500 nm, and an aspect ratio in a range of from 1 to 8, and said metal-based particles that compose said metal-based particle assembly layer are disposed such that an average distance between adjacent metal-based particles is in a range of from 1 to 150 nm. The inorganic layer light-emitting device exhibits high luminous efficiency through emission enhancement and improvement in light extraction efficiency. | 02-12-2015 |
20150041843 | DEEP-RED LIGHT-EMITTING MAGNESIUM FLUORO-GERMANATE FLUOROESCENT BODY AND METHOD FOR PRODUCING SAME - The deep red light-emitting magnesium fluorogermanate phosphor prepared by calcining a mixture comprising a fine magnesium oxide powder having a BET specific surface area in the range of 5-200 m | 02-12-2015 |
20150041844 | LIGHT EMITTING DEVICE - A light emitting device has a lens, extended to outside of the mounting substrate on which a semiconductor a light emitting element is mounted, and leakage of light is reduced. A light emitting element, a substrate having the light emitting element mounted on its upper surface, and a lens, having a curved upper surface encloses the light emitting element and the upper surface of the substrate is included. From the bottom surface of the lens, a lower surface of the substrate is exposed. In a top view from a perpendicular direction to the upper surface of the substrate, the bottom surface of the lens includes an outer extending portion where the bottom surface is extended to outside of the substrate, and a inclined portion, which inclines with respect to a direction approximately in parallel to the upper surface of the substrate, at an end portion of the outer extending portion. | 02-12-2015 |
20150048397 | TRANSPARENT ELECTRON BLOCKING HOLE TRANSPORTING LAYER - A light emitting diode includes an active region configured to emit light, a composite electrical contact layer, and a transparent electron blocking hole transport layer (TEBHTL). The composite electrical contact layer includes two materials. At least one of the two materials is a metal configured to reflect a portion of the emitted light. The TEBHTL is arranged between the composite electrical contact layer and the active region. The TEBHTL has a thickness that extends at least a majority of a distance between the active region and the composite electrical contact layer. The TEBHTL has a band-gap greater than a band-gap of light emitting portions of the active region. The band-gap of the TEBHTL decreases as a function of distance from the active region to the composite electrical contact layer over a majority of the thickness of the TEBHTL. | 02-19-2015 |
20150048398 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - To provide a light-emitting device that is provided with an optical member firmly bonded to a semiconductor light-emitting element and has a high light extraction efficiency, the light-emitting device includes a light-emitting element having a semiconductor layer and an optical member bonded to the light-emitting surface of the light-emitting element with a metal film being interposed therebetween wherein the metal film has a thickness in a film-forming rate conversion not less than 0.05 nm nor more than 2 times of an atomic diameter of the metal atoms forming the metal film. | 02-19-2015 |
20150048399 | COATED NARROW BAND RED-EMITTING FLUOROSILICATES FOR SMECONDUCTRO LEDS - The invention provides a lighting unit comprising a light source, configured to generate light source light and a particulate luminescent material, configured to convert at least part of the light source light into luminescent material light, wherein the light source comprises a light emitting diode (LED), wherein the particulate luminescent material comprises particles comprising cores, said cores comprising a phosphor comprising M′ | 02-19-2015 |
20150048400 | METHOD OF PRODUCING AN OPTOELECTRONIC SEMICONDUCTOR CHIP - A method of producing an optoelectronic semiconductor chip includes growing an optoelectronic semiconductor layer sequence on a growth substrate, forming an electrically insulating layer on a side of the optoelectronic semiconductor layer sequence facing away from the growth substrate by depositing particles of an electrically insulating material by an aerosol deposition method, and at least partly removing the growth substrate after forming the electrically insulating layer. | 02-19-2015 |
20150048401 | Ceramic Composite for Light Conversion and Light-Emitting Device Using Same - A ceramic composite for light conversion, which can make the fluorescence dominant wavelength longer up to 580 nm, further arbitrarily adjust the wavelength in the range of 570 to 580 nm, and undergoes no decrease in fluorescence intensity even when the fluorescence dominant wavelength is made longer, with luminescence unevenness suppressed. A light-emitting device comprising ceramic composite mentioned above. The ceramic composite for light conversion is a solidified body including a composition expressed by the following formula (1), where the composition has a structure where at least two oxide phases of a first phase and a second phase are continuously and three-dimensionally entangled mutually, and the ceramic composite for light conversion is characterized in that the first phase is a (Tb, Y) | 02-19-2015 |
20150048402 | LIGHT-EMITTING DEVICE - A light-emitting device in accordance with the present invention includes a mounting substrate; an LED chip bonded to a surface of the mounting substrate with a bond; and an encapsulating portion covering the LED chip. The bond transmits light from the LED chip. The mounting substrate includes: a light-transmissive member having a planar size larger than that of the LED chip; and first and second penetrating wirings which penetrate the light-transmissive member in the thickness direction thereof and are electrically connected to first and second electrodes of the LED chip via first and second wires, respectively. The light-transmissive member includes at least two light-transmissive layers with different optical properties which are stacked in the thickness direction. A light-transmissive layer of the light-transmissive layers which is farther from the LED chip is higher in reflectance to the light. | 02-19-2015 |
20150048403 | METHODS FOR MAKING OPTICAL COMPONENTS, OPTICAL COMPONENTS, AND PRODUCTS INCLUDING SAME - Methods for making multiple hermetically sealed optical components are disclosed. Methods for making an individual hermetically sealed optical component are disclosed. An individual hermetically sealed optical component and products including same are also disclosed. | 02-19-2015 |
20150048404 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a first semiconductor layer, a second semiconductor layer, a light emitting layer, a bonding pad, a narrow wire electrode and a first insulating layer. The light emitting layer is provided between the first semiconductor layer and the second semiconductor layer and is in contact with the first semiconductor layer. The narrow wire electrode includes a first portion and a second portion. The first portion is provided on a surface of the first semiconductor layer not in contact with the light emitting layer and is in ohmic contact with the first semiconductor layer. The second portion is provided on the surface and located between the first portion and the bonding pad. The narrow wire electrode is electrically connected to the bonding pad. The first insulating layer is provided between the second portion and the first semiconductor layer. | 02-19-2015 |
20150048405 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING SYSTEM - Provided are a light emitting device, a light emitting device package, and a lighting system. The light emitting device includes a substrate, a light emitting structure layer, a second electrode, a first electrode, a contact portion, and a first electrode layer. The first electrode is disposed in the substrate from a lower part of the substrate to a lower part of a first conductive type semiconductor layer in a region under an active layer. The contact portion is wider than the first electrode and makes contact with the lower part of the first conductive type semiconductor layer. The first electrode layer is disposed under the substrate and connected to the first electrode. | 02-19-2015 |
20150048406 | PHOSPHOR AND LIGHT-EMITTING DEVICE - A phosphor has the general formula (M | 02-19-2015 |
20150048407 | OPTOELECTRONIC CHIP-ON-BOARD MODULE - A method is proposed for coating an optoelectronic chip-on-board module including a flat substrate populated with one or more optoelectronic components having at least one primary optical arrangement and optionally at least one secondary optical arrangement. The optoelectronic chip-on-board module is coated with a transparent, UV-resistant, and temperature-resistant coating made of silicone by the following steps: (a) casting a liquid silicone into a mold open towards the top and having outer dimensions corresponding to or exceeding outer dimensions of the substrate; (b) inserting the substrate into the mold, wherein the optoelectronic component(s) are immersed completely into the silicone and a surface of the substrate contacts the silicone completely or the substrate immerses into the silicone at least partially with full surface contact; (c) curing and cross-linking the silicone with the optoelectronic component(s) and the substrate; and (d) removing the substrate from the mold with the coating of cured silicone. | 02-19-2015 |
20150054009 | LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING SAME - An LED packaging includes a substrate having a top surface and a bottom surface opposite to the top surface, a recess defined in the top surface, an LED mounted on the top surface of the substrate, a zener diode received in the recess, and a reflecting layer formed in the recess and enclosing the zener diode therein. | 02-26-2015 |
20150054010 | PHOSPHOR AND LIGHT-EMITTING DEVICE - A high-brightness phosphor having high-temperature characteristics and long-term reliability, and a white light-emitting device using this phosphor are provided. The phosphor contains a silicate phosphor (A) having a peak wavelength of at least 525 nm but not higher than 535 nm and fluorescence intensity of at least 250% but not higher than 270%; an oxynitride phosphor (B) having a peak wavelength of at least 540 nm but not higher than 545 nm and fluorescence intensity of at least 260% but not higher than 280%; and an nitride phosphor (C) having a peak wavelength of at least 615 nm but not higher than 625 nm, wherein the amount of the silicate phosphor (A) is at least 20% but not higher than 35% by mass, the amount of the oxynitride phosphor (B) is at least 50% but not higher than 70% by mass, and the amount of the nitride phosphor (C) is at least 10% but not higher than 20% by mass. | 02-26-2015 |
20150054011 | LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a base, a light emitting element, a resin layer, a fluorescent material layer, and a lens layer. The base has an under surface, a top surface, and a side surface. The light emitting element is provided on the top surface of the base. The resin layer contacts a side surface of the light emitting element and the top surface of the base. A thickness of the resin layer is thinner from the side surface of the light emitting element toward the side surface of the base. The resin layer has light reflection particle dispersed. The fluorescent material layer is provided on the light emitting element and the resin layer. The lens layer is provided on the base and covers the fluorescent material layer. | 02-26-2015 |
20150054012 | LED DIE AND METHOD OF MANUFACTURING THE SAME - An LED die includes a substrate, a first buffer layer, a second buffer layer, a plurality of nanospheres, a first semiconductor layer, an active layer and a second semiconductor layer. The first buffer layer, the second buffer layer, the first semiconductor layer, the active layer and the second semiconductor layer are formed successively on the substrate. The substrate has a plurality of protrusions on a surface thereof. The nanospheres are located on the protrusions and covered by the second buffer layer and located in the second buffer layer. The present disclosure also provides a method of manufacturing an LED die. | 02-26-2015 |
20150054013 | LIGHT EMITTING DEVICE MODULE - A light emitting device module including a first and second lead frames, a light emitting device electrically connected to the first and second lead frames, the light emitting device includes a light emitting structure having a first conduction type semiconductor layer, an active layer, and a second conduction type semiconductor layer, a resin layer surrounding the light emitting device, a PSR (photo solder resist) layer disposed between the first and second lead frames and the second lead frame and a sidewall disposed at the peripheral area of the light emitting device and including an inclined plane formed on at least one side surface thereof. | 02-26-2015 |
20150054014 | Solid-State Light-Emitting Element, Light-Emitting Device, and Lighting Device - A solid-state light-emitting element includes a structure body having a property of transmitting visible light and an uneven structure on each of the top side and the bottom side thereof; a high refractive index material layer provided on one surface of the structure body; and a light-emitting body with a refractive index of greater than or equal to 1.6 provided over the high refractive index material layer. One surface of the high refractive index material layer is flatter than the other surface thereof which is in contact with the structure body. The refractive index of the high refractive index material layer is greater than or equal to 1.6. The refractive index of the structure body is greater than 1.0 and less than that of the high refractive index material layer. | 02-26-2015 |
20150054015 | LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME - Disclosed are a light emitting device. The light emitting device includes a light emitting structure including a first conductive semiconductor layer, a second conductive semiconductor layer, and an active layer, an electrode connected to the first conductive semiconductor layer. First to third conductive layers are disposed under the second conductive semiconductor layer. A protective layer is disposed outward further than the first conductive layer. A support member is disposed under the third conductive layer. A buffer layer is disposed between protective layer and the third conductive layer. The protective layer includes a first opening, a first portion, and a second portion. The second portion of the protective layer and the buffer layer is overlapped with the third conductive layer and is disposed outwardly further than a lateral surface of the first conductive layer. | 02-26-2015 |
20150054016 | LIGHT EMITTING STRUCTURE AND MANUFACTURING METHOD THEREOF - A light-emitting structure comprises a semiconductor light-emitting element which includes a first connection point and a second connection point. The light-emitting structure further includes a first electrode electrically connected to the first connection point, and a second electrode electrically connected the second connection point. The first electrode and the second electrode can form a concave on which the semiconductor light-emitting element is located. | 02-26-2015 |
20150060909 | LIGHT-EMITTING DEVICE AND THE MANUFACTURING METHOD THEREOF - A light-emitting device comprises: a first semiconductor layer; a transparent conductive oxide layer including a diffusion region having a first metal material and a non-diffusion region devoid of the first metal material, wherein the non-diffusion region is closer to the first semiconductor layer than the diffusion region; and a metal layer formed on the transparent conductive oxide layer, wherein the metal layer is pervious to a light emitted from the active layer and comprises a pattern. | 03-05-2015 |
20150060910 | Conductive Transparent Reflector - Methods to improve the reflection of light emitting devices are disclosed. A method consistent with the present disclosure includes forming a light generating layer over a site-isolated region of a substrate. Next, forming a first transparent conductive layer over the light generating layer. Forming a low refractive index material over the first transparent conductive layer, and in time, forming a second transparent conductive layer over the low refractive index material. Subsequently, forming a reflective material layer thereon. Accordingly, methods consistent with the present disclosure may form a plurality of light emitting devices in various site-isolated regions on a substrate. | 03-05-2015 |
20150060911 | OPTOELECTRONIC SEMICONDUCTOR DEVICE AND FABRICATING METHOD THEREOF - An optoelectronic semiconductor device comprises a substrate, at least one solid via plug, at least one optoelectronic semiconductor chip, a phosphor layer and a molding body. The at least one solid via plug penetrates through the substrate. The at least one optoelectronic semiconductor chip has a first electrode aligned to and electrically connected with the solid via plug. The phosphor layer covers at least one surface of the optoelectronic semiconductor chip. The molding body encapsulates the substrate, the optoelectronic semiconductor chip and the phosphor layer. The number of solid valid plugs, substrate surfaces, electrodes, bonding pad on each surface of the substrate for forming each optoelectronic semiconductor device can be, for example, two, respectively. | 03-05-2015 |
20150060912 | LIGHT EMITTING DIODE PACKAGE HAVING ZENER DIODE COVERED BY REFLECTIVE MATERIAL - An exemplary light emitting diode package includes a substrate comprising a first electrode, a second electrode and an insulation layer electrically insulating the first electrode from the second electrode; a light emitting diode is located on the substrate, and electrically connects with the first and second electrodes; a zener diode is located on the substrate, and electrically connects with the first and second electrodes; and a reflecting layer is formed on the zener diode to reflect light emitted from the light emitting diode and toward the zener diode. The disclosure also relates to a method for manufacturing the light emitting diode package. | 03-05-2015 |
20150060913 | LIGHT-EMITTING DIODES AND FABRICATION METHODS THEREOF - A light-emitting diode is provided. The light-emitting diode includes an N-type epitaxial layer, a light-emitting layer disposed on a portion of the N-type epitaxial layer to expose a partial surface of the N-type epitaxial layer, and a P-type epitaxial layer disposed on the light-emitting layer, wherein the P-type epitaxial layer has a ladder-shaped sidewall. The light-emitting diode further includes a P-type electrode disposed on the P-type epitaxial layer and an N-type electrode disposed on the exposed surface of the N-type epitaxial layer. Furthermore, a method of fabricating a light-emitting diode is also provided. The method includes performing an anisotropic-etching process to a P-type epitaxial layer to form a rounded or a right-angled ladder on the sidewall of the P-type epitaxial layer. | 03-05-2015 |
20150060914 | LIGHT-EMITTING ASSEMBLY AND METHOD FOR MANUFACTURING THE SAME - A light-emitting assembly and a method for manufacturing the same are provided. The light-emitting assembly includes a circuit board with a light-emitting element and a plurality of optical microstructures disposed thereon. The optical microstructures adjacent to the light-emitting element absorb or guide a portion of light emitted from the light-emitting element. | 03-05-2015 |
20150060915 | LED PACKAGING STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting diode (LED) packaging structure is provided, which includes a LED stacked layer, a first silicon substrate and a second silicon substrate. The first and second silicon substrates are respectively disposed on two opposite surfaces of the LED stacked layer. The first and second silicon substrates respectively have at least one first hollow portion and at least one second hollow portion, so as to expose the surfaces of a portion of the LED stacked layer. Light emitted by a light-emitting layer may go out through the first and second hollow portions. A method for manufacturing the LED packaging structure is also provided. | 03-05-2015 |
20150060916 | LIGHT SOURCE DEVICE, ILLUMINATING DEVICE COMPRISING THE SAME, AND VEHICLE - A light source device is provided. The light source device comprises a semiconductor light-emitting element; and a wavelength conversion member for converting a wavelength of a light emitted from the semiconductor light-emitting element. The semiconductor light-emitting element has a light-emitting peak wavelength of not less than 380 nanometers and not more than 420 nanometers. The light emitted from the semiconductor light-emitting element has a light energy density of not less than 0.2 kW/cm | 03-05-2015 |
20150060917 | LIGHT EMITTING DEVICE WITH WAVELENGTH CONVERTING SIDE COAT - Embodiments of the invention include a semiconductor light emitting device, a first wavelength converting member disposed on a top surface of the semiconductor light emitting device, and a second wavelength converting member disposed on a side surface of the semiconductor light emitting device. The first and second wavelength converting members include different wavelength converting materials. | 03-05-2015 |
20150060918 | COMPOSITE PARTICLE, METHOD OF PRODUCING SAME, RESIN COMPOSITION CONTAINING THE PARTICLE, REFLECTOR FORMED FROM THE COMPOSITION, AND LIGHT-EMITTING SEMICONDUCTOR DEVICE USING THE REFLECTOR - A composite oxide particle prepared from raw materials comprising: (1) a finely powdered silica having a BET specific surface area of 50 m | 03-05-2015 |
20150060919 | COMPOSITE PARTICLE, METHOD OF PRODUCING SAME, RESIN COMPOSITION CONTAINING THE PARTICLE, REFLECTOR FORMED FROM THE COMPOSITION, AND LIGHT-EMITTING SEMICONDUCTOR DEVICE USING THE REFLECTOR - A composite particle comprises inorganic compound particles that are derived from inorganic particle and are uniformly dispersed and sintered in a matrix phase composed of silica, or comprises silica particles that are uniformly dispersed and sintered in a matrix phase composed of said inorganic compound particles. The composite particle is prepared by sintering a mixture of (1) finely powdered silica having a BET specific surface area of 50 m | 03-05-2015 |
20150060920 | Wiring Substrate and Light Emitting Device - A wiring substrate includes an insulation layer, separated wires formed on a first surface of the insulation layer, a first plating layer formed on a first surface of each of the wires, a reflection layer including a first opening that exposes at least a portion of the first plating layer as a connection pad, and an electronic component mounted on a second surface of each of the wires, which is located on an opposite side of the first surface of each of the wires. The electronic component is embedded in the insulation layer. | 03-05-2015 |
20150060921 | LIGHT EMITTING DEVICE - A light emitting device includes a base member including a resin-molded body having an upper surface, a lower surface and a front surface, and formed with a groove-shaped recess in the front surface across the front surface from the upper surface to the lower surface. A lead can be embedded in the resin-molded body. A light emitting element is provided, and can include a light emitting element chip and a reflecting layer limiting a light-emitting region to a predetermined range. The reflecting layer can be disposed on or over a side surface of the light emitting element. The light emitting element is disposed on a bottom surface of the recess such that the reflecting layer is spaced apart from a side wall of the recess. | 03-05-2015 |
20150060922 | Semiconductor Light Emitting Devices Including Multiple Red Phosphors That Exhibit Good Color Rendering Properties With Increased Brightness - A light emitting device includes a light emitting diode chip (“LED”) that emits light having a dominant wavelength in the blue color range and a recipient luminophoric medium that is configured to down-convert at least some of the light emitted by the LED. The recipient luminophoric medium includes a green phosphor, a yellow phosphor, a first red phosphor having a first dominant wavelength and a second red phosphor having a second dominant wavelength that is different from the first dominant wavelength. | 03-05-2015 |
20150060923 | LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - A light emitting diode and a method of fabricating the same, the light emitting diode including: a gallium nitride-based compound semiconductor layer; a first metal layer including Mg and disposed in the form of islands that are in ohmic contact with the gallium nitride-based compound semiconductor layer; a second metal layer including Ni, covering the first metal layer, and contacting the gallium nitride-based compound semiconductor layer between the islands of the first metal layer; and a reflective metal layer covering the second metal layer. | 03-05-2015 |
20150060924 | OPTOELECTRONIC DEVICE AND THE MANUFACTURING METHOD THEREOF - An optoelectronic device comprises a semiconductor stack having a first surface, a contact layer having a first pattern on the first surface for ohmically contacting the semiconductor stack, a void in the semiconductor stack and surrounding the contact layer, and a mirror structure on the first surface and covering the contact layer, wherein the first surface has a first portion which is not covered by the contact layer and a second portion covered by the contact layer, and the first portion is rougher than the second portion. | 03-05-2015 |
20150060925 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a light emitting device and a method of manufacturing the same. The light emitting device includes a substrate; a light emitting structure disposed on the substrate and having a stack structure in which a first conductivity type semiconductor layer, an active layer and a second conductivity type semiconductor layer are stacked; a lens disposed on the light emitting structure; and a first terminal portion and a second terminal portion electrically connected to the first conductivity type semiconductor layer and the second conductivity type semiconductor layer, respectively. At least one of the first and second terminal portions extends from a top surface of the light emitting structure along respective side surfaces of the light emitting structure and the substrate. | 03-05-2015 |
20150060926 | WHITE LED LAMP, BACKLIGHT, LIGHT EMITTING DEVICE, DISPLAY DEVICE AND ILLUMINATION DEVICE - A white LED lamp including: a conductive portion; a light emitting diode chip mounted on the conductive portion, for emitting a primary light having a peak wavelength of 360 nm to 420 nm; a transparent resin layer including a first hardened transparent resin, for sealing the light emitting diode chip; and a phosphor layer covering the transparent resin layer, the phosphor layer being formed by dispersing a phosphor powder into a second hardened transparent resin, and the phosphor powder receiving the primary light and radiating a secondary light having a wavelength longer than that of the primary light. An energy of the primary light contained in the radiated secondary light is 0.4 mW/lm or less. | 03-05-2015 |
20150060927 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - A light emitting device is described, including a second conductive type semiconductor layer; an active layer over the second conductive type semiconductor layer; a first conductive type semiconductor layer over the active layer; a second electrode in a first region under the second conductive type semiconductor layer; a current blocking layer including a metal; and a first electrode over the first conductive type semiconductor layer. Further, the first electrode has at least one portion that vertically overlaps the current blocking layer. | 03-05-2015 |
20150069434 | DISTRIBUTED BRAGG REFLECTOR FOR REFLECTING LIGHT OF MULTIPLE WAVELENGTHS FROM AN LED - A blue LED device has a transparent substrate and a reflector structure disposed on the backside of the substrate. The reflector structure includes a Distributed Bragg Reflector (DBR) structure having layers configured to reflect yellow light as well as blue light. In one example, the DBR structure includes a first portion where the thicknesses of the layers are larger, and also includes a second portion where the thicknesses of the layers are smaller. In addition to having a reflectance of more than 97.5 percent for light of a wavelength in a 440 nm-470 nm range, the overall reflector structure has a reflectance of more than 90 percent for light of a wavelength in a 500 nm-700 nm range. | 03-12-2015 |
20150069435 | LED PACKAGE AND MANUFACTURING PROCESS OF SAME - A LED package is formed of a substrate, an LED chip, an insulated layer, and a fluorescent adhesive layer. The substrate includes a positive contact and a negative contact. The LED chip is fixed to the substrate and includes a positive terminal and a negative terminal, the former of which is electrically connected with the positive contact and latter is electrically connected with the negative contact. The insulated layer is mounted to the surface of the substrate and surrounds the LED chip. The fluorescent adhesive layer is mounted to a surface of the insulated layer and covers the LED chip. In this way, the LED package can reduce the production cost and the whole size. | 03-12-2015 |
20150069436 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light emitting device according to an embodiment includes a semiconductor layer, a first resin layer provided on the semiconductor layer, first fluorescer particles disposed in the first resin layer, and a second resin layer provided on the first resin layer to contact the first resin layer. Recesses are made in a surface of the first resin layer contacting the second resin layer. The recesses are filled with portions of the second resin layer. | 03-12-2015 |
20150069437 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a sealing member configured to cover a lower surface of the semiconductor layer and a side surface of the semiconductor layer to protrude to be higher than an upper surface of the semiconductor layer at a side of the semiconductor layer, a fluorescer layer provided above the semiconductor layer and the sealing member, and an insulating film provided between the sealing member and the semiconductor layer and between the sealing member and the fluorescer layer. A corner of a protruding portion of the sealing member is rounded. | 03-12-2015 |
20150069438 | DISTRIBUTED BRAGG REFLECTOR ON AN ALUMINUM PACKAGE FOR AN LED - A light-emitting diode (LED) assembly includes an aluminum substrate, a silver layer, a distributed Bragg reflector (DBR) and an LED device. The aluminum substrate has a top surface whose length and width are each more than once centimeter. The silver layer is disposed over the entire top surface of the aluminum substrate. The DBR is disposed over the entire upper surface of the silver layer. The DBR includes an upper reflector layer and a lower reflector layer. The lower reflector layer contacts the upper surface of the silver layer. The Led device is attached to the upper reflector layer of the DBR, but the LED device is not disposed over the entire upper reflector layer. In one embodiment, the silver layer is deposited on the substrate using physical vapor deposition. In another embodiment, multiple pairs of lower reflector and higher reflector layers are included. | 03-12-2015 |
20150069439 | PHOSPHOR IN INORGANIC BINDER FOR LED APPLICATIONS - A method for fabricating an LED/phosphor structure is described where an array of blue light emitting diode (LED) dies are mounted on a submount wafer. A phosphor powder is mixed with an organic polymer binder, such as an acrylate or nitrocellulose. The liquid or paste mixture is then deposited over the LED dies or other substrate as a substantially uniform layer. The organic binder is then removed by being burned away in air, or being subject to an 02 plasma process, or dissolved, leaving a porous layer of phosphor grains sintered together. The porous phosphor layer is impregnated with a sol-gel (e.g., a sol-gel of TEOS or MTMS) or liquid glass (e.g., sodium silicate or potassium silicate), also known as water glass, which saturates the porous structure. The structure is then heated to cure the inorganic glass binder, leaving a robust glass binder that resists yellowing, among other desirable properties. | 03-12-2015 |
20150069440 | LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - Provided is a light emitting diode having a nanostructure capping pattern and a method of manufacturing the same. A light-emitting structure including a first semiconductor layer, a second semiconductor layer, and an active layer between the first and second semiconductor layers is provided. A nanostructure is provided on the light-emitting structure and a nanostructure capping pattern covering the nanostructure is provided. A refractive index of the nanostructure capping pattern is higher than that of air and lower than that of the nanostructure. | 03-12-2015 |
20150069441 | LIGHT EMITTING DIODE PACKAGE - A light emitting diode (LED) package includes a substrate, a first electrode and a second electrode mounted on opposite sides of the substrate, an LED chip mounted on a top surface of one of the electrodes and electrically connecting the first electrode and the second electrode by wire bonding, and a reflecting cup enclosing an outer periphery of the first electrode and the second electrode to expose top surfaces of the first electrode and the second electrode and bottom surfaces of the first electrode and the second electrode. | 03-12-2015 |
20150069442 | FLEXIBLE LED ASSEMBLIES AND LED LIGHT BULBS - Disclosure has LED assemblies and related LED light bulbs. An LED assembly comprises a flexible, transparent substrate, an LED chip on the first surface and electrically connected to two adjacent conductive sections, and a first wavelength conversion layer, formed on the first surface to substantially cover the LED chip. The flexible, transparent substrate comprises first and second surfaces opposite to each other, and several conductive sections, which are separately formed on the first surface. | 03-12-2015 |
20150069443 | LIGHT-EMITTING DIODE WITH LOCAL PHOTONIC CRYSTALS - The light-emitting diode includes first and second layers of semiconductor material, having opposite conductivity types, an active light-emitting area located between the first and second layers of semiconductor material, an electrode arranged on the first layer of semiconductor material and a photonic crystal formed in the first layer of semiconductor material. The photonic crystal and the electrode are separated by a distance optimized to simultaneously promote the electric injection and minimize the light absorption in the LED. | 03-12-2015 |
20150069444 | LIGHT EMITTING DIODE - A light emitting diode and a method of fabricating the same, the light emitting diode including a substrate, a semiconductor layer formed on one surface of the substrate, and an anti-reflection element formed on the other surface of the substrate and including a nano-pattern. The anti-reflection element is interposed between the substrate and air. | 03-12-2015 |
20150069445 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is disclosed. The light emitting device package includes a package body, a heat radiating member disposed in the package body, a light emitting device disposed on the heat radiating member, a bonding member disposed between the light emitting device and the heat radiating member, and a bonding member fixing layer disposed around the bonding member, wherein the bonding member fixing layer has at least one through region. | 03-12-2015 |
20150069446 | LIGHT EMITTING DIODE - A light emitting diode includes a substrate, a first semiconductor layer, an active layer, a second semiconductor layer, a first electrode, and a second electrode. The first semiconductor layer, the active layer, and the second semiconductor layer are orderly stacked on the substrate. The first electrode is electrically connected to the first semiconductor layer. The second electrode electrically is connected to the second semiconductor layer. The first semiconductor layer has a number of three-dimensional nano-structures, and each of the number of three-dimensional nano-structures has a stepped structure. | 03-12-2015 |
20150069447 | LED COMPONENT BY INTEGRATING EPITAXIAL STRUCTURE AND PACKAGE SUBSTRATE TOGETHER AND METHOD OF MANUFACTURING THE SAME - The present invention discloses an integral LED component which integrates LED epitaxial structure electrodes and interconnects with a package substrate together and an integral manufacturing process thereof. The integral LED component can be made with multiple epitaxial structures or with just a single epitaxial structure. The integral LED component can be mounted into a hollow carrier. And by having support by the hollow carrier, the package substrate can be mounted and contacted with a heat conductive or a dissipation device. The integral LED component is fabricated by wafer level process and cut from the wafer as an independent component. By different manufacturing process, the integral LED component can be made as Vertical LED structure or Lateral LED structure. | 03-12-2015 |
20150069448 | LIGHT-REFLECTIVE ANISOTROPIC CONDUCTIVE ADHESIVE AGENT, AND LIGHT EMITTING DEVICE - A light-reflective anisotropic conductive adhesive is used for anisotropic conductive connection of a light-emitting element to a wiring board. The adhesive includes a thermosetting resin, conductive particles, and light-reflective acicular insulating particles. The conductive particles comprise a core particle coated with a metal particle or a metal material, and a light reflective layer formed on a surface of the core particle. The light reflective layer comprises inorganic particles selected from any one of titanium oxide particles, zinc oxide particles or aluminum oxide particles until the entire conductive particle appears a color in a range from white to gray. | 03-12-2015 |
20150069449 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light-emitting device which is thin and lightweight and has high flexibility, impact resistance, and reliability is provided. Further, a light-emitting device which is thin and lightweight and has high flexibility, impact resistance, and hermeticity is provided. In the light-emitting device in which a light-emitting region including a transistor and a light-emitting element is sealed between a first flexible substrate and a second flexible substrate, an opening is provided in the second flexible substrate around a region overlapping with the light-emitting region, the opening is filled with frit glass containing low-melting glass and bonding the first flexible substrate and the second flexible substrate, and the fit glass is provided so as to be in contact with an insulating layer provided over the first flexible substrate. The second flexible substrate may include an opening in a region overlapping with the light-emitting region. | 03-12-2015 |
20150076539 | SINGLE-PHASE AND FULL-COLOR PHOSPHOR - A composition of matter including a phosphor having an emission peak in each of a blue, green, and red color region of the Electromagnetic spectrum, wherein the phosphor is excitable by light having a wavelength between 350 nanometers (nm) and 420 nm. | 03-19-2015 |
20150076540 | NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE - A nitride semiconductor light emitting device includes a laminate body, a first electrode, a second electrode, and a phosphor layer having a light emitting surface. The laminate body includes a first layer of a first-conductivity-type, a first part of a second layer of a second-conductivity-type, and a light emitting layer containing a nitride semiconductor between the first layer and the second layer. The first electrode is formed on a surface of the first layer. The second electrode is formed on a surface of a second part of the second layer that is formed between the laminate body and the phosphor layer. At least one of the laminate body, the second part of the second layer, and the phosphor layer has a lateral width that increase toward the light emitting surface. | 03-19-2015 |
20150076541 | LIGHT-EMITTING DEVICE - A light-emitting device includes a first and second lead frame spaced from each other. A light-emitting element is mounted on the first lead frame and electrically connected to the first and second lead frames. A first frame body is disposed on the first lead frame and the second lead frame and surrounds the light-emitting element. A resin including a phosphor is disposed on the light-emitting element and fills a frame formed by the first frame body. A second frame body surrounds the first frame body. The second frame body has an upper surface that higher than an upper surface of the first frame body. | 03-19-2015 |
20150076542 | LIGHT EMITTING MODULE - The present disclosure provides a light emitting module, which includes a base board, a light emitting diode chip, a transparent thermoplastic layer, and fluorescent glue. The base board includes a die-bonding zone. The light emitting diode chip is bonded on the die-bonding zone. The light emitting diode chip includes an upper surface, a lower surface opposite to the upper surface, and a plurality of side surfaces adjoined between the upper surface and lower surfaces. A transparent thermoplastic layer encloses at least one portion of the light emitting diode chip. The fluorescent glue disposed over to cover the base board, the light emitting diode chip, and the transparent thermoplastic layer. | 03-19-2015 |
20150076543 | LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a base, a light emitting element, and a fluorescent body-containing layer. The light emitting element is installed on the base, has an upper surface and a lower surface, and includes a light emitting unit on the upper surface. The fluorescent body-containing layer is provided on the light emitting element and has a lower surface having an area smaller than an area of the light emitting unit and an upper surface having an area larger than an area of the light emitting unit. | 03-19-2015 |
20150076544 | RADIATION-EMITTING COMPONENT, TRANSPARENT MATERIAL AND FILLER PARTICLES, AND METHOD OF PRODUCING SAME - A radiation-emitting component includes a radiation source; a transparent material disposed in the beam path of the component and including a polymer material and filler particles, wherein the filler particles include an inorganic filler material and a phosphonic acid derivative or phosphoric acid derivative attached to a surface thereof and through which the filler particles are crosslinked with the polymer material. | 03-19-2015 |
20150076545 | ELECTRONIC COMPONENT PACKAGE AND METHOD OF MANUFACTURING SAME - There is provided a method for manufacturing an electronic component package. The method includes the steps: (i) disposing a metal pattern layer on an adhesive carrier; (ii) placing at least one kind of electronic component on the adhesive carrier, the placed electronic component being not overlapped with respect to the metal pattern layer; (iii) forming a sealing resin layer on the adhesive carrier, and thereby producing a precursor of the electronic component package; (iv) peeling off the adhesive carrier of the precursor, whereby the metal pattern layer and an electrode of the electronic component are exposed at the surface of the sealing resin layer; and (v) forming a metal plating layer such that the metal plating layer is in contact with the exposed surface of the metal pattern layer and the exposed surface of the electrode of the electronic component. | 03-19-2015 |
20150076546 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a stacked body, first and second electrodes. The stacked body includes a light emitting layer. The first and second electrodes are provided on the stacked body. The device further includes an insulating layer covering the stacked body, a first conversion electrode electrically connected to the first electrode, a second conversion electrode electrically connected to the second electrode ( | 03-19-2015 |
20150076547 | Group III Nitride Semiconductor Light-Emitting Device - The present invention provides a Group III nitride semiconductor light-emitting device exhibiting improved emission performance. A p-electrode comprises a wire bonding portion being connected to a wire, a wiring portion extending in a wiring pattern from the wire bonding portion, and a contact portion being connected to the wiring portion and being in contact with a transparent electrode via holes. A current blocking layer is provided in a specific region between a p-type layer and a transparent electrode. The current blocking layer is formed of an insulating and transparent material with a refractive index lower than that of the p-type layer. Specific region is a region including the contact portion in plan view. The current blocking layer is not provided in regions overlapping with the wire bonding portion and the wiring portion. The current blocking layer is larger by 0 μm to 9 μm in width than the contact portion. | 03-19-2015 |
20150076548 | METHOD OF MANUFACTURING LIGHT EMITTING DEVICE INCLUDING LIGHT EMITTING ELEMENT AND WAVELENGTH CONVERTING MEMBER - A light emitting device includes a light emitting element and a wavelength converting member bonded with each other. The light emitting element has, from the wavelength converting member side, a first region and a second region. The wavelength converting member has, from the light emitting element side, a third region and a fourth region. The first region has an irregular atomic arrangement compared with the second region. The third region has an irregular atomic arrangement compared with the fourth region. The first region and the third region are directly bonded. | 03-19-2015 |
20150084075 | Light-Emitting Module and Luminaire - According to one embodiment, there is provided a light-emitting module including a substrate, a light-emitting body provided on the substrate, and a phosphor containing layer provided on the substrate and the light-emitting body, the phosphor containing layer including a first phosphor excited by emitted light of the light-emitting body, having a light emission peak in a wavelength range equal to or greater than 610 nm and less than 655 nm, and having a surface covered with a protection film. | 03-26-2015 |
20150084076 | Light Emitting Module and Lighting Device - According to one embodiment, a light emitting module includes: a semiconductor light emitting element and an incident suppression section. The semiconductor light emitting element includes a base material and a light emitting layer. The base material is formed of a material absorbing light and is provided on a substrate. The light emitting layer is provided on the base material and emits the light. The incident suppression section is provided around the base material and suppresses light among the light that is emitted from the light emitting layer from being incident on the base material. | 03-26-2015 |
20150084077 | Light Emitting Module and Lighting Device - According to one embodiment, a light emitting module includes a semiconductor light emitting element and a heat radiating section. The semiconductor light emitting element includes a base material and a light emitting layer. The base material is formed of a material absorbing light and is provided on a substrate. The light emitting layer is provided on the base material and emits the light. The heat radiating section is provided around the base material and radiates heat that is generated in the light emitting layer to the substrate by receiving the heat through the base material. | 03-26-2015 |
20150084078 | Light-Emitting Diode Having Diamond-Like Carbon Layer and Manufacturing Method and Application Thereof - A light emitting diode having a diamond-like carbon layer is disclosed, which includes: a substrate; a semiconductor epitaxial multilayer structure deposited over the substrate and including a first semiconductor epitaxial layer and a second semiconductor epitaxial layer, wherein the first and second semiconductor epitaxial layers are stacked with each other; an insulating diamond-like carbon covering partial surface of the semiconductor epitaxial multilayer structure; a first electrode provided with an electrical connection to the first semiconductor epitaxial layer; and a second electrode provided with an electrical connection to the second semiconductor epitaxial layer. A manufacturing method and application of the light-emitting diode are also disclosed. | 03-26-2015 |
20150084079 | PHOSPHOR AND LIGHT-EMITTING DEVICE - A high-brightness phosphor having high-temperature characteristics and long-term reliability, and a white light-emitting device using this phosphor are provided. The phosphor contains a silicate phosphor (A) having a peak wavelength of at least 525 nm but not higher than 535 nm and fluorescence intensity of at least 250% but not higher than 270%; an oxynitride phosphor (B) having a peak wavelength of at least 540 nm but not higher than 545 nm and fluorescence intensity of at least 260% but not higher than 280%; and an oxynitride phosphor (C) having a peak wavelength of at least 645 nm but not higher than 655 nm, wherein the amount of the silicate phosphor (A) is at least 20% but not higher than 35% by mass, the amount of the oxynitride phosphor (B) is at least 50% but not higher than 70% by mass, and the amount of the oxynitride phosphor (C) is at least 10% but not higher than 20% by mass. | 03-26-2015 |
20150084080 | LIGHT EMITTING APPARATUS AND METHOD FOR MANUFACTURING SAME - There is provided a light-emitting device comprising a light-emitting element and a substrate for light-emitting element. The light-emitting element is in a mounted state on a mounting surface of the substrate, the mounting surface being one of two opposed main surfaces of the substrate. The substrate is provided with a protection element for the light-emitting element, the protection element comprising a voltage-dependent resistive layer embedded in the substrate, and comprising a first electrode and a second electrode each of which is in connection with the voltage-dependent resistive layer. The mounted light-emitting element is in an overlapping relation with the voltage-dependent resistive layer. A reflective layer is provided on at least one of the substrate and the voltage-dependent resistive layer such that the reflective layer is located adjacent to the first electrode which is in contact with a substrate exposure surface of the voltage-dependent resistive layer. | 03-26-2015 |
20150084081 | METHOD FOR MANUFACTURING LIGHT-EMITTING DEVICE AND LIGHT-EMITTING DEVICE MANUFACTURED USING SAME - The present invention relates to a method for manufacturing a light-emitting device and the light-emitting device manufactured using same, which can reduce manufacturing costs, form nanopatterns in a large area, and increase light extraction efficiency. One embodiment of the present invention discloses the method for manufacturing a light-emitting device, comprising: a light-emitting structure preparation step for preparing a light-emitting structure including a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer, which are formed sequentially; a light extraction layer formation step for forming the upper part of the second conductive semiconductor layer as a light extraction layer having an uneven pattern; a dipping step for dipping the light-emitting structure having the light extraction layer in a solution in which nanomaterials are dispersed; and an adsorption step for adsorbing the nanomaterials to the light extraction layer. | 03-26-2015 |
20150084082 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT - A semiconductor light-emitting element includes: an ohmic electrode layer formed on a surface of a semiconductor structure layer including a light-emitting layer; a reflective metal layer containing Ag formed so as to cover at least ends of the ohmic electrode layer; and a covering electrode layer formed so as to bury the reflective metal layer. | 03-26-2015 |
20150084083 | PHOSPHOR, PRODUCTION METHOD OF THE PHOSPHOR, AND LIGHT EMITTING DEVICE USING THE PHOSPHOR - A phosphor is provided which includes a general formula represented by Ca | 03-26-2015 |
20150084084 | LIGHT EMITTING DIODE AND LED MODULE HAVING THE SAME - Disclosed are an LED and an LED module. The LED includes: a first conductivity type semiconductor layer; a mesa disposed over the first conductivity type semiconductor layer and including an active layer and a second conductivity type semiconductor layer; a first ohmic-contact structure in contact with the first conductivity type semiconductor layer; a second ohmic-contact structure in contact with the second conductivity type semiconductor layer; a lower insulating layer at least partially covering the mesa and the first conductivity type semiconductor layer and disposed to form a first opening part at least partially exposing the first ohmic-contact structure and a second opening part at least partially exposing the second ohmic-contact structure; and a current distributing layer connected to the first ohmic-contact structure at least partially exposed by the first opening part and disposed to form a third opening part at least partially exposing the second opening part. | 03-26-2015 |
20150084085 | LIGHT EMITTING DEVICE HAVING WIDE BEAM ANGLE AND METHOD OF FABRICATING THE SAME - A light emitting device having a wide beam angle and a method of fabricating the same. The light emitting device includes a light emitting structure, a substrate disposed on the light emitting structure, and an anti-reflection layer covering side surfaces of the light emitting structure and the substrate, and at least a portion of an upper surface of the substrate is exposed. | 03-26-2015 |
20150084086 | LIGHT-EMITTING DIODE DEVICE - An encapsulated light-emitting diode device is disclosed. The encapsulated light-emitting diode device includes a circuit carrier including a surface; a light-emitting device including a transparent substrate, the transparent substrate including a first surface and a second surface, and the first surface and the surface of the circuit carrier includes an included angle larger than zero; a light-emitting diode chip located on the first surface of the transparent substrate; and a first transparent glue covering the light-emitting diode chip and formed on the first surface; and a second transparent glue formed on the second surface corresponding to the first transparent glue; wherein the first transparent glue has a circular projection on the first surface and the light-emitting diode chip is substantially located at the center of the circular projection. | 03-26-2015 |
20150091031 | LOCATING OPTICAL STRUCTURES TO LEDS - An optical device and a method of making an optical device are disclosed. A printed wiring board is formed that includes coupling elements at selected locations. The coupling elements are formed using a printed wiring board manufacturing technique. A light source may be coupled to the printed wiring board at one of the coupling elements. An optical structure for directing light from the light source may be coupled to the printed wiring board at another coupling element. A tolerance for a distance between the optical structure and the light source is thus controlled using the manufacturing technique. | 04-02-2015 |
20150091032 | Nickel-Titanium and Related Alloys as Silver Diffusion Barriers - Diffusion of silver from LED reflector layers is blocked by 10-50 nm barrier layers of nickel-titanium (NiTi) alloys. Optionally, the alloys also include one or more of tungsten (W), niobium (Nb), aluminum (Al), vanadium (V), tantalum (Ta), or chromium (Cr). These barriers may omit the noble-metal (e.g., platinum or gold) cap used with silver barriers based on other materials. | 04-02-2015 |
20150091033 | LIGHT ENHANCING STRUCTURE FOR A LIGHT EMITTING DIODE - A light enhancing structure includes a light emitting diode in it and at least one coating layer. The light emitting diode unit includes a plurality of surfaces and a light-emitting surface. The light-emitting surface is for allowing a plurality of lights generated inside the light emitting diode unit to emit through. The coating layer is formed on the surfaces for blocking or reflecting one of the lights generated inside the light emitting diode unit, and to light intensity of the light emitting diode unit is enhanced. | 04-02-2015 |
20150091034 | LIGHT EMITTING DIODE PACKAGE - A light-emitting diode (LED) package structure includes a lead frame, a LED chip, a package body, N opaque spacer and N+1 encapsulating glues. The LED chip is disposed on the lead frame; the package body covers the lead frame and exposes the LED chip. The package body has an accommodation space, divided by the N opaque spacers disposed on the LED chip into N+1 chambers. The N+1 encapsulating glues are filled into the N+1 chambers, where N is a natural number. | 04-02-2015 |
20150091035 | SEMICONDUCTOR DEVICE STRUCTURE - The present disclosure relates to a method for manufacturing a semiconductor device structure, comprising the steps of: securing the position of a semiconductor device on a plate; securing the positions of electrodes such that the electrodes face the plate; covering the semiconductor device with an encapsulating material; and separating, from the plate, the semiconductor device covered with the encapsulating material. | 04-02-2015 |
20150091036 | LIGHT EMITTING DIODE - Disclosed herein is a light emitting diode. The light emitting diode includes a substrate, an n-type semiconductor layer placed on the substrate, an active layer placed on the n-type semiconductor layer, a p-type semiconductor layer placed on the active layer, a reflective layer placed on the p-type semiconductor layer, an n-type electrode electrically connected to the n-type semiconductor layer, a p-type electrode placed on the reflective layer; and a first patterned magnetic structure placed on the reflective layer, and separated from the p-type electrode. The light emitting diode can provide improved internal quantum efficiency using the patterned magnetic structure. | 04-02-2015 |
20150091037 | LIGHT EMITTING DEVICE - A light emitting device includes a semiconductor structure layer including a first conductive semiconductor layer, an active layer on the first conductive semiconductor layer, and a second conductive semiconductor layer on the active layer. A plurality of lower refractive layers is provided on an outer surface of the semiconductor structure layer. The lower refractive layers includes a first lower refractive layer having a first refractive index lower than a refractive index of the semiconductor structure layer on a surface of the semiconductor structure layer, and a second lower refractive layer having a second refractive index lower than the first refractive index on an outer surface of the first lower refractive layer. The second refractive index of the second lower refractive layer is 1.5 or less, and the second lower refractive layer is provided on an outer surface thereof with a plurality of protrusions. The second lower refractive layer includes a plurality of metallic oxide powders. | 04-02-2015 |
20150091038 | LIGHT EMITTING DIODE - A light emitting diode including a lower semiconductor layer formed on a substrate; an upper semiconductor layer disposed above the lower semiconductor layer, exposing an edge region of the lower semiconductor layer; a first electrode formed on the upper semiconductor layer; an insulation layer interposed between the first electrode and the upper semiconductor layer, to supply electric current to the lower semiconductor layer; a second electrode formed on another region of the upper semiconductor layer, to supply electric current to the upper semiconductor layer. The first electrode includes an electrode pad disposed on the upper semiconductor layer and an extension extending from the electrode pad to the exposed lower semiconductor layer. The insulation layer may have a distributed Bragg reflector structure. | 04-02-2015 |
20150091039 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element includes a semiconductor stack part that includes a light emitting layer, a diffractive face to which light emitted from the light emitting layer is incident, and convex portions or concave portions formed in a period which is longer than an optical wavelength of the light and is shorter than a coherent length of the light. The diffractive face reflects incident light in multimode according to Bragg's condition of diffraction and transmits the incident light in multimode according to the Bragg's condition of diffraction. The semiconductor stack part is formed on the diffractive face. The convex portions or the concave portions include a side surface and a curved portion which curves and extends to a center side of the convex portions or the concave portions from an upper end of the side surface. | 04-02-2015 |
20150091040 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a lead frame, a semiconductor light-emitting element mounted on the top surface of the bonding region, and a case covering part of the lead frame. The bottom surface of the bonding region is exposed to the outside of the case. The lead frame includes a thin extension extending from the bonding region and having a top surface which is flush with the top surface of the bonding region. The thin extension has a bottom surface which is offset from the bottom surface of the bonding region toward the top surface of the bonding region. | 04-02-2015 |
20150097203 | DIE EMITTING WHITE LIGHT - Various methods and apparatuses are disclosed. A method may include disposing at least one die on a location on a carrier substrate, forming at least one stud bump on each of at least one die, forming a phosphor layer on the at least one stud bump and the at least one die, removing a top portion of the phosphor layer to expose the at least one stud bump, and removing a side portion of the phosphor layer located between two adjacent dies. An apparatus may include a die comprising top, bottom, and side surfaces. A phosphor layer may be disposed on the top, bottom, and side surfaces of the die. The phosphor layer may have substantially equal thicknesses on the top and side surfaces of the die as well as one or more stud bumps disposed on the top surface of the die. | 04-09-2015 |
20150097204 | METHOD OF PRODUCING CRYSTALLINE SUBSTRATE HAVING CONCAVE-CONVEX STRUCTURE - A method of producing the crystalline substrate having a concave-convex structure includes: (A) forming a transfer film by forming a concave-convex film on a support film on the surface having a concave-convex pattern thereon so that thickness of the residual film of the concave-convex film is 0.01 to 1 μm, the concave-convex pattern of the support film having concave parts with a width of 0.05 to 100 μm, a depth of 0.05 to 10 μm, and a ratio of the depth of the concave part to the width of the concave part of up to 1.5, (B) disposing the transfer film on the crystalline substrate, and transferring the concave-convex film onto the crystalline substrate to produce a crystalline substrate having the concave-convex film thereon, (C) etching the crystalline substrate having the concave-convex film thereon to form a concave-convex structure on the surface of a crystalline substrate. | 04-09-2015 |
20150097205 | LIGHT EMITTING DIODE HAVING MAGNETIC STRUCTURE AND METHOD OF FABRICATING THE SAME - A light emitting diode including a magnetic structure and a method of fabricating the same are disclosed. The magnetic structure composed of passivation layers and a magnetic layer is disposed inside a luminous structure composed of an active layer and a semiconductor layer. In the light emitting diode, the magnetic structure including the magnetic layer is disposed on a side surface of the active layer to improve recombination rate of charge carriers for light emission by increasing influence of a magnetic field applied to the active layer. In addition, the light emitting diode according to the present invention allows change in position of the magnetic structure including the magnetic layer depending upon an etched shape of the luminous structure, thereby realizing various magnetic field distributions. | 04-09-2015 |
20150097206 | METHOD OF MANUFACTURING PACKAGE COMPONENT FOR LIGHT EMITTING DIODE AND PACKAGE STRUCTURE THEREOF - A method of manufacturing package component for light emitting diode (LED) is disclosed. At least one LED is disposed on a substrate inside a photocuring resin, wherein the LED is covered completely by the substrate and the photocuring resin. Power is provided to the LED to make the LED emit plural light beams such that a portion of the photocuring resin is cured by the light beams to obtain a male mold. A separation process is performed to separate the male mold and the other portion of the photocuring resin, the LED and the substrate. A rollover process is performed to manufacture the female mold by the male mold, wherein the female mold has at least one accommodation space with a shape identical to that of the male mold. A forming process is performed to form a package component with a shape identical to that of the male mold. | 04-09-2015 |
20150102372 | SEMICONDUCTOR DEVICE FOR EMITTING FREQUENCY-ADJUSTED INFRARED LIGHT - A semiconductor device for emitting frequency-adjusted infrared light includes a lateral emitter structure and a lateral filter structure. The lateral emitter structure is configured to emit infrared light with an emitter frequency distribution. Further, the lateral filter structure is configured to filter the infrared light emitted by the lateral emitter structure so that frequency-adjusted infrared light is provided with an adjusted frequency distribution. The frequency range of the adjusted frequency distribution is narrower than a frequency range of the emitter frequency distribution. Further, a lateral air gap is located between the lateral emitter structure and the lateral filter structure. | 04-16-2015 |
20150102373 | LIGHT EMITTING DIODE PACKAGE AND METHOD OF MANUFACTURING THE SAME - There is provided a light emitting diode (LED) package. The LED package includes a package body. The LED package also includes an LED chip mounted on the package body. The LED package further includes a side inclined portion disposed to enclose side surfaces of the LED chip, including a light transmission material and having an upwardly inclined surface. The LED package also includes a wavelength conversion layer disposed on a top surface of the LED chip and the inclined surface of the side inclined portion. | 04-16-2015 |
20150102374 | OPTOELECTRONIC COMPONENT AND METHOD FOR PRODUCING IT - An optoelectronic component is specified. According to at least one embodiment of the invention, the optoelectronic component comprises a housing ( | 04-16-2015 |
20150102375 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is disclosed. The light emitting device package includes a package body including at least one ceramic layer, a submount disposed at the package body, a light emitting device disposed on the submount for emitting ultraviolet (UV)-wavelength light, and an anti-reflection (AR) coating layer disposed around the light emitting device, the AR coating layer being formed of an inorganic coating layer. | 04-16-2015 |
20150102376 | LIGHT-EMITTING DEVICE AND ELECTRONIC APPARATUS - A light-emitting device includes a light-emitting element disposed in a display region and including a first electrode, a second electrode, and a light-emitting functional layer. The light-emitting device includes a wiring formed in a periphery of the display region and that is electrically connected to the second electrode, and a filter layer having a first color filter that overlaps the light-emitting element and a first layer that overlaps the peripheral wiring. The first color filter and the first layer are formed from a first colored layer that transmits light having a first wavelength. | 04-16-2015 |
20150102377 | FLIP CHIP LIGHT EMITTING DIODE PACKAGE STRUCTURE - A flip chip light emitting diode package structure includes a package carrier, a light guiding unit and at least one light emitting unit. The light guiding unit and the light emitting unit are disposed on the package carrier, and the light emitting unit is located between the light guiding unit and the package carrier. A horizontal projection area of the light guiding unit is greater than that of the light emitting unit. The light emitting unit is adapted to emit a light beam, and the light beam enters the light guiding unit and emits from an upper surface of the light guiding unit away from the light emitting unit. | 04-16-2015 |
20150102378 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - A light-emitting diode package structure includes a package carrier, a light guiding component and a light emitting unit. The light guiding component is disposed on the package carrier. The light emitting unit is disposed on an upper surface of light guiding component relatively distant from the package carrier. A horizontal projection area of the light guiding component is greater than that of the light emitting unit. The light emitting unit is adapted to emit a light beam, and a portion of the light beam enters the light guiding component and emits from the upper surface of the light guiding component. An included angle existing between the light beam and a normal direction of the upper surface ranges from 0 degree to 75 degrees. | 04-16-2015 |
20150102379 | LIGHT EMITTING DIODE STRUCTURE - A light emitting diode structure includes a substrate and a light emitting unit. The substrate has a protrusion portion and a light guiding portion. The protrusion portion and the light guiding portion have a seamless connection therebetween, and a horizontal projection area of the protrusion portion is smaller than that of the light guiding portion. The light emitting unit is disposed on the protrusion portion of the substrate. The light emitting unit is adapted to emit a light beam, and a portion of the light beam enters the light guiding portion from the protrusion portion and emits from an upper surface of the light guiding portion uncovered by the protrusion portion. | 04-16-2015 |
20150102380 | LIGHT-EMITTING ELEMENT MOUNTING PACKAGE, MANUFACTURING METHOD OF THE SAME, AND LIGHT-EMITTING ELEMENT PACKAGE - A light-emitting element mounting package including a first wiring forming a first light-emitting element mounting portion, which is provided on one surface of a substrate to mount a light-emitting element, and a first through wiring having one end and another end, the one end being electrically connected to the first light-emitting element mounting portion so as to be thermally transferable, and the other end protruding from another surface of the substrate. | 04-16-2015 |
20150102381 | SEMICONDUCTOR LIGHT EMITTING DEVICE, WAFER, AND METHOD FOR MANUFACTURING NITRIDE SEMICONDUCTOR CRYSTAL LAYER - According to one embodiment, a semiconductor light emitting device includes a first semiconductor layer, a light emitting layer, a second semiconductor layer, and a low refractive index layer. The first semiconductor layer has a first major surface and a second major surface being opposite to the first major surface. The light emitting layer has an active layer provided on the second major surface. The second semiconductor layer is provided on the light emitting layer. The low refractive index layer covers partially the first major surface and has a refractive index lower than the refractive index of the first semiconductor layer. | 04-16-2015 |
20150108519 | LIGHT-EMITTING STRUCTURE - A light-emitting structure is provided, including a substrate, an LED stacked structure formed on the substrate, and a plurality of cavities formed on the substrate surrounding the LED stacked structure. The LED stacked structure comprises an N-type epitaxial layer, an illumination layer, and a P-type epitaxial layer. A portion of the N-type epitaxial layer is exposed. | 04-23-2015 |
20150108520 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a light emitting structure and first and second electrodes. The light emitting structure includes first and second conductivity type semiconductor layers and an active layer interposed therebetween. The first and second electrodes are electrically connected to the first and second conductivity type semiconductor layers. The second electrode includes a current blocking layer, a reflective part disposed on the current blocking layer, a transparent electrode layer disposed on the second conductivity type semiconductor layer, a pad electrode part disposed within a region of the current blocking layer, and at least one finger electrode part disposed at least in part on the transparent electrode layer. The transparent electrode layer can be spaced apart from the reflective part, and have an opening surrounding the reflective part. In some examples, the transparent electrode layer can further be spaced apart from the current blocking layer. | 04-23-2015 |
20150108521 | LIGHT EMITTING DEVICE - A light emitting device according to embodiments includes a light emitting element emitting light with a peak wavelength of 420˜445 nm, a first phosphor emitting light with a peak wavelength of 485˜530 nm, a second phosphor emitting light with a peak wavelength of 530˜580 nm, and a third phosphor emitting light with a peak wavelength of 600˜650 nm. The device emits light having an emission spectrum that has a local minimum value of light intensity between a wavelength of 450˜470 nm or less, the local minimum value being 60% or less of a maximum value of light intensity at a longer wavelength side from the local minimum value, and the device emits light having a color temperature of 4600 K or higher and 5400 K or less. | 04-23-2015 |
20150108522 | LED LAMPS - A high power LED lamp has a GaN chip placed over an AlGaInP chip. A reflector is placed between the two chips. Each of the chips has trenches diverting light for output. The chip pair can be arranged to produce white light having a spectral distribution in the red to blue region that is close to that of daylight. Also, the chip pair can be used to provide an RGB lamp or a red-amber-green traffic lamp. The active regions of both chips can be less than 50 microns away from a heat sink. | 04-23-2015 |
20150108523 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A reliable semiconductor light-emitting device can include a mounting board, at least one semiconductor light-emitting chip mounted on the mounting board, a wavelength converting layer having a side surface covering the light-emitting chip, and a seal member having an opening contacting the side surface of the wavelength converting layer and covering chip electrodes. The light-emitting device can also include a transparent layer disposed into the opening of the sealing member so as to be located over the light-emitting chip and within a top surface of the light-emitting chip, and can be configured to emit various mixture lights having a high uniformity by using lights emitted from the light-emitting chip and the wavelength converting layer. Thus, the disclosed subject matter can provide the reliable light-emitting device, which can emit the mixture lights including a substantially white color light from a small light-emitting surface as a light source for a headlight, etc. | 04-23-2015 |
20150108524 | Semiconductor Nanoparticle-Based Light-Emitting Devices and Associated Materials and Methods - Embodiments of the present invention relate to a formulation for use in the fabrication of a light-emitting device, the formulation including a population of semiconductor nanoparticles incorporated into a plurality of discrete microbeads comprising an optically transparent medium, the nanoparticle-containing medium being embedded in a host light-emitting diode encapsulation medium. A method of preparing such a formulation is described. There is further provided a light-emitting device including a primary light source in optical communication with such a formulation and a method of fabricating the same. | 04-23-2015 |
20150108525 | LIGHT EMITTING DIODE FOR SURFACE MOUNT TECHNOLOGY, METHOD OF MANUFACTURING THE SAME, AND METHOD OF MANUFACTURING LIGHT EMITTING DIODE MODULE - Provided are a light emitting diode (LED) in which a conductive barrier layer surrounding a reflective metal layer is defined by a protective insulating layer, and a method of manufacturing the same. A reflection pattern including a reflective metal layer and a conductive barrier layer is formed on an emission structure in which a first semiconductor layer, an active layer, and a second semiconductor layer are formed. The conductive barrier layer prevents diffusion of a reflective metal layer and extends to a protective insulating layer recessed under a photoresist pattern having an overhang structure during a forming process. Accordingly, a phenomenon where the conductive barrier layer is in contact with sidewalls of the photoresist pattern having an over-hang structure and the reflective metal layer forms points is prevented. Thus, LED modules having various shapes may be manufactured. | 04-23-2015 |
20150108526 | LIGHT EMITTING DIODE - A light emitting diode includes a semiconductor stacked structure, a substrate, a first electrode, a second electrode and a third electrode. The semiconductor stacked structure includes a first semiconductor layer, a second semiconductor layer and a light emitting layer. An undoped semiconductor layer over the first semiconductor layer may be not removed or not completely removed to increase the strength of the semiconductor stacked structure and improve the reliability of the LED and the production yields of manufacturing process. A roughened structure (or a photonic crystal) can be formed on the undoped semiconductor layer when the semiconductor stacked structure to improve the light emitting efficiency of the LED. | 04-23-2015 |
20150108527 | LIGHT EMITTING DIODE - A light emitting diode includes a semiconductor stacked structure, a substrate, a first electrode, a second electrode and a third electrode. The semiconductor stacked structure includes a first semiconductor layer, a second semiconductor layer and a light emitting layer. A light extraction layer with a roughened structure is formed on the doped semiconductor layer to improve the light emitting efficiency of LED. Furthermore, the strength of the semiconductor stacked structure can be enhanced by the light extraction layer, to improve the reliability of the LED and the production yields of manufacturing process. | 04-23-2015 |
20150108528 | LIGHT EMITTING DIODE MODULE FOR SURFACE MOUNT TECHNOLOGY AND METHOD OF MANUFACTURING THE SAME - Provided is a light emitting diode (LED) in which a side surface of a reflective metal layer has a predetermined angle, and occurrence of cracks in a conductive barrier layer formed on the reflective metal layer can be prevented. Also, an LED module using LEDs is disclosed. A reflection pattern electrically connected to a second semiconductor layer is partially exposed by patterning a first insulating layer. Accordingly, a first pad is formed through the partially opened first pad region. Also, a conductive reflection layer electrically connected to a first semiconductor layer forms a second pad region formed by patterning a second insulating layer. A second pad is formed on the second pad region. | 04-23-2015 |
20150108529 | Light Emitting Diode Package and Method of Manufacture - A light emitting diode (LED) device and packaging for same is disclosed. In some aspects, the LED is manufactured using a vertical configuration including a plurality of layers. Certain layers act to promote mechanical, electrical, thermal, or optical characteristics of the device. The device avoids design problems, including manufacturing complexities, costs and heat dissipation problems found in conventional LED devices. Some embodiments include a plurality of optically permissive layers, including an optically permissive cover substrate or wafer stacked over a semiconductor LED and positioned using one or more alignment markers. | 04-23-2015 |
20150115300 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, the n-side electrode has a corner and a plurality of straight portions. The plurality of straight portions extends in different directions. The corner connects the plurality of straight portions. A first insulating film is provided between the semiconductor layer and the corner of the n-side electrode. The corner is not in contact with the semiconductor layer. The straight portions of the n-side electrode are in contact with the semiconductor layer. | 04-30-2015 |
20150115301 | ELECTRODE STRUCTURE AND LIGHT EMITTING DIODE STRUCTURE HAVING THE SAME - An electrode structure includes at least one reflection layer, a barrier layer, and a conductive pad. The barrier layer includes a first barrier layer and a second barrier layer. The first and second barrier layers are stacked on the reflection layer in sequence. The first and second barrier layers are made of different materials. The conductive pad is located on the barrier layer. | 04-30-2015 |
20150115302 | OPTOELECTRONIC DEVICES CONTAINING A CONVERTER CARRIER LAYER, AND METHODS OF PRODUCING AN OPTOELECTRONIC DEVICE CONTAINING A CONVERTER CARRIER LAYER - An optoelectronic device includes a layer sequence having an active layer that emits electromagnetic primary radiation, and at least one converter carrier layer arranged in the beam path of the electromagnetic primary radiation. The at least one converter carrier layer includes converter particles and an inorganic-organic hybrid material and/or a silicate glass. | 04-30-2015 |
20150115303 | LED Module with Circuit Board - The present invention relates to an LED module | 04-30-2015 |
20150115304 | Optoelectronic component and method for producing an optoelectronic component - An optoelectronic component may include a carrier element having a heat sink, at least one semiconductor chip for emitting electromagnetic radiation which is mounted and electrically contact-connected on the carrier element, a radiation-transmissive cover disposed downstream of the at least one semiconductor chip, a converter layer applied on the radiation-transmissive cover and spaced apart from the at least one semiconductor chip, a frame composed of thermally conductive material, which frame extends around the at least one semiconductor chip and is in direct contact with the converter layer, and at least one connecting element for thermally connecting the frame to the heat sink. | 04-30-2015 |
20150115305 | OPTOELECTRONIC COMPONENT - An optoelectronic component comprising a semiconductor body, a first connection layer, an insulation layer and a second connection layer, wherein the semiconductor body has an active region for generating electromagnetic radiation and the second connection layer comprises a first partial layer and a second partial layer is specified, wherein
| 04-30-2015 |
20150115306 | LIGHT EMITTING DEVICE AND LIGHT EMITTING MODULE INCLUDING A FLUORESCENT MATERIAL LAYER - A semiconductor light-emitting device includes a semiconductor light-emitting layer, a pair of electrodes, a fluorescent material layer and a chromaticity adjusting layer. The semiconductor light-emitting layer emits first light. The pair of electrodes is connected to the semiconductor light-emitting layer. The fluorescent material layer covers at least a center portion of the semiconductor light-emitting layer, and contains a fluorescent material to absorb the first light and radiate second light. The chromaticity adjusting layer covers at least a peripheral portion of the semiconductor light-emitting layer, is exposed to outside, and contains a fluorescent material with a concentration lower than a concentration of the fluorescent material in the fluorescent material layer. | 04-30-2015 |
20150115307 | SAPPHIRE SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME AND NITRIDE SEMICONDUCTOR LIGHT EMITTING ELEMENT - [Technical Problem] | 04-30-2015 |
20150123153 | LED PACKAGE WITH RED-EMITTING PHOSPHORS - A process for fabricating an LED lighting apparatus comprising a color stable Mn | 05-07-2015 |
20150123154 | Light Emitting Diode Structure - A light emitting diode structure includes a first type semiconductor layer, an illumination layer, a second type semiconductor layer, a plurality of first light extraction improvement structures and a transparent conductive layer. The illumination layer is disposed on the first type semiconductor layer. The second type semiconductor layer is disposed on the illumination layer, and the refractive index thereof is n | 05-07-2015 |
20150123155 | PHOSPHORS, SUCH AS NEW NARROW-BAND RED EMITTING PHOSPHORS FOR SOLID STATE LIGHTING - The invention provides, amongst others for application in a lighting unit, a phosphor having the formula M | 05-07-2015 |
20150123156 | Optoelectronic Semiconductor Component - An optoelectronic semiconductor component having a light source, which emits primary radiation, a housing, and electrical terminals, wherein a conversion element, which is based on a matrix and at least two phosphors, is connected upstream of the optoelectronic semiconductor component. The matrix contains metal phosphate and preferably consists of metal phosphate. The phosphors partially or completely convert primary radiation. At least one first phosphor powder is embedded and fixed in a first inorganic matrix based on a metal phosphate, and at least one second phosphor powder is embedded and fixed in a second matrix based on a metal phosphate. | 05-07-2015 |
20150123157 | VERTICAL INTEGRATION OF CMOS ELECTRONICS WITH PHOTONIC DEVICES - A method of fabricating a composite semiconductor structure includes providing an SOI substrate including a plurality of silicon-based devices, providing a compound semiconductor substrate including a plurality of photonic devices, and dicing the compound semiconductor substrate to provide a plurality of photonic dies. Each die includes one or more of the plurality of photonics devices. The method also includes providing an assembly substrate having a base layer and a device layer including a plurality of CMOS devices, mounting the plurality of photonic dies on predetermined portions of the assembly substrate, and aligning the SOI substrate and the assembly substrate. The method further includes joining the SOI substrate and the assembly substrate to form a composite substrate structure and removing at least the base layer of the assembly substrate from the composite substrate structure. | 05-07-2015 |
20150123158 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate, a light extraction layer provided over the substrate and a light emitting structure provided over the light extraction layer. The light extraction layer has a refraction index higher than a refraction index of the substrate and lower than a refraction index of the light emitting structure. The light extraction layer has a first region contacting the substrate and a second region provided opposite to the first region. The first region has a greater cross-sectional area than a cross-sectional area of the second region. | 05-07-2015 |
20150123159 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to an embodiment, a semiconductor light emitting device includes a semiconductor layer, a first electrode, an second electrode, a first insulating film, a first interconnection and a second interconnection. The semiconductor layer includes a luminous portion and a non-luminous portion. The first electrode is provided on the luminous portion, and the second electrode is provided on the non-luminous portion. The first insulating film is provided on the semiconductor layer, the first electrode and the second electrode. The first interconnection having a first protrusion is provided on the first insulating film and electrically connected to the first electrode. The second interconnection having a second protrusion is provided on the first insulating film and electrically connected to the second electrode. A tip end of the first protrusion faces a tip end of a second protrusion, being apart therefrom with a minimum gap between the first interconnection and the second interconnection. | 05-07-2015 |
20150129912 | LIGHT-EMITTING DEVICE PACKAGE - A light-emitting device package including a substrate, a packaging lens, a light-emitting unit and a plurality of optical microstructures is provided. The packaging lens and the light-emitting unit are disposed on the substrate and the packaging lens wraps the light-emitting unit. The packaging lens has a bottom surface and includes at least one platform. The at least one platform has a side surface and a platform surface. The bottom surface of the packaging lens is connected with the platform surface through the side surface. The platform surface faces away from the light-emitting unit and the bottom surface. The optical microstructures are located on the platform surface of the at least one platform. | 05-14-2015 |
20150129913 | ELECTRODE STRUCTURE - An electrode structure includes a first diffusion barrier layer, an aluminum reflective layer formed over the first diffusion barrier layer. The aluminum reflective layer has a thickness from about 500 angstroms (Å) to less than 2,000 Å, a second diffusion barrier layer formed over the aluminum reflective layer, and an electrode layer overlying the second diffusion barrier layer. The electrode structure is applicable in a light emitting diode device. | 05-14-2015 |
20150129914 | LIGHT-EMITTING DIODE PACKAGE - The invention provides a light-emitting diode package. The light-emitting diode package includes a lead frame having a first lead and a second lead separated from each other by a space. A transparent plastic housing surrounds and encapsulates the lead frame to form a cup-shaped body having a recessed accommodating space. A bottom of the space is defined as a function area. The function area comprises an exposed surface of the first lead and an exposed surface of the second lead. A top of the space is defined as an opening for light emission. A light-emitting diode chip is mounted on the first lead in the function area, electrically connected to the second lead. A white reflective material is disposed on an isolation area in the function area, covering the first and second leads adjacent to the space. An encapsulation material fills the recessed accommodating space. | 05-14-2015 |
20150129915 | LIGHT-EMITTING DIODE PROVIDED WITH SUBSTRATE HAVING PATTERN ON REAR SIDE THEREOF, AND METHOD FOR MANUFACTURING SAME - A method for manufacturing a light-emitting diode is provided. First, a substrate having a front or top surface and a rear or bottom surface is provided. An uneven pattern is formed on the rear or bottom surface. A light-emitting semiconductor layer is formed by stacking a first semiconductor layer, an active layer, and a second semiconductor layer on the front or top surface of the substrate having the uneven pattern. The light-emitting semiconductor layer and the substrate are separated into a plurality of light-emitting cells. | 05-14-2015 |
20150129916 | LIGHT EMITTING DEVICE WITH REMOTE NANOSTRUCTURED PHOSPHOR - Embodiments of the invention include a light emitting device (LED | 05-14-2015 |
20150129917 | LIGHT-EMITTING DEVICE, LIGHT-EMITTING DEVICE PACKAGE, AND LIGHT UNIT - The light-emitting device, according to one embodiment, comprises: a light-emitting structure including a first conductive semiconductor layer, an active layer formed beneath the first conductive semiconductor layer, and a second conductive semiconductor layer formed beneath the active layer; a reflective electrode arranged beneath the light-emitting structure and having a first region beneath the second conductive semiconductor layer and a second region extending from the first region and penetrating through the second conductive semiconductor layer and the active layer; and an electrode electrically connected to the first conductive semiconductor layer. | 05-14-2015 |
20150129918 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING LIGHT EMITTING DEVICE - Provided is a light emitting device having a phosphor layer on a surface of a semiconductor light emitting element and achieving an even light distribution color, and a method of manufacturing the same. A method of manufacturing a light emitting device includes arranging a plurality of semiconductor light emitting elements spaced apart from each other on an expandable sheet, spraying a slurry containing a solvent, a thermosetting resin, and phosphor particles, onto an entire surface of the sheet having the arranged semiconductor light emitting elements to form a resin layer, pre-curing the resin layer, disuniting the resin layer formed on the surface of the semiconductor light emitting element from the resin layer formed on the sheet by expanding the sheet, and main curing the resin layer, which steps are performed in this order. | 05-14-2015 |
20150129919 | LIGHT-EMITTING DEVICE AND THE METHOD OF MANUFACTURING THE SAME - The present application discloses a light-emitting device comprises a semiconductor light-emitting element, a transparent element covering the semiconductor light-emitting element, an insulating layer which connects to the transparent element, an intermediate layer which connects to the insulating layer; and a conductive adhesive material connecting to the intermediate layer. | 05-14-2015 |
20150129920 | SEMICONDUCTOR LIGHT EMITTING DEVICE - Disclosed is a semiconductor light emitting device. The semiconductor light emitting device comprises a light emitting structure comprising a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer; a reflective layer under the light emitting structure; a first electrode layer on the first conductive semiconductor layer; a metal layer under the reflective layer; and a conductive support member under the metal layer. The reflective layer has a thickness of 650 nm to 1550 nm. A top surface of the first conductive semiconductor layer includes a flat first region adjacent to an edge and a rough second region adjacent to the first region. An edge region of a top portion of the conductive support member has a protrusion, and the edge region of the top portion of the conductive support member is not overlapped with the light emitting structure in a vertical direction. | 05-14-2015 |
20150129921 | UNIFORM EMISSION LED PACKAGE - An emitter package comprising a light emitting diode (LED) mounted to the surface of a submount with the surface having a first meniscus forming feature around the LED. A matrix encapsulant is included on the surface and covering the LED. The outer edge of the matrix encapsulant adjacent the surface is defined by the meniscus forming feature and the encapsulant forms a substantially dome-shaped covering over said LED. A method for manufacturing an LED package by providing a body with a surface having a first meniscus holding feature. An LED is mounted to the surface with the meniscus holding feature around the LED. A liquid matrix encapsulant is introduced over the LED and the surface, the first meniscus holding feature holding the liquid matrix encapsulant in a dome-shape over the LED. The matrix encapsulant is then cured. | 05-14-2015 |
20150129922 | SEMICONDUCTOR LIGHT EMITTING DEVICE PROVIDING GRADED BRIGHTNESS - A semiconductor light emitting device includes a semiconductor lamination including a p-type semiconductor layer, an active semiconductor layer, and an n-type semiconductor layer; opposing electrode structure including a first electrode structure formed above the p-type semiconductor layer, and a second electrode structure formed above the n-type semiconductor layer; and brightness grade producing structure including a surface layer of at least one of the p-type semiconductor layer and the n-type semiconductor layer and producing brightness grade gradually changing from one edge to opposite edge of light output plane. | 05-14-2015 |
20150137157 | ILLUMINATING DEVICE - An illuminating device including a substrate, a light-emitting diode element disposed on the substrate, an electrode element, and a sealing ring. The substrate has a groove, and the electrode element has a retaining slot disposed in the groove. The sealing ring is embedded into the retaining slot and a part of the groove to tightly fix the electrode element on the groove. | 05-21-2015 |
20150137158 | LED PACKAGE FRAME AND LED PACKAGE STRUCTURE - A light-emitting diode (LED) package frame is provided, including a leadframe and an insulating member. The leadframe includes a first electrode and a second electrode separated from each other. The insulating member is disposed between the first electrode and the second electrode for insulation between the first and second electrodes, including a first protrusion and a second protrusion. The coefficient of thermal expansion of the insulating member is greater than that of the leadframe. Specifically, the first electrode and the second electrode respectively include a first recess and a second recess which abut the insulating member. The first protrusion and the second protrusion are respectively engaged with the first recess and the second recess. | 05-21-2015 |
20150137159 | LIGHT-EMITTING DEVICE, LIGHT-EMITTING DEVICE PACKAGE, AND LIGHT UNIT - A light-emitting device, according to one embodiment, comprises: a light-emitting structure comprising a first conductive semiconductor layer, an active layer which is underneath the first conductive semiconductor layer, and a second conductive semiconductor layer which is underneath the active layer; a reflective electrode, which is arranged under the light-emitting structure; and an electrode which is arranged inside the first conductive semiconductor layer and comprises a conductive ion injection layer. | 05-21-2015 |
20150137160 | LIGHT-EMITTING DEVICE, LIGHT-EMITTING DEVICE PACKAGE, AND LIGHT UNIT - A light-emitting device, according to one embodiment, comprises: a transparent conductive oxide film; an active layer which comes into contact with a lower surface of the transparent conductive oxide layer; a first conductive semiconductor layer which comes into contact with a lower surface of the active layer; a reflective electrode which is electrically connected to the first conductive semiconductor layer; and a first electrode electrically connected to the transparent conductive oxide layer. | 05-21-2015 |
20150137161 | LIGHT-EMITTING DEVICE - A surface mounted light emitting device having superior reliability with a focus on low cost producibility, in which a protective element can be formed without lowering the efficiency of light emission from a light emitting element, is provided. | 05-21-2015 |
20150137162 | Optoelectronic Semiconductor Component with Sapphire Flip-Chip - An optoelectronic semiconductor component has a volume-emitting sapphire flip-chip with an upper side and a lower side. This optoelectronic semiconductor component is embedded in an optically transparent mold body with an upper side and a lower side. | 05-21-2015 |
20150137163 | LED Cap Containing Quantum Dot Phosphors - An LED device has a cap containing one or more quantum dot (QD) phosphors. The cap may be sized and configured to be integrated with standard LED packages. The QD phosphor may be held within the well of the LED package, so as to absorb the maximum amount of light emitted by the LED, but arranged in spaced-apart relation from the LED chip to avoid excessive heat that can lead to degradation of the QD phosphor(s). The packages may be manufactured and stored for subsequent assembly onto an LED device. | 05-21-2015 |
20150137164 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided is a semiconductor light emitting device | 05-21-2015 |
20150137165 | LIGHT-EMITTING DEVICE - A light-emitting device includes a mounting board, a light-emitting element mounted on a main face of the mounting board, and a sealing member covering the light-emitting element. The sealing member includes a first sealing layer covering a part of the main face of the mounting board and the light-emitting element, and a second sealing layer covering the first sealing layer. The first sealing layer includes particles containing at least one material selected from a group consisting of cerium oxide, titanium oxide, iron oxide, and carbon, and silicone resin. The second sealing layer includes phosphor particles for converting a part of light emitted from light-emitting element into a long wavelength light and radiating it, and silicone resin. | 05-21-2015 |
20150137166 | EPOXY RESIN COMPOSITION FOR OPTICAL SEMICONDUCTOR DEVICE AND OPTICAL SEMICONDUCTOR DEVICE USING THE SAME - The present invention relates to an epoxy resin composition for an optical semiconductor device having an optical semiconductor element mounting region and having a reflector that surrounds at least a part of the region, the epoxy resin composition being an epoxy resin composition for forming the reflector, the epoxy resin composition including the following ingredients (A) to (E): (A) an epoxy resin; (B) a curing agent; (C) a white pigment; (D) an inorganic filler; and (E) a specific release agent. | 05-21-2015 |
20150137167 | LIGHT-EMITTING DEVICE - A light-emitting device, comprises a light-emitting stacked layer comprising a first conductivity type semiconductor layer; a light-emitting layer formed on the first conductivity type semiconductor layer; and a second conductivity type semiconductor layer formed on the light-emitting layer and comprising a first plurality of cavities; a first planarization layer formed on a first part of the second conductivity type semiconductor layer; a first transparent conductive oxide layer formed on the first planarization layer and on a second part of the second conductivity type semiconductor layer, the first transparent conductive oxide layer including a first portion in contact with the first planarization layer and including a second portion in contact with the upper surface of the second conductivity type semiconductor layer; a first electrode formed on the first portion; and a first reflective metal layer formed between the first transparent conductive oxide layer and the first electrode. | 05-21-2015 |
20150137168 | WAVELENGTH-CONVERTING LIGHT EMITTING DIODE (LED) CHIP AND LED DEVICE EQUIPPED WITH CHIP - A wavelength-converted light emitting diode (LED) chip is provided. The wavelength-converted LED chip includes an LED chip and a wavelength-converted layer. The LED chip emits light in a predetermined wavelength region. The wavelength-converted layer is formed of a resin containing phosphor bodies of at least one kind which convert a portion of the light emitted from the LED chip into light in a different wavelength region. The wavelength-converted layer is formed on an upper surface of the LED chip, and has a convex meniscus-shaped upper surface. | 05-21-2015 |
20150144975 | LIGHT-EMITTING DEVICE - A light-emitting device comprises a substrate; and a semiconductor stack comprising a III-V group material formed on the substrate, wherein the substrate comprises a first amorphous portion adjacent to the semiconductor stack, and a portion having a material different from that of the first amorphous portion and away from the semiconductor stack, wherein the first amorphous portion has a first refractive index, the portion has a second refractive index, and the first refractive index is higher than the second refractive index and lower than a refractive index of the semiconductor stack. | 05-28-2015 |
20150144976 | LED WITH LIGHT DIVERGENT LENS - An LED includes a base, a chip mounted on the base and a lens covering the chip. The lens has a light incident face contacting the chip and a light emerging face away from the chip. The light emerging face includes a first face confronting the chip and a second face surrounding the first face. The first face is a conical face having a diameter gradually decreasing towards the chip, and the second face is a conical face having a diameter gradually increasing towards the chip. | 05-28-2015 |
20150144977 | LIGHT EMITTING DIPLAY DEVICE AND MANUFACTURING METHOD OF THE LIGHT EMITTING DISPLAY DEVICE - The present invention aims to control power consumption of a light emitting display device by reducing parasitic capacitance between wires in a drive circuit part of a periphery region. The light emitting display device of the present invention includes an insulation film arranged above a substrate, a first wiring arranged above the insulation film in a pixel region, a second wiring arranged above the insulation film in a periphery region of a periphery of the pixel region, a common electrode continuously arranged to the pixel region and the periphery region, a first insulation layer arranged between the first wiring and the common electrode, and a second insulation layer arranged between the second wiring and the common electrode and having a larger thickness than the first insulation layer. | 05-28-2015 |
20150144978 | Light Extraction Element - Described herein are elements for light emitting devices comprising: an emissive element comprising a host material and an emissive guest material and substantially free of light scattering material; and a light scattering element comprising either a non-emissive or an emissive material, wherein the light scattering element is between about 2.5% to about 60% by volume voids and the thickness ratio of light scattering element to the emissive element is at least 1 to about 2. | 05-28-2015 |
20150144979 | NANOSTRUCTURE LAYER AND LIGHT EMITTING DIODE WITH THE SAME - A nanostructure layer includes a number of nanostructures, wherein the number of nanostructures are aligned along a number of straight lines, a size of each of the number of nanostructures ranges from about 20 nanometers to about 100 nanometers, a distance between adjacent two nanostructures ranges from about 10 nanometers to about 300 nanometers, and each of the number of nanostructures includes a core and a shell coated on the core. A light emitting diode with the nanostructure layer is also provided. | 05-28-2015 |
20150144980 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - The present disclosure provides a light-emitting device and manufacturing method thereof. The light-emitting device comprises: a metal connecting structure; a barrier layer on the metal connecting structure, the barrier layer comprising a first metal multilayer on the metal connecting structure and a second metal multilayer on the first metal multilayer; a metal reflective layer on the barrier layer; and a light-emitting stack electrically coupled to the metal reflective layer, wherein the first metal multilayer comprises a first metal layer comprising a first metal material and a second metal layer comprising a second metal material, and the second metal multilayer comprises a third metal layer comprising a third metal material and a fourth metal layer comprising a fourth metal material. | 05-28-2015 |
20150144981 | LIGHT EMITTING DIODE HAVING DISTRIBUTED BRAGG REFLECTOR - A light-emitting diode (LED) according to an exemplary embodiment includes a light-emitting structure arranged on a first surface of a substrate, the light-emitting structure including a first conductivity-type semiconductor layer, a second conductivity-type semiconductor layer, and an active layer interposed between the first conductivity-type semiconductor layer and the second conductivity-type semiconductor layer. A first distributed Bragg reflector is arranged on a second surface of the substrate opposite to the first surface, the first distributed Bragg reflector to reflect light emitted from the light-emitting structure. The first distributed Bragg reflector has a reflectivity of at least 90% with respect to blue, green, and red light. | 05-28-2015 |
20150144982 | LED PACKAGE AND MANUFACTURING PROCESS OF SAME - A LED package is formed of a substrate, an LED chip, an insulated layer, and a fluorescent adhesive layer. The substrate includes a positive contact and a negative contact. The LED chip is fixed to the substrate and includes a positive terminal and a negative terminal, the former of which is electrically connected with the positive contact and latter is electrically connected with the negative contact. The insulated layer is mounted to the surface of the substrate and surrounds the LED chip. The fluorescent adhesive layer is mounted to a surface of the insulated layer and covers the LED chip. In this way, the LED package can reduce the production cost and the whole size. | 05-28-2015 |
20150291879 | PHOSPHOR, PHOSPHOR-CONTAINING COMPOSITION AND LIGHT-EMITTING UNIT USING PHOSPHOR, AND IMAGE DISPLAY DEVICE AND LIGHTING DEVICE USING LIGHT-EMITTING UNIT - The phosphor of the present invention comprises a crystal phase having a chemical composition represented by the following formula [2], the crystal phase having no garnet structure, and the phosphor having an emission peak in a wavelength range of 600 nm to 650 nm by being activated by at least Mn | 10-15-2015 |
20150295129 | LUMINESCENT DEVICE AND MANUFACTURING METHOD FOR LUMINESCENT DEVICE AND SEMICONDUCTOR DEVICE - A luminescent device and a manufacturing method for the luminescent device and a semiconductor device which are free from occurrence of cracks in a compound semiconductor layer due to the internal stress in the compound semiconductor layer at the time of chemical lift-off. The luminescent device manufacturing method includes forming a device region on part of an epitaxial substrate through a lift-off layer; forming a sacrificing portion, being not removed in a chemical lift-off step, around device region on epitaxial substrate; covering epitaxial substrate and semiconductor layer and forming a covering layer such that level of surface thereof in the region away from device region is lower than luminescent layer surface; removing covering layer on semiconductor layer, and that on sacrificing portion surface; forming a reflection layer on covering layer surface and semiconductor layer surface; and forming a supporting substrate by providing plating on reflection layer. | 10-15-2015 |
20150295130 | Light-Emitting Device and Fabrication Method Thereof - A light-emitting device of little aging electric leakage and high luminous efficiency and fabrication thereof, in which, the light-emitting device includes: a semiconductor epitaxial laminated layer that comprises an N-type semiconductor layer, a P-type semiconductor layer and a light-emitting layer between the N-type semiconductor layer and the P-type semiconductor layer, the surface of which has deflected dislocation; electromigration resistant metal that fills into the deflected dislocation over the N-type or/and P-type semiconductor layer surface through pretreatment to block the electromigration channel formed over the semiconductor epitaxial laminated layer due to deflected dislocation to eliminate electric leakage. | 10-15-2015 |
20150295135 | LIGHT EMITTING DEVICE - A light emitting device includes a light emitting structure having a first conductive semiconductor layer, an active layer under the first conductive semiconductor layer, and a second conductive semiconductor layer under the active layer; a first electrode disposed on the light emitting structure, configured as a plurality of dots and electrically connected to the first conductive semiconductor layer; an electrode pad electrically connected to the first electrode; and a second electrode electrically connected to the second conductive semiconductor layer. | 10-15-2015 |
20150295136 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - A semiconductor light-emitting device of the invention includes: a semiconductor layer including a light-emitting layer and having a first major surface and a second major surface opposite to the first major surface; a phosphor layer facing to the first major surface; an interconnect layer provided on the second major surface side and including a conductor and an insulator; and a light-blocking member provided on a side surface of the semiconductor layer and being opaque to light emitted from the light-emitting layer. | 10-15-2015 |
20150295138 | LIGHT EMITTING DIODE MODULE FOR SURFACE MOUNT TECHNOLOGY AND METHOD OF MANUFACTURING THE SAME - An LED is provided to include: a first conductive type semiconductor layer; an active layer positioned over the first conductive type semiconductor layer; a second conductive type semiconductor layer positioned over the active layer; and a defect blocking layer comprising a masking region to cover at least a part of the top surface of the second conductive semiconductor layer and an opening region to partially expose the top surface of the second conductive type semiconductor layer, wherein the active layer and the second conductive type semiconductor layer are disposed to expose a part of the first conductive type semiconductor layer, and wherein the defect blocking layer comprises a first region and a second region surrounding the first region, and a ratio of the area of the opening region to the area of the masking region in the first region is different from a ratio of the area of the opening region to the area of the masking region in the second region. | 10-15-2015 |
20150295146 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING LIGHT EMITTING DEVICE - A light emitting device includes at least one semiconductor light emitting element, and a wavelength conversion layer which is formed on a surface of the semiconductor light emitting element and which includes a resin layer containing a wavelength conversion member for converting a wavelength of light emitted from the semiconductor light emitting element. The wavelength conversion layer covers an upper surface or the upper surface and a side surface of the semiconductor light emitting element. A content of an inorganic material including the wavelength conversion member, or a content of an inorganic material including the wavelength conversion member and an inorganic filler, in the resin layer is 30% by mass or more and 99% by mass or less. | 10-15-2015 |
20150295147 | Method Of Manufacturing An Integrated Piece Comprising A Convex Cured Product And A Substrate - The present invention relates to a method of manufacturing an integrated piece comprising a convex cured product and a substrate, the method comprising a step of: depositing dropwise or dispensing a curable silicone composition onto the pre-heated substrate, the composition reaching a torque value of 1 dN·m within 60 seconds from immediately after beginning measurement as measured using a curelastometer according to JIS K 6300-2, at the temperature to which the substrate is heated, and having a viscosity at said temperature of at least 0.05 Pa·s. The method allows for the efficient manufacture of a hemispherical, hemicylindrical, dome-shaped, or similar convex cured product upon a substrate using a curable silicone composition. | 10-15-2015 |
20150295149 | LED PACKAGE AND METHOD FOR MANUFACTURING SAME - There are provided a light emitting diode (LED) package including a heat slug to have excellent heat dissipation efficiency and a manufacturing method thereof, the LED package including: a lead frame receiving power supplied thereto; an LED chip electrically connected to the lead frame; a heat slug provided with a mounting part having the LED chip mounted thereon and outwardly discharging heat generated by the LED chip; and a body part covering at least a portion of an outer circumferential surface of the heat slug, wherein at least a portion of a circumferential region of the body part has higher heat resistance than that of an internal region thereof. | 10-15-2015 |
20150295152 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device includes a semiconductor light emitting element, a resin layer, and a metal wire. The semiconductor light emitting element includes a semiconductor stack and an electrode. The semiconductor stack has one surface. The metal wire has a first surface, a second surface opposite to the first surface, and an end surface between the first surface and the second surface. The metal wire is provided in the resin layer and electrically connected to an upper surface of the electrode via the first surface. The end surface of the metal wire is exposed from the resin layer. A lower end of the end surface closest to the first surface of the metal wire that is exposed from the resin layer is provided at an opposite side of the one surface of the semiconductor stack with respect to the upper surface of the electrode. | 10-15-2015 |
20150295153 | SEMICONDUCTOR DEVICE - The present invention provides a semiconductor device in which wet-spreading of an adhesive member for bonding the semiconductor element on a base body is suppressed. | 10-15-2015 |
20150299348 | OPTICAL COMPOSITION - The present invention aims to reduce excess scattering in light emitting arrangements. A composition comprising a transparent matrix comprising an anionic organic moiety, and metal cations dispersed in the matrix is disclosed. The anionic organic moiety and the metal cations form an organometallic complex, and wherein the metal cations are capable of forming transparent nano-crystals upon contact with an agent comprising at least one element selected from the group consisting of sulfur and selenium. Also an optical composition comprising a transparent matrix comprising an organic moiety residue, and unmodified nanocrystals is disclosed. Further, a method of preparing an optical composition comprising the steps of: providing a transparent matrix comprising an anionic organic moiety; dispersing metal cations into the matrix; and contacting the organometallic complex with an agent in order to in situ convert at least part of the metal cations into transparent nanocrystals. | 10-22-2015 |
20150299465 | RESIN COMPOSITION AND METHOD FOR MANUFACTURING THE SAME - An alkoxysilane containing reactive organic functional groups is prepared as one of raw materials. The reactive organic functional groups are caused to react to bond with each other in advance so as to form an oligomer. The oligomer is swollen in an alkoxysilane of an identical or different kind, so that a sol solution is prepared and the sol solution is cured through a sol-gel reaction. | 10-22-2015 |
20150301240 | METHOD FOR REPAIRING DISPLAY SUBSTRATE, DISPLAY SUBSTRATE AND DISPLAY APPARATUS - A method for repairing a display substrate, comprising steps of: forming a black matrix pattern on a substrate, wherein there is a first pattern missing region in the black matrix pattern; forming a color filter layer pattern on the substrate on which the black matrix pattern is formed; removing all patterns from the substrate within the first pattern missing region by laser processing the first pattern missing region of the substrate based on a position of the first pattern missing region; and filling a first repair material into the first pattern missing region of the substrate processed by laser so as to repair the first pattern missing region. The present invention also discloses a display substrate and a display apparatus. | 10-22-2015 |
20150303352 | LIGHT-EMITTING DEVICE, LIGHT-EMITTING DEVICE PACKAGE, AND LIGHT UNIT - A light-emitting device, according to one embodiment, comprises: a light-emitting structure comprising a first conductive semiconductor layer, an active layer which is underneath the first conductive semiconductor layer, and a second conductive semiconductor layer which is underneath the active layer; a reflective electrode which is arranged under the light-emitting structure; a first metal layer which is arranged under the reflective electrode and is electrically connected to the second conductive semiconductor layer; a second metal layer which is arranged under the reflective electrode and is insulated from the first metal layer; and a contact portion for electrically connecting the second metal layer and the first conductive semiconductor layer. | 10-22-2015 |
20150303354 | LIGHT-EMITTING DIODE INCLUDING A PLURALITY OF LUMINESCENT REGIONS - Various embodiments may relate to A light-emitting diode, including an LED chip having at least one emitter surface for emitting primary light, and a plurality of luminescent regions, which are connected optically downstream from the at least one emitter surface. At least one harder one of the luminescent regions is embedded in another, softer one of the luminescent regions. | 10-22-2015 |
20150303355 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode, an insulating film, a p-side draw out electrode, an n-side draw out electrode, a resin, a fluorescent layer, and a fluorescent reflecting film. The semiconductor layer includes a first face, a second face opposite to the first face, and a light emitting layer. The fluorescent layer is provided on the first face side of the semiconductor layer. The fluorescent reflecting film is provided between the first face and the fluorescent layer. | 10-22-2015 |
20150303356 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor light emitting device that achieves miniaturization and high brightness is provided. The semiconductor light emitting device has a light extraction surface ( | 10-22-2015 |
20150303358 | LIGHT EMITTING DIODE - Provided are a light emitting diode, a method of manufacturing the same, and a use thereof. The light emitting diode having excellent initial light flux and excellent color uniformity and dispersion, the method of manufacturing the same, and the use thereof may be provided. | 10-22-2015 |
20150303359 | High Efficiency Light Emitting Diode Package Suitable for Wafer Level Packaging - An LED package and method for LED packaging is disclosed. In one embodiment, an LED package includes a carrier substrate having a predefined surface area, an LED device bonded to the carrier substrate, the LED device having a footprint area of at least fifty percent of the predefined surface area of the carrier substrate, and an encapsulant lens having a top surface inclined inwardly at an angle in the range of about 10° to about 140°. In one embodiment, the top surface of the encapsulant lens layer has a concave cone shape. In one embodiment, a wafer level packaging process includes forming an encapsulant lens layer portion having a top surface inclined inwardly at an angle in the range of about 10° to about 140° on each of a plurality of LED devices bonded to a carrier substrate wafer. | 10-22-2015 |
20150303360 | LIGHT-EMITTING-DEVICE PACKAGE AND PRODUCTION METHOD THEREFOR - A light-emitting-device package according to one aspect of the present invention includes: a metal substrate; a light emitting device disposed on a first surface of the metal substrate and configured to emit at least ultraviolet light; a pair of electrodes disposed to be spaced apart from each other on at least the first surface of the metal substrate, and electrically connected to the light emitting device; and an insulating layer provided between the metal substrate and the pair of electrodes. UV reflectance of the first surface of the metal body is higher than UV reflectance of the pair of electrodes. | 10-22-2015 |
20150307717 | COATING LIQUID AND LED DEVICE INCLUDING REFLECTIVE LAYER MADE OF PRODUCT OF CURING THEREOF - An object of the present invention is to provide an LED device having a less-degradable reflective layer that is provided to reflect emitted light and the like from an LED element and allows efficient light extraction over long periods, and to provide a method for producing such an LED device. To achieve the object, there is provided a coating liquid including a white pigment, silane compounds, and a solvent and satisfying both of the following conditions: 0≦R2≦20 (formula 1); and 0≦R4/R3≦3 (formula 2), wherein R2, R3, and R4 are the contents (% by mole) of bifunctional, trifunctional, and tetrafunctional silane compounds, respectively, in the total amount of the silane compounds. | 10-29-2015 |
20150311190 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - A light emitting diode (LED) package structure including a carrier substrate, a LED and an electrostatic protection device is provided. The carrier substrate includes two leadframes separated from each other and a reflective member. The reflective member encapsulates the leadframes and exposes a carrier surface of each of the leadframes. The reflective member has a cavity, and a bottom surface of the cavity is aligned with the carrier surface of each of the leadframes. The LED is disposed inside the cavity and bridges the leadframes. The electrostatic protection device is disposed inside the cavity and bridges the leadframes. The LED is connected in anti-parallel to the electrostatic protection device. | 10-29-2015 |
20150311400 | LIGHT-EMITTING DEVICE - A light-emitting device comprises: a conductive substrate; a conductive structure formed on the substrate, defining a first region and a second region laterally adjacent to the first region; a light-emitting structure formed on the first region; and a dielectric structure comprising a first dielectric layer and a second dielectric layer within the second region. | 10-29-2015 |
20150311402 | SOLID STATE LIGHTING DEVICES AND METHODS INCORPORATING NOTCH FILTERING MATERIALS - Solid state lighting devices include one or more notch filtering materials arranged to filter light emissions to exhibit a spectral notch. At least one notch filtering material may be arranged in at least one coating deposited directly on an emitter chip or on a lumiphoric material that itself is coated or otherwise deposited on an emitter chip. A notch filtering material may be combined with a lumiphoric material. Emissions of a resulting lighting device may include a CRI Ra value of at least 80 and a GAI value in a range of from 75 to 100 or from 80 to 100. | 10-29-2015 |
20150311403 | LED LIGHTING APPARATUS AND METHOD FOR MANUFACTURING THE SAME - To provide an LED lighting apparatus and a method for manufacturing the same that can improve the bonding strength between an aluminum substrate and a printed wiring substrate. An LED lighting apparatus and a method for manufacturing the same, the LED lighting apparatus includes an aluminum substrate, a plurality of reflectivity-enhanced layers formed on the aluminum substrate, an LED device bonded on said plurality of reflectivity-enhanced layers, a printed wiring substrate bonded onto a region on the aluminum substrate other than a region where the plurality of reflectivity-enhanced layers are formed, a wire for connecting between the printed wiring substrate and the LED device, a frame member formed so as to surround said LED device, and a phosphor resin deposited over a region inside the frame member. | 10-29-2015 |
20150311405 | LED DEVICE AND MANUFACTURING METHOD THEREOF - Provided is an LED device which is compact while having good luminous efficiency and a focused light distribution. This LED device is provided with: a reflective frame around the outer periphery of the LED device; an LED die which has a transparent insulating substrate, a semiconductor layer formed on the bottom surface of the transparent insulating substrate, and an outer connection electrode disposed on the semiconductor layer; and a fluorescent member which is disposed on at least the top surface of the LED die and which converts the wavelength of the light emitted from the LED die. On the inside of the reflective frame is an inclined surface in contact with the lateral surface of the fluorescent member, and the inclined surface is formed such that the inner diameter of the reflective frame widens from the bottom surface towards the top surface of the LED die. Also provided is a manufacturing method of the LED device. | 10-29-2015 |
20150311410 | LIGHT EMITTING DEVICE - A light emitting device includes a semiconductor chip including a p-type semiconductor layer and an n-type semiconductor layer, the semiconductor chip being adapted to emit light between the p-type semiconductor layer and the n-type semiconductor layer; a p-side pad electrode disposed on an upper surface side of the semiconductor chip and over the p-type semiconductor layer; an n-side pad electrode disposed on an upper surface side of the semiconductor chip and over the n-type semiconductor layer; a resin layer disposed to cover the upper surface of the semiconductor chip; a p-side connection electrode and an n-side connection electrode disposed at an outer surface of the resin layer and positioned on the upper surface side of the semiconductor chip; and a metal wire disposed in the resin. The metal wire is adapted to make connection at least one of between the p-side pad electrode and the p-side connection electrode, and between the n-side pad electrode and the n-side connection electrode. | 10-29-2015 |
20150311412 | Optical Adapter Device for Light-Emitting Diodes - An optical adapter device is provided to increases the luminous efficiency of a light emitting diode without reducing service life. The optical adapter device includes a transparent material with an increased refractive index, and an additional layer between the emitting surface of the semiconductor and said optical adapter device. The additional layer is formed from a material with a lower modulus of elasticity than the light emitting diode, and has a thickness that allows the tunneling of the light emitted from the light emitting diode. | 10-29-2015 |
20150311413 | FLIP CHIP LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - A flip-chip light emitting diode, including a substrate, an N-type semiconductor layer, a light emitting layer and a P-type semiconductor layer series mounted along a height direction of the flip-chip light emitting diode. A P electrode is formed on the P-type semiconductor layer and an N electrode is formed on the N-type semiconductor. A top surface of the substrate is away from the light emitting layer. A plurality of micron main portions is formed on the top surface. An outer surface of each main body has a plurality of nanometer protrusions. A method for manufacturing the flip chip light emitting diode is also provided. | 10-29-2015 |
20150311416 | LIGHT-EMITTING ELEMENT AND THE LIGHT-EMITTING ARRAY HAVING THE SAME - A light-emitting element includes a light-emitting structure, a plurality of first contact portions disposed separately on the light-emitting structure, and a plurality of reflective portions disposed separately among the first contact portions. | 10-29-2015 |
20150311417 | WIRING SUBSTRATE - A wiring substrate includes a heat sink, an insulation layer, first and second wiring layers, first and second through wirings, and first and second pads. The insulation layer is arranged on the heat sink with an adhesive layer located in between. The insulation layer includes first and second through holes. The first and second wiring layers are arranged on a surface of the insulation layer in contact with the adhesive layer. The first and second wiring layers are embedded in the adhesive layer. The first through wiring formed in the first through hole is connected to the first wiring layer and thermally coupled to the semiconductor device. The second through wiring formed in the first through hole is connected to the second wiring layer and electrically connected to the semiconductor device. The pads cover exposed surfaces of the through wirings. | 10-29-2015 |
20150311476 | LIGHT EMITTING DEVICE WITH IMPROVED INTERNAL OUT-COUPLING AND METHOD OF PROVIDING THE SAME - The present invention relates to a method of manufacturing a light emitting device with improved internal out-coupling by providing an intermediate layer ( | 10-29-2015 |
20150315462 | PROCESS FOR PREPARING RED-EMITTING PHOSPHORS - A process for preparing a Mn | 11-05-2015 |
20150318339 | LIGHT-EMITTING DEVICE AND INPUT/OUTPUT DEVICE - To provide a light-emitting device or an input/output device with little unevenness in display luminance or high reliability and to provide an input/output device with high detection sensitivity, a light-emitting device is configured to include a first substrate, a light-emitting element over the first substrate, a first conductive layer over the light-emitting element, a first insulating layer over the first conductive layer, a second conductive layer over the first insulating layer, and a second substrate over the second conductive layer. The light-emitting element includes a first electrode over the first substrate, a layer containing a light-emitting organic compound over the first electrode, and a second electrode over the layer containing a light-emitting organic compound. The second electrode is electrically connected to the first and second conductive layers. The first conductive layer and the second electrode transmit light emitted from the light-emitting element. The resistance of the second conductive layer is lower than that of the second electrode. | 11-05-2015 |
20150318436 | METHOD FOR SEPARATING GROWTH SUBSTRATE, METHOD FOR MANUFACTURING LIGHT-EMITTING DIODE, AND LIGHT-EMITTING DIODE MANUFACTURED USING METHODS - Disclosed are a method for separating a growth substrate, a method for manufacturing a light-emitting diode, and the light-emitting diode. The method for separating a growth substrate, according to one embodiment, comprises: preparing a growth substrate; forming a sacrificial layer and a mask pattern on the growth substrate; etching the sacrificial layer by using electrochemical etching (ECE); covering the mask pattern, and forming a plurality of nitride semiconductor stacking structures which are separated from each other by an element separation area; attaching a support substrate to the plurality of semiconductor stacking structures, wherein the support substrate has a plurality of through-holes connected to the element separation area; and separating the growth substrate from the nitride semiconductor stacking structures. | 11-05-2015 |
20150318439 | OPTOELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - An optoelectronic device includes a substrate; a first semiconductor layer having a first conductivity-type impurity formed on the substrate, an active layer formed on the first semiconductor layer, and a second semiconductor layer having a second conductivity-type impurity formed on the active layer; and a hollow component formed inside the active layer or the second semiconductor layer, wherein a material of the active layer or a material of the second semiconductor layer comprises group IIIA nitride semiconductor. | 11-05-2015 |
20150318447 | Display Apparatus and Method of Manufacturing the Same - Provided are a display apparatus and a method of manufacturing the display apparatus. A color filter layer including at least a red color filter, a green color filter, and a blue color filter is disposed on a first substrate. A black matrix is disposed on the color filter layer. A color filter overlapped unit where the red, green and blue color filters are overlapped is disposed in a black matrix area corresponding to the black matrix. The color filter overlapped unit has a lower reflectivity with respect to an external light than the other color filters. The color filter overlapped unit is formed in the black matrix area, and the color filter overlapped unit is formed by overlapping the blue, red and green color filters in order, and, thus, can prevent mixing of colors and reduce reflection with respect to an external light. | 11-05-2015 |
20150318451 | LIGHT EMITTING DEVICE - A light emitting device of an embodiment includes a light emitting element emitting near-ultraviolet light or blue light as exciting light; and a yellow color conversion layer including a yellow phosphor and a resin, the yellow phosphor represented by formula (1) and being capable of converting the exciting light to yellow light, the resin surrounding the yellow phosphor, the yellow color conversion layer containing the yellow phosphor at a volume concentration of at most 7%, the yellow color conversion layer having a region whose cross section parallel to a light emitting surface of the light emitting element has an area larger than the light emitting surface, | 11-05-2015 |
20150318453 | PACKAGE METHOD AND PACKAGE - A package method includes steps of providing a light emitting module, a mold and a molding compound, wherein the light emitting module includes a substrate and at least one light emitting unit disposed on the substrate, the mold has at least one recess, and a side wall of the recess is parallel to a side surface of the light emitting unit; filling the recess with the molding compound; placing the substrate on the mold reversely, so that the light emitting unit is immersed into the recess and the molding compound directly encapsulates the light emitting unit; and heating and pressing the substrate and the mold, so as to solidify the molding compound. | 11-05-2015 |
20150318454 | LIGHT EMITTING DIODE - Provided are a light emitting diode, a method of manufacturing the same, and a use thereof. The light emitting diode having excellent initial light flux and excellent color uniformity and dispersion, the method of manufacturing the same, and the use thereof may be provided. | 11-05-2015 |
20150318456 | OPTICAL LENS DEVICE - The present invention provides an optical lens device, comprising a LED module and an optical lens. The structure of the optical lens is symmetrically formed with respect to a central axis, the structure comprising a funnel-like upper face, a base face and a lateral face. At the center of base face, there is projectingly provided with a light-guiding structure. Rays emitted from the LED module are allowed to enter into the optical lens through the light-guiding structure, and a part of wide-angle rays are totally reflected by a lateral side of the light-guiding structure to be directed toward the upper face, and then emitted through the lateral face after totally reflected by the upper face. Accordingly, the side illuminating rays may be increased by directing the part of wide-angle rays to be emitted through the lateral face, and thus the luminous efficacy of side illumination is enhanced. | 11-05-2015 |
20150325740 | LED UNIT AND MANUFACTURING METHOD THEREOF - An LED unit according to the invention has a resin housing which is detachably assembled to a vehicle lamp, a lead frame which has a terminal portion which is connected to an exterior terminal, an LED mounting portion and a control part mounting portion and lead frame which is provided integrally on the housing, an LED which is mounted on the LED mounting portion, and a control part which is mounted on the control part mounting portion for controlling the illumination of the LED. The lead frame has a support resin which is a resin material which holds the LED mounting portion in such a way as to surround the LED mounting portion. The lead frame is bent to a back side of the LED at areas outside the support resin, and the control part mounting portion and the terminal portion are formed respectively by the bent portions. | 11-12-2015 |
20150325748 | LIGHT EMITTING DEVICE - A light emitting device includes a light emitting unit, a light transmissive layer and an encapsulant. The light emitting unit includes a substrate, an epitaxial structure layer disposed on the substrate, and a first electrode and a second electrode disposed on the same side of the epitaxial structure layer, respectively. The light emitting unit is disposed on the light transmissive layer and at least a part of the first electrode and a part of the second electrode are exposed by the light transmissive layer. The encapsulant encapsulates the light emitting unit and at least exposes a part of the first electrode and a part of the second electrode. Each of the first electrode and the second electrode extends outward from the epitaxial structure layer, and covers at least a part of an upper surface of the encapsulant, respectively. | 11-12-2015 |
20150325749 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting element, includes: a laminated structure body including an n-type semiconductor layer, a p-type semiconductor layer, and a light emitting layer; a p-side electrode provided in contact with the p-type semiconductor layer; an n-side electrode provided in contact with the n-type semiconductor layer; a highly reflective insulating layer provided in contact with the n-type semiconductor layer and having a higher reflectance than a reflectance of the n-side electrode; and an upper metal layer provided on at least a part of the n-side electrode and on at least a part of the highly reflective insulating layer and electrically connected to the n-side electrode. An area of a region of the n-side electrode in contact with the n-type semiconductor layer is smaller than an area of a region of the highly reflective insulating layer sandwiched between the n-type semiconductor layer and the upper metal layer. | 11-12-2015 |
20150325750 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor light-emitting device is provided. The semiconductor light-emitting device may include a light-emitting structure, an electrode, an ohmic layer, an electrode layer, an adhesion layer, and a channel layer. The light-emitting structure may include a compound semiconductor layer. The electrode may be disposed on the light-emitting structure. The ohmic layer may be disposed under the light-emitting structure. The electrode layer may include a reflective metal under the ohmic layer. The adhesion layer may be disposed under the electrode layer. The channel layer may be disposed along a bottom edge of the light-emitting structure. | 11-12-2015 |
20150325751 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element includes a first conductive type semiconductor layer, a light emitting layer, and a second conductive type semiconductor layer disposed in this order. The semiconductor light emitting element includes first and second electrodes, a first insulating film and a translucent electrode. The first electrode is provided on the first conductive type semiconductor layer and includes a first pad portion and a first extending portion. The first insulating film covers the first extending portion. The translucent electrode is connected to an upper surface of the second conductive type semiconductor layer and extends over the first insulating film. The second electrode is connected to the translucent electrode at a position on the first insulating film. The second electrode includes a second pad portion and a second extending portion extending along the first extending portion so as to be superimposed over the first extending portion. | 11-12-2015 |
20150325752 | LIGHT EMITTING DEVICE HAVING WIDE BEAM ANGLE AND METHOD OF FABRICATING THE SAME - A light emitting device having a wide beam angle and a method of fabricating the same. The light emitting device includes a light emitting structure, a substrate disposed on the light emitting structure, and an anti-reflection layer covering side surfaces of the light emitting structure and the substrate, and at least a portion of an upper surface of the substrate is exposed. | 11-12-2015 |
20150325757 | LIGHTING DEVICE - A lighting may include a substrate, a light emitting device disposed on the substrate, a wavelength conversion layer which is disposed on the light emitting device and converts a part of first light emitted from the light emitting device into second light having a wavelength different from that of the first light, and a resin which is disposed on the substrate and buries the light emitting device and at least a portion of the wavelength conversion layer. An area of the top surface of the wavelength conversion layer is greater than that of the bottom surface of the wavelength conversion layer. The side surface of the wavelength conversion layer is inclined at a predetermined angle with respect to the top surface or the bottom surface. | 11-12-2015 |
20150325758 | LIGHT EMITTING DIODE PACKAGE STRUCTURE - A LED package structure including a carrier substrate, a flip-chip LED and a molding compound is provided. The carrier substrate includes a main body and a patterned conductive layer embedded in the main body. The main body is composed of polymer material. The main body has a cavity, and a bottom surface of the cavity is aligned with an upper surface of the patterned conductive layer. A difference in coefficient of thermal expansion between the main body in a rubbery state and the patterned conductive layer is smaller than 30 ppm/° C. The flip-chip LED is disposed inside the cavity and electrically connected to the patterned conductive layer. The molding compound is disposed inside the cavity and encapsulates the flip-chip LED. A vertical distance between a top surface of the molding compound and the bottom surface of the cavity is smaller than or equal to a depth of the cavity. | 11-12-2015 |
20150325761 | MOUNTING SUBSTRATE AND LIGHT-EMITTING DEVICE USING THE SAME - To provide a mounting substrate wherein insulation resistance of a metal substrate having an oxide film formed on the surface thereof is ensured, and light reflectance is improved by preventing a light-reflecting material contained in a reflection layer from diffusing into a surface of the metal substrate. | 11-12-2015 |
20150327446 | LED LIGHT SOURCE FOR PLANT CULTIVATION - A plant cultivation LED light source ( | 11-19-2015 |
20150333224 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a stacked structure body, first and second electrodes. The stacked structure body includes first and second semiconductor layers and a light emitting layer provided between the second and first semiconductor layers, and has first and second major surfaces. The first electrode has a first contact part coming into contact with the first semiconductor layer. The second electrode has a part coming into contact with the second semiconductor layer. A surface of the first semiconductor layer on a side of the first major surface has a first part having a part overlapping a contact surface with the first semiconductor layer and a second part having a part overlapping the second semiconductor layer. The second part has irregularity. A pitch of the irregularity is longer than a peak wavelength of emission light. The first part has smaller irregularity than the second part. | 11-19-2015 |
20150333227 | LIGHT EMITTING DEVICE PACKAGE STRUCTURE AND MANUFACTURING METHOD THEREOF - A light emitting device package structure and a manufacturing method thereof are provided. The light emitting device package structure includes a light emitting device and a protecting element. The light emitting device has an upper surface and a lower surface opposite to each other, a side surface connecting the upper surface and the lower surface and a first electrode pad and a second electrode pad located on the lower surface and separated from each other. The protecting element encapsulates the side surface of the light emitting device and exposes at least portion of the upper surface, at least portion of a first bottom surface of the first electrode pad and at least portion of a second bottom surface of the second electrode pad. | 11-19-2015 |
20150333233 | LIGHT EMITTING DEVICE - The purpose of the present invention is to provide a light emitting device which emits light having less chromaticity unevenness for a long period of time. In order to achieve the above-mentioned purpose, an LED device (a light emitting device) is configured to comprise: a light emitting member which has a package and an LED chip mounted on the package; and a wavelength conversion/light diffusion member which is fitted on the light extraction surface side of the light emitting member and has a glass substrate, and a wavelength conversion layer and a light diffusion layer that are formed on the glass substrate. The wavelength conversion layer contains phosphor particles, and the light diffusion layer contains light diffusion particles and a curbed product of an organosilicon compound. | 11-19-2015 |
20150333234 | Method and Apparatus for Coupling Light-Emitting Elements with Light-Converting Material - Light-emitting elements such as LEDs are associated with light-converting material such as phosphor and/or other material. A donor substrate comprising the light-converting and/or other material is suitably placed relative to a target substrate associated with the light-emitting elements. A laser or other energy source is then used to transfer the light-converting and/or other material in a pattern via writing or masking from the donor substrate to the target substrate in accordance with the pattern. Addressability and targetability of the transfer process facilitates precise patterning of the target substrate. | 11-19-2015 |
20150333235 | Optoelectronic Semiconductor Component and Method for Producing an Optoelectronic Semiconductor Component - The invention relates to an optoelectronic semiconductor element that emits mixed-color radiation when in operation. The optoelectronic semiconductor component comprises an optoelectronic semiconductor chip, a conversion element that has a curvature, and a spacer element that is arranged between the optoelectronic semiconductor chip and conversion element. The spacer has a curved surface that faces the conversion element, with the conversion element being in direct contact with the curved surface. | 11-19-2015 |
20150333236 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - To provide a method of manufacturing at low cost a light emitting device that converts the wavelength of light radiated by a light emitting element and emits, the method includes: forming a phosphor layer on a translucent substrate; arranging a plurality of light emitting elements with a predetermined spacing, the light emitting elements having an electrode formed face provided with positive and negative electrodes respectively and arranged with the electrode formed faces on the top; embedding a resin containing phosphor particles so that an upper face of the embedded resin does not bulge over a plane containing the electrode formed faces; and curing the resin, and then cutting and dividing the cured resin, the phosphor layer and the translucent substrate into a plurality of light emitting devices each including one or more of the light emitting elements. | 11-19-2015 |
20150333238 | PACKAGE STRUCTURE AND METHOD OF FABRICATING THE SAME - A package structure is provided, which includes a metal element, a light emitting element disposed on the metal element, an insulative body encapsulating the light emitting element, a conductive adhesive coupled to the light emitting element, and a phosphor layer covering the light emitting element and the conductive adhesive. By using the conductive adhesive as a circuit, the fabricating cost can be reduced for meeting the low-profile requirement. The present invention further provides a method of fabricating the package structure. | 11-19-2015 |
20150340563 | CONTROL OF P-CONTACT RESISTANCE IN A SEMICONDUCTOR LIGHT EMITTING DEVICE - A device according to embodiments of the invention includes a semiconductor device structure ( | 11-26-2015 |
20150340564 | PROTECTIVE COMPOSITION - A protective composition for use in an optoelectronic device, said protective composition comprises an organometallic getter capable of reacting with sulfur and/or selenium to form crystals containing a metal and sulfur and/or selenium. The protective composition may form a layer or an optical component. Advantageously, the protective composition effectively removes sulfide gas and results in the formation of stable crystals, and does not merely reduce the permeation rate of the gas. The resulting crystals typically have high transparency and low light absorption. | 11-26-2015 |
20150340565 | LIGHT EMITTING MODULE - A light emitting module includes: a semiconductor light emitting element that emits light of a first color; a wavelength conversion layer that subjects at least a portion of the light of the first color to wavelength conversion and emits light of a second color; and a diffusing layer that diffuses at least one of the light of the first color and the light of the second color. The diffusing layer includes a matrix and a diffusing member distributed in the matrix. The wavelength conversion layer includes a wavelength conversion substance. A difference between a refractive index of the wavelength conversion layer and a refractive index of the matrix is 0.3 or less, and a difference between a refractive index of the matrix and a refractive index of the diffusing member is 0.05 or more. | 11-26-2015 |
20150340566 | LED WITH SHAPED GROWTH SUBSTRATE FOR SIDE EMISSION - An array of optical features is formed in a surface of a relatively thick growth substrate wafer. LED layers are epitaxially grown over the opposite surface of the growth substrate wafer. The LED layers include an active layer that emits light towards the growth substrate wafer. The resulting LED wafer is singulated to form individual LED dies having a growth substrate portion, wherein each growth substrate portion has at least one of the optical features. The optical features redirect a majority of light emitted from the active layer to exit the LED die through sidewalls of the growth substrate portion. The side-emitting LED die is mounted in a reflective cup and encapsulated with a phosphor material. The LED light thus energizes phosphor grains that are not overlying the LED die, so less phosphor light is absorbed by the LED die and efficiency is improved. | 11-26-2015 |
20150340567 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR PRODUCING THE SAME - A method for producing a semiconductor light emitting device includes a light-emitting-element provision step, a light-emitting-element placement step, and a light-reflection-layer coating step, in this order. In the light-emitting-element provision step, a light emitting element is prepared which includes a semiconductor layer structure on the lower-surface side of a substrate. In the light-emitting-element placement step, the light emitting element is placed on or above a supporting member from the semiconductor layer structure side. In the light-reflection-layer coating step, the surfaces of the substrate and the semiconductor layer structure is coated with a light reflection layer by using atomic layer deposition so as to expose at least a part of the upper surface or a part of side surface of the substrate as a light-extracting region. The intended functioning of the light reflection layer can be ensured. The highly reliable light reflection layer can make the device good quality. | 11-26-2015 |
20150340569 | LIGHT EMITTING DEVICE - A light emitting device has a base body equipped with a base material and a pair of connection terminals disposed from a first main face to a second main face that is on the opposite side from the first main face; a light emitting element connected to the connection terminals on the first main face; and a light reflecting member that covers the side faces of the light emitting element, the base material having a protruding component on the second main face, and the connection terminals being disposed on the first main face from the second main face on both sides of the protruding component, and being partly exposed from the light reflecting member on both sides of the first main face. | 11-26-2015 |
20150340571 | WAVELENGTH CONVERSION MEMBER AND LIGHT-EMITTING DEVICE - A wavelength conversion member which is a resin-molded article made of at least one type of thermoplastic resin selected from polyolefins, polystyrene, styrene copolymers, fluorocarbon resins, acrylic resins, nylons, polyester resins, polycarbonate resins, vinyl chloride resins, and polyether resins. The thermoplastic resin contains less than or equal to 30 mass % of a complex fluoride fluorophore represented by A | 11-26-2015 |
20150340574 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - The light emitting device is manufactured by processing that includes forming encapsulating member at least on the upper surface and upper surface perimeter of a light emitting element, removing at least the part of the encapsulating member that is on upper surface of the light emitting element and form a cavity with a perimeter that surrounds the light emitting element, and forming a wavelength-conversion layer inside the cavity to convert the wavelength of light emitted from the light emitting element. | 11-26-2015 |
20150340577 | METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE - A manufacturing method of a light emitting device includes a light emitting element disposed over a substrate and a reflective resin disposed along the side surface of the light emitting element. The method includes disposing light emitting elements in a matrix over an aggregate substrate, and disposing a semiconductor element between the adjacent light emitting elements in one direction of column and row directions of the light emitting elements in the matrix. A reflective resin is disposed to cover the semiconductor elements along the side surfaces of the light emitting elements and the side surfaces of the phosphor layers. The reflective resin and the substrate disposed in between the adjacent light emitting elements is cut in the column or row direction and between the light emitting element and the adjacent semiconductor element in the other direction, to include a light emitting element or a semiconductor element. | 11-26-2015 |
20150340579 | WAFER-LEVEL LIGHT EMITTING DIODE PACKAGE AND METHOD OF FABRICATING THE SAME - Exemplary embodiments of the present invention provide a wafer-level light emitting diode (LED) package and a method of fabricating the same. The LED package includes a semiconductor stack including a first conductive type semiconductor layer, an active layer, and a second conductive type semiconductor layer; a plurality of contact holes arranged in the second conductive type semiconductor layer and the active layer, the contact holes exposing the first conductive type semiconductor layer; a first bump arranged on a first side of the semiconductor stack, the first bump being electrically connected to the first conductive type semiconductor layer via the plurality of contact holes; a second bump arranged on the first side of the semiconductor stack, the second bump being electrically connected to the second conductive type semiconductor layer; and a protective insulation layer covering a sidewall of the semiconductor stack. | 11-26-2015 |
20150340580 | LIGHT EMITTING DEVICE AND LIGHTING APPARATUS HAVING THE SAME - Disclosed are a light emitting device. The light emitting device include first and second lead frames, a first body on the first and second lead frames and including an open region, a second body on the first body and including a first opening, a light emitting chip on the opening region, and a transmissive layer on the light emitting chip. The first body and the second body are formed of a resin material. A top surface of the first body is located at a position lower than a position of a top surface of the light emitting chip. The second body includes a bottom surface located at a position lower than the position of the top surface of the light emitting chip. The first body comprises an inclined part around the light emitting chip. The first body includes a reflectance material and the second body includes a transmissive material. | 11-26-2015 |
20150340581 | LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - A light emitting diode includes: at least one light emitting chip; a substrate including lead frames electrically connected to electrodes of the at least one light emitting chip; a lens disposed on the substrate and enclosing the at least one light emitting chip; and an oil disposed in the lens and the substrate. | 11-26-2015 |
20150344774 | YELLOW LIGHT EMITTING PHOSPHOR AND LIGHT EMITTING DEVICE PACKAGE USING THE SAME - Disclosed are phosphors and, more particularly, yellow light emitting phosphors and light emitting device packages using the same. The yellow light emitting phosphor includes a first phosphor including at least one of Lu | 12-03-2015 |
20150346397 | OPTOELECTRONIC DEVICE - The invention relates to an optoelectronic device ( | 12-03-2015 |
20150349200 | MICRO-LIGHT-EMITTING DIODE - A micro-light-emitting diode (micro-LED) includes a first type semiconductor layer, a second type semiconductor, a first current controlling layer, a first electrode, and a second electrode. The second type semiconductor layer and the first current controlling layer are joined with the first type semiconductor layer. The first current controlling layer has at least one opening therein. The first electrode is electrically coupled with the first type semiconductor layer through the opening. The second electrode is electrically coupled with the second type semiconductor layer. At least one of the first electrode and the second electrode has a light-permeable part. A vertical projection of the first current controlling layer on said one of the first electrode and the second electrode overlaps with the light-permeable part. The light-permeable part is transparent or semi-transparent. | 12-03-2015 |
20150349202 | SEMICONDUCTOR LIGHT-EMITTING ELEMENT - A semiconductor light-emitting element includes a substrate and a semiconductor stack portion provided on the substrate and having at least a first-conductivity-type semiconductor layer, a light-emitting layer, and a second-conductivity-type semiconductor layer. The substrate has a property to allow transmission of light from the light-emitting layer, and has a hexahedral shape including a first surface on which a semiconductor stack portion is provided, a second surface located opposite to the first surface, a pair of third surfaces orthogonal to the first surface and the second surface, and a pair of fourth surfaces orthogonal to the first surface and the second surface and different from the pair of third surfaces. | 12-03-2015 |
20150349208 | LIGHT EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - Provided herein is a semiconductor light emitting device capable of increasing the light extraction efficiency and a fabricating method thereof, the device including a buffer layer formed on a substrate; an n-type semiconductor layer formed on the buffer; an active layer formed on a partial area of the n-type semiconductor layer such that the n-type semiconductor layer is exposed; a p-type semiconductor layer formed on the active layer; a transparent conductive layer formed on the p-type semiconductor layer; a first mesa surface formed along a side wall of the active layer from a side wall of the transparent conductive layer; a passivation layer formed along the first mesa surface; and a metal reflectance film formed along the passivation layer such that it re-reflects escaping light, thereby re-reflecting escaping light to increase the light extraction efficiency. | 12-03-2015 |
20150349209 | LIGHT-EMITTING ELEMENT - A light-emitting element includes a semiconductor laminate including a light-emitting layer, a transparent electrode layer formed on the semiconductor laminate, the transparent electrode layer including an oxide including indium, a pad electrode formed on the transparent electrode layer so as to connect to the transparent electrode layer, and a reflective layer including aluminum. The reflective layer is formed under the pad electrode so as not to contact the transparent electrode layer. | 12-03-2015 |
20150349210 | HIGH BRIGHTNESS LIGHT EMITTING DIODE STRUCTURE AND THE MANUFACTURING METHOD THEREOF - A light-emitting diode structure comprises a first semiconductor layer; a second semiconductor layer under the first semiconductor layer; a light-emitting layer between the first semiconductor layer and the second semiconductor layer for emitting a light; a first electrical pad on the first semiconductor layer for wire bonding; a first extension connecting to the first electrical pad; and a first reflective layer covering the first extension and exposing the first electrical pad, wherein the first electrical pad and the first extension have the same thickness, and the reflectivity of the first reflective layer is higher than that of the first extension. | 12-03-2015 |
20150349213 | RED PHOSPHOR AND LIGHT EMITTING DEVICE INCLUDING THE SAME - A red phosphor including the composition represented by the following general formula. | 12-03-2015 |
20150349214 | Optoelectronic Semiconductor Chip - An optoelectronic semiconductor chip, comprising: a semiconductor layer sequence having an active zone for generating a light radiation; and a conversion structure, comprising conversion regions for converting the generated light radiation, non-converting regions being arranged between said conversion regions. | 12-03-2015 |
20150349219 | LIGHT EMITTING DEVICE MODULE - Embodiments provide a light emitting device module including a circuit board, a light emitting device bonded to a conductive layer on the circuit board via a conductive adhesive, a phosphor layer disposed on a side surface and an upper surface of the light emitting device, and a lens on the circuit board and the phosphor layer. A void is generated between the light emitting device and the circuit board. | 12-03-2015 |
20150349220 | LIGHT EMITTING DEVICE - Disclosed is a light emitting device including a light emitting structure including a first conductive semiconductor layer, an active layer under the first conductive semiconductor layer, and a second conductive semiconductor layer under the active layer, a first electrode electrically connected with the first conductive semiconductor layer, a mirror layer under the light emitting structure, a window semiconductor layer between the mirror layer and the light emitting structure, a reflective layer under the mirror layer, a conductive contact layer between the reflective layer and the window semiconductor layer and in contact with the second conductive semiconductor layer, and a conductive support substrate under the reflective layer. The window semiconductor layer includes a C-doped P-based semiconductor doped with a higher dopant concentration. The conductive contact layer includes material different from that of the mirror layer with a thickness thinner than that of the window semiconductor layer. | 12-03-2015 |
20150349221 | LIGHT-EMITTING DEVICE PACKAGE - One aspect of a light-emitting apparatus is disclosed. The light-emitting apparatus may include a substrate having a reflective surface. The light emitting apparatus may include a reflector and conductor arranged with the surface of the substrate. The reflector has a higher reflectivity than the conductor and covers a substantially greater area of the surface than the conductor. The light emitting device may include a flip-chip LED arranged with the surface of the substrate and electrically coupled to the conductor. | 12-03-2015 |
20150349222 | Reflector Trough for an Optoelectronic Semiconductor Component - An optoelectronic semiconductor component includes a lead frame with two lead frame parts and an optoelectronic semiconductor chip. The semiconductor chip is fitted to a first of the lead frame parts. A radiation-transmissive potting body of the semiconductor component mechanically connects the lead frame parts to one another. The potting body is set up for beam shaping. The first lead frame part has a reflector trough with a base surface on which the semiconductor chip is mounted. The reflector trough has a lateral surface with three sections. When seen in a plan view of the base surface, the sections revolve around the base surface and follow one another in a direction away from the base surface. In the first section, closest to the base surface, the lateral surface is oriented perpendicular to the base surface. | 12-03-2015 |
20150349231 | LIGHT-EMITTING DEVICE - This disclosure discloses a light-emitting device. The light-emitting device includes: a heat-dissipating structure having a first part and a second part separated from the first part; a light-emitting unit including a light-emitting element with a first pad formed on the first part; and a first transparent enclosing the light-emitting element and having a sidewall; and an adhesive material covering a portion of the sidewall. | 12-03-2015 |
20150353781 | ANISOTROPIC CONDUCTIVE ADHESIVE, LIGHT EMITTING DEVICE, AND METHOD FOR PRODUCING ANISOTROPIC CONDUCTIVE ADHESIVE - Provided is a light emitting device high in the intensity of emitted light. A blue LED chip having a peak of emitted light in a wavelength range of at least 360 nm and at most 500 nm is adhered to an electrode substrate by an anisotropic conductive adhesive. A light reflecting layer made of a silver alloy on a surface of each conductive particle contained in the anisotropic conductive adhesive, and has high reflectance with respect to blue light. The light reflecting layer is formed by sputtering of a sputtering target that contains Ag, Bi, and Nd with the content ratio of Bi set to at least 0.1 weight % (wt %) and at most 3.0 wt % and the content ratio of Nd set to at least 0.1 weight % and at most 2.0 wt % with respect to the total weight of Ag, Bi, and Nd of 100 wt %. The conductive particle has high anti-migration properties. | 12-10-2015 |
20150357516 | Light-Emitting Diode Chip with Current Spreading Layer - A light-emitting diode chip includes a semiconductor layer sequence having a phosphide compound semiconductor material. The semiconductor layer sequence contains a p-type semiconductor region, an n-type semiconductor region, and an active layer arranged between the p-type semiconductor region and the n-type semiconductor region. The active region serves to emit electromagnetic radiation. The n-type semiconductor region faces a radiation exit area of the light-emitting diode chip, and the p-type semiconductor region faces a carrier of the light-emitting diode chip. A current spreading layer having a thickness of less than 500 nm is arranged between the carrier and the p-type semiconductor region. The current spreading layer has one or a plurality of p-doped Al | 12-10-2015 |
20150357517 | LIGHT EMITTING DIODE CHIP, LIGHT EMITTING DEVICE, AND WAFER-LEVEL STRUCTURE OF LIGHT EMITTING DIODE - A light emitting device is provided with a growing base having specific geometry to prevent delamination between the encapsulant and the growing base, and thereby enhance structural reliability of the light emitting device. Furthermore, the light emitting efficiency as well as uniformity of light output of the light emitting device can be improved by forming the side surface of the growing base with at least a curved portion or slanted portion, and uneven structures can be formed on the curved portion or slanted portion to further improve the uniformity of light output. Furthermore, the light emitting diode chips can be fabricated by taking batch processing on the growing substrate, as provided in the wafer-level structure, with the advantages of saving cost, improving yield, etc. | 12-10-2015 |
20150357518 | LIGHT EMITTING MODULE - A light emitting module includes: a substrate having a recess part formed thereon; a body surrounding some of side surfaces and an upper surface of the substrate; a light emitting diode chip positioned on the recess part of the substrate; and a lens positioned on the body, wherein the substrate includes a first step part positioned along an edge of the recess part and a second step part positioned along an edge of a lower surface thereof, and the lower surface of the substrate is exposed to the outside. | 12-10-2015 |
20150357524 | LIGHT-EMITTING ELEMENT - A light-emitting element comprises a light-emitting semiconductor stack comprising a first semiconductor layer, a second semiconductor layer on the first semiconductor layer, and a light-emitting layer between the first semiconductor layer and the second semiconductor layer; a first electrode comprising an contact area and an extension electrically connected to the first semiconductor layer, wherein the extension is connected to the contact area; a second electrode on the second semiconductor layer; and a first conductive part and a second conductive part formed on the light-emitting semiconductor stack and respectively electrically connected to the first electrode and the second electrode, wherein the extension is formed beyond a projected area of the second conductive part and not covered by the first conductive part, and the contact area is covered by the first conductive part. | 12-10-2015 |
20150357525 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME - To suppress or prevent erosion (decrease in film thickness), water absorption, or cracking of a DBR film surface in washing or etching treatment in a downstream process. The DBR film structure of a DBR film | 12-10-2015 |
20150357528 | LIGHT EMITTING DEVICE - A blue-light emitting device, or a pseudo-white-light emitting device, including an LED light source that includes, as a light emission component, blue light having a wavelength of from 420 to 490 nm. A color-renderability improvement member including a complex fluoride fluorophore that absorbs blue light and emits red light and that is represented by A | 12-10-2015 |
20150357529 | LIGHT-EMITTING DEVICE - In a light-emitting device, a 50%-area average diameter of primary particles of a Eu-activated β SiAlON fluorescent material is 10 μm or more, a scattering probability of scattering materials at a peak wavelength of excitation light emitted from an excitation light source is 0.1 mm | 12-10-2015 |
20150357531 | LIGHT EMITTING DEVICE - A light emitting device is provided. The light emitting device includes a blue light emitting diode (LED); and phosphors including first to third phosphors, wherein the first phosphor is excited by light emitted from the blue LED, emits light having a main wavelength of about 495 nm to about 510 nm, and includes BaSi | 12-10-2015 |
20150357532 | LIGHT EMITTING DEVICE - This light emitting device is provided with: a light emitting element which emits excitation light; and a far-red phosphor which is excited by the light of the light emitting element and emits light that has a peak wavelength within the range of 700-800 nm. The far-red phosphor has a median diameter within the range of 1-20 μm. | 12-10-2015 |
20150357536 | REFLECTING RESIN SHEET, LIGHT EMITTING DIODE DEVICE AND PRODUCING METHOD THEREOF - A reflecting resin sheet provides a reflecting resin layer at the side of a light emitting diode element. The reflecting resin sheet includes a release substrate and the reflecting resin layer provided on one surface in a thickness direction of the release substrate. The reflecting resin layer is formed corresponding to the light emitting diode element so as to be capable of being in close contact with the light emitting diode element. | 12-10-2015 |
20150357537 | SUBSTRATE STRUCTURE APPLICABLE TO VERTICAL LIGHT EMITTING ELEMENT AND VERTICAL LIGHT EMITTING ELEMENT THEREOF - The present invention discloses a substrate structure applicable to a vertical light emitting element and a vertical light emitting element thereof. The substrate structure comprises a transparent substrate and a reflective layer, and the reflective layer is used to improve a focus of a yellow light manufacturing process. The technique is applicable to PSS substrate and the substrate structure is used to produce the vertical light emitting element to increase the light emitting efficiency. | 12-10-2015 |
20150357538 | LED PACKAGE IMPROVED STRUCTURE AND FABRICATING METHOD THEREOF - A LED (Light-Emitting Diode) package structure is provided. The LED package improved structure includes a base, a plurality of metallic nanoparticles and a LED unit. The base has an accommodating space, wherein the accommodating space has a bottom surface and at least one side surface surrounding the bottom surface. The metallic nanoparticles cover the bottom surface and/or the side surface. The LED unit is disposed in the accommodating spare, in which light emitted from the LED unit is reflected or scattered by the metallic nanoparticles, each of the metallic nanoparticles has a diameter smaller than 10 nm and is electrically isolated. | 12-10-2015 |
20150357539 | VERTICAL NITRIDE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME - Disclosed is a vertical nitride semiconductor device including a conductive substrate; a semiconductor layer bonded to the conductive substrate via a second electrode; a metal layer formed on the conductive substrate; a first electrode formed on the semiconductor layer; and a bonding layer formed between the conductive substrate and the second electrode. The conductive substrate has a flange part, which extends from a side surface of the conductive substrate, on a side of the other front surface thereof. The flange part is formed in a manner in which the conductive substrate and the semiconductor layer are bonded together and then a remaining part of the conductive substrate is divided, the remaining part being formed by cutting off the semiconductor layer and part of the conductive substrate in a thickness direction so as to expose a side surface of the semiconductor layer and the side surface of the conductive substrate. | 12-10-2015 |
20150357600 | ELECTROLUMINESCENT DEVICE - In an organic EL display device (electroluminescent device) equipped with an organic EL element (electroluminescent element), the organic EL element is encapsulated by a TFT substrate (substrate), a counter substrate, and a sealing resin. A desiccant layer and a highly-moisture-permeable layer are laminated in this order on the organic EL element. The highly-moisture-permeable layer is in direct contact with the sealing resin. | 12-10-2015 |
20150359088 | ELECTRONIC DEVICE AND METHOD FOR PRODUCING SAME - A first land portion ( | 12-10-2015 |
20150361246 | ELECTRON BEAM CURABLE RESIN COMPOSITION, REFLECTOR RESIN FRAME, REFLECTOR, SEMICONDUCTOR LIGHT-EMITTING DEVICE, AND MOLDED ARTICLE PRODUCTION METHOD - Provided are an electron beam curable resin composition including an olefin resin, a crosslinking agent, and a white pigment, in which the crosslinking agent has a saturated or unsaturated ring structure, at least one atom among atoms forming at least one ring is bonded to any allylic substituent of an allyl group, a methallyl group, an allyl group through a linking group, and a methallyl group through a linking group, and the white pigment is blended in an amount of more than 200 parts by mass and 500 parts by mass or less with respect to 100 parts by mass of olefin resin, a reflector resin frame using the resin composition, a reflector, a semiconductor light-emitting device, and a molding method using the resin composition. | 12-17-2015 |
20150361337 | COLOR STABLE RED-EMITTING PHOSPHORS - A process for synthesizing a Mn | 12-17-2015 |
20150364653 | LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - Exemplary embodiments provide a light emitting diode that includes: at least one lower electrode providing a passage for electric current; a light emitting structure placed over the at least one lower electrode to be electrically connected to the lower electrode, the light emitting structure is disposed to form at least one via-hole; a reflective electrode layer placed between the at least one lower electrode and the light emitting structure; and an electrode pattern formed around the light emitting structure and electrically connecting the lower electrode to the light emitting structure through the via-hole. | 12-17-2015 |
20150364654 | SEMICONDUCTOR LIGHT EMITTING DEVICE WITH LIGHT EXTRACTION STRUCTURES - Structures are incorporated into a semiconductor light emitting device which may increase the extraction of light emitted at glancing incidence angles. In some embodiments, the device includes a low index material that directs light away from the metal contacts by total internal reflection. In some embodiments, the device includes extraction features such as cavities in the semiconductor structure which may extract glancing angle light directly, or direct the glancing angle light into smaller incidence angles which are more easily extracted from the device. | 12-17-2015 |
20150364655 | COLOR STABLE RED-EMITTING PHOSPHORS - A process for synthesizing a Mn | 12-17-2015 |
20150364658 | GLASS-PHOSPHOR COMPOSITE CONTAINING RARE-EARTH ION AND LIGHT-EMITTING DIODE INCLUDING SAME - A method of manufacturing a glass-phosphor composite is disclosed. The method comprises: preparing rare earth ion-containing parent glass; mixing the rare-earth ion-containing parent glass in a power state with a phosphor in a powder state; and providing a glass-phosphor composite using the powder mixture of the rare earth ion-containing parent glass and the phosphor, wherein the mixing includes mixing the rare earth ion-containing parent glass in the powder state with the phosphor in the powder state so that the phosphor in the glass-phosphor composite is in an amount of 5 wt % to 30 wt %, and the preparing includes using a glass frit having a glass transition point of 300° C. to 800° C. and a sintering temperature of 200° C. to 600° C. | 12-17-2015 |
20150364659 | LED PACKAGE WITH RED-EMITTING PHOSPHORS - A process for fabricating a LED lighting apparatus includes disposing a composite coating on a surface of a LED chip. The composite coating comprises a first composite layer having a manganese doped phosphor of formula I and a first binder, and a second composite layer comprising a second phosphor composition and a second binder. The first binder, the second binder or both include a poly(meth)acrylate. | 12-17-2015 |
20150364660 | LIGHT EMITTING COMPONENT - A light emitting component includes a light emitting unit, a molding compound and a wavelength converting layer. The light emitting unit has a forward light emitting surface. The molding compound covers the light emitting unit. The wavelength converting layer is disposed above the molding compound. The wavelength converting layer has a first surface and a second surface opposite to the first surface, wherein the first surface is located between the forward light emitting surface and the second surface, and at least one of the first and second surfaces is non-planar. | 12-17-2015 |
20150364661 | ELECTRONIC PART PACKAGE - An electronic part package comprises a sealing resin layer, an electronic part and a metal plating pattern layer. The sealing resin layer is provided with a principal surface including a first region that has a bellows-like shape having alternate ridges and valleys and a second region that is flat. The electronic part includes an electrode having a principal surface and is covered by the sealing resin layer except the principal surface, which is surrounded by the second region. The metal plating pattern layer is integrally provided on the first and second regions and on the principal surface of the electrode. A portion of the metal plating pattern layer, the portion located on the first region, has a bellows-like shape having alternate ridges and valleys along an outline of the first region. | 12-17-2015 |
20150364662 | LED Lighting Device and Packaging Method - The disclosure relates to a LED lighting device and a packaging method. The LED lighting device comprises a frame and at least one chip fixed in the frame, and further comprises a light emitting layer and a light condensing layer, wherein the light emitting layer wraps the chip, and the light condensing layer is arranged on the light emitting layer and configured for converging light passing through the light emitting layer. | 12-17-2015 |
20150364664 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a semiconductor layer, a first electrode, a second electrode, a first interconnection section, a second interconnection section, and a varistor film. The semiconductor layer includes a light emitting layer. The first electrode is provided in a emitting region on the second surface. The second electrode is provided in a non-emitting region on the second surface. The first interconnection section is provided on the first electrode and electrically connected to the first electrode. The second interconnection section is provided on the second electrode and on the first electrode and electrically connected to the second electrode. The varistor film is provided in contact with the first electrode and the second interconnection section between the first electrode and the second interconnection section. | 12-17-2015 |
20150364723 | LIGHT EMITTING ELEMENT AND METHOD OF MANUFACTURING LIGHT EMITTING ELEMENT - A light emitting element ( | 12-17-2015 |
20150368554 | Curable Silicone Composition, Cured Product Thereof, And Optical Semiconductor Device - The present invention relates to a curable silicone composition comprising: (A) an organopolysiloxane having at least two alkenyl groups in a molecule; (B) an organopolysiloxane represented by a general formula; (C) an organopolysiloxane having at least two silicon-bonded hydrogen atoms in a molecule; (D) a phosphor; and (E) a hydrosilylation reaction catalyst, to a cured product obtained by curing said composition, and to an optical semiconductor device in which a light emitting element is sealed or coated with a cured product of the aforementioned composition. The curable silicone composition has excellent fluidity and cures to form a cured product in which phosphors are homogeneously dispersed and which has a high refractive index. | 12-24-2015 |
20150372193 | Patterned Layer Design for Group III Nitride Layer Growth - A device having a layer with a patterned surface for improving the growth of semiconductor layers, such as group III nitride-based semiconductor layers with a high concentration of aluminum, is provided. The patterned surface can include a substantially flat top surface and a plurality of stress reducing regions, such as openings. The substantially flat top surface can have a root mean square roughness less than approximately 0.5 nanometers, and the stress reducing regions can have a characteristic size between approximately 0.1 microns and approximately five microns and a depth of at least 0.2 microns. A layer of group-III nitride material can be grown on the first layer and have a thickness at least twice the characteristic size of the stress reducing regions. | 12-24-2015 |
20150372199 | LIGHT EMITTING DIODE DEVICE WITH LUMINESCENT MATERIAL - The invention provides a light emitting diode device comprising a light emitting diode ( | 12-24-2015 |
20150372203 | Optoelectronic Semiconductor Chip Encapsulated with an ALD Layer and Corresponding Method for Production - An optoelectronic semiconductor chip includes a semiconductor body with an active region provided for generating electromagnetic radiation, a first mirror layer provided for reflecting the electromagnetic radiation, a first encapsulation layer formed with an electrically insulating material, and a carrier provided for mechanically supporting the first encapsulation layer, the first mirror layer and the semiconductor body. The first mirror layer is arranged between the carrier and the semiconductor body. The first encapsulation layer is arranged between the carrier and the first mirror layer. The first encapsulation layer is an ALD layer. | 12-24-2015 |
20150372205 | ELECTRON BEAM CURABLE RESIN COMPOSITION, REFLECTOR RESIN FRAME, REFLECTOR, SEMICONDUCTOR LIGHT-EMITTING DEVICE, AND MOLDED ARTICLE PRODUCTION METHOD - Provided are an electron beam curable resin composition including an olefin resin, and a crosslinking agent, in which the crosslinking agent has a saturated or unsaturated ring structure, at least one atom among atoms forming at least one ring is bonded to any allylic substituent of an allyl group, a methallyl group, an allyl group through a linking group, and a methallyl group through a linking group, and the crosslinking agent is blended in an amount of more than 15 parts by mass and 40 parts by mass or less with respect to 100 parts by mass of olefin resin, a reflector resin frame using the resin composition, a reflector, a semiconductor light-emitting device, and a molding method using the resin composition. | 12-24-2015 |
20150372206 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME - Provided are a light emitting device package and a lighting system including the light emitting device package. The light emitting device package includes a package body, at least one electrode on the package body, a light emitting device on the package body, a reflective structure around the light emitting device on the package body and a lens on the light emitting device and the electrode. | 12-24-2015 |
20150372207 | SEMICONDUCTOR LIGHT EMITTING DEVICE PACKAGE - A semiconductor light emitting device package includes a semiconductor laminate having first and second surfaces and side surfaces, and including first and second conductivity-type semiconductor layers, and an active layer, a support body disposed on the second surface and including first and second package electrodes, a first electrode layer disposed on the first surface and connected to the first conductivity-type semiconductor layer and extended along a side of the semiconductor laminate to be connected to the first package electrode, a side insulating layer disposed on a side of the semiconductor laminate and electrically insulating the first electrode layer from the side of the semiconductor laminate, and a second electrode layer disposed on the second surface and electrically connecting the first conductivity-type semiconductor layer to the second package electrode. | 12-24-2015 |
20150372208 | LIGHT EMITTING DEVICE - Disclosed herein is a light emitting device manufactured by separating a growth substrate in a wafer level. The light emitting device includes: a base; a light emitting structure disposed on the base; and a plurality of second contact electrodes disposed between the base and the light emitting structure, wherein the base includes at least two bulk electrodes electrically connected to the light emitting structure and an insulation support disposed between the bulk electrodes and enclosing the bulk electrodes, the insulation support and the bulk electrodes each including concave parts and convex parts engaged with each other on surfaces facing each other, and the convex parts including a section in which a width thereof is changed in a protrusion direction. | 12-24-2015 |
20150372210 | LEAD FRAME FOR MOUNTING LED ELEMENTS, LEAD FRAME WITH RESIN, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICES, AND LEAD FRAME FOR MOUNTING SEMICONDUCTOR ELEMENTS - A lead frame for mounting LED elements includes a frame body region and a large number of package regions arranged in multiple rows and columns in the frame body region. The package regions each include a die pad on which an LED element is to be mounted and a lead section adjacent to the die pad, the package regions being further constructed to be interconnected via a dicing region. The die pad in one package region and the lead section in another package region upward or downward adjacent to the package region of interest are connected to each other by an inclined reinforcement piece positioned in the dicing region. | 12-24-2015 |
20150376344 | Curable Silicone Composition, Cured Product Thereof, And Optical Semiconductor Device - This invention relates to a curable silicone composition comprising: (A) a straight-chain organopolysiloxane having at least two alkenyl groups in a molecule; (B) an organopolysiloxane represented by the following average unit formula: (R | 12-31-2015 |
20150380604 | OPTOELECTRONIC DEVICE AND THE MANUFACTURING METHOD THEREOF - An optoelectronic device comprises an optoelectronic system for emitting a light and a semiconductor layer on the optoelectronic system, wherein the semiconductor layer comprises a metal element of Ag and an atomic concentration of Ag in the semiconductor layer is larger than 1*10 | 12-31-2015 |
20150380609 | Light Emitter With Coating Layers - A laser apparatus may comprise: a substrate having a first surface and a second surface opposite to the first surface; a light emitting region formed on the first surface of the first substrate, the light emitting region including a p-type semiconductor layer and an n-type semiconductor layer; a p-contact layer formed on the p-type semiconductor layer; an n-contact layer formed on the n-type semiconductor layer; a sub-mount formed on the p-contact layer and the n-contact layer, the sub-mount including a first patterned dielectric coating layer formed on the p-contact layer and a reflector formed on the patterned dielectric coating layer. | 12-31-2015 |
20150380613 | PHOSPHOR, MANUFACTURING METHOD THEREOF, AND LIGHT-EMITTING DEVICE USING THE PHOSPHOR - The present invention provides a red-light emitting phosphor having high luminous efficacy and also a manufacturing method thereof. The phosphor is a red-light emitting phosphor mainly comprising potassium fluorosilicate and having a basic surface composition represented by the formula (A): K | 12-31-2015 |
20150380614 | PHOSPHOR, MANUFACTURING METHOD THEREFOR, AND LIGHT-EMITTING DEVICE USING THE PHOSPHOR - The present invention provides a red-light emitting phosphor that exhibits high luminous efficacy and emits light when excited by light having an emission peak in the blue region; and a method for manufacturing said phosphor. The phosphor represented by general formula (A): | 12-31-2015 |
20150380615 | LIGHT EMITTING DIODES WITH IMPROVED EFFICIENCY - Light emitting diode assemblies having transparent covers that exhibit high indexes of refraction adjacent are provided. Covers comprise polymer composites comprising inorganic nanoparticles. Inorganic nanoparticles include nanoparticles of zirconium dioxide, hafnium dioxide, titanium dioxide, and combinations thereof. | 12-31-2015 |
20150380620 | LIGHT EMITTING DIODE, METHOD OF FABRICATING THE SAME AND LED MODULE HAVING THE SAME - Disclosed are a light emitting diode (LED), an LED module including the same, and a method of fabricating the same. The light emitting diode includes a first conductive-type semiconductor layer; a second conductive-type semiconductor layer; an active layer interposed between the first conductive-type semiconductor layer and the second conductive-type semiconductor layer; a first electrode pad region electrically connected to the first conductive-type semiconductor layer; a second electrode pad region electrically connected to the second conductive-type semiconductor layer; and a spark gap formed between a first leading end electrically connected to the first electrode pad region and a second leading end electrically connected to the second electrode pad region. The spark gap can achieve electrostatic discharge protection of the light emitting diode. | 12-31-2015 |
20160002526 | PHOSPHOR DISPERSION, LED DEVICE AND METHOD FOR MANUFACTURING SAME - The purpose of the present invention is to provide an LED device having a wavelength conversion layer, which has high durability and is suppressed in chromaticity variation (chromaticity variation of emitted light among devices) and chromaticity unevenness (chromaticity unevenness of emitted light in one light emitting device). This purpose is achieved by a phosphor dispersion which contains phosphor particles, fine light scattering particles, layered clay mineral particles, silicon oxide particles and a solvent, with the content of the fine light scattering particles being 0.1-2.5% by mass. | 01-07-2016 |
20160005922 | LIGHT EMITTING COMPONENT - A light emitting component includes a light emitting unit, a phosphor layer and a distributed Bragg reflector layer. The phosphor layer is disposed on the light emitting unit and the distributed Bragg reflector layer is disposed above the phosphor layer. The distributed Bragg reflector layer is formed by at least two materials with different refractive indices. | 01-07-2016 |
20160005923 | LED ELEMENT AND MANUFACTURING METHOD FOR SAME - An LED element capable of further improving the light extraction efficiency and a manufacturing method for the same are provided. | 01-07-2016 |
20160005926 | OPTOELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - An optoelectronic device, comprising: a first semiconductor layer comprising four boundaries, a corner formed by two of the neighboring boundaries, a first surface, and a second surface opposite to the first surface; a second semiconductor layer formed on the first surface of the first semiconductor layer; a second conductive type electrode formed on the second semiconductor layer; and two first conductive type electrodes formed on the first surface, wherein the first conductive type electrodes are separated and formed a pattern. | 01-07-2016 |
20160005927 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element includes a metal layer, a first semiconductor layer of a first conductivity type, a light emitting layer, a second semiconductor layer of a second conductivity type, a first electrode, a second electrode, and an insulating layer. The first semiconductor layer is separated from the metal layer in a first direction. The first semiconductor layer includes a first region, a second region, and a third region. The light emitting layer has a first side surface intersecting a second direction. The second semiconductor layer has a second side surface intersecting the second direction. The first electrode is electrically connected to the first region and the metal layer. The second electrode includes a first portion, and a second portion being continuous with the first portion. The insulating layer includes a first insulating portion and a second insulating portion. | 01-07-2016 |
20160005928 | LIGHT EMITTING ELEMENT - To provide a semiconductor light emitting element with high luminous efficiency, the light emitting element includes: a substrate; a semiconductor laminate placed above the substrate, the semiconductor laminate comprising a second semiconductor layer, an active layer and a first semiconductor layer laminated in this order from the substrate; and a first electrode and a second electrode placed between the substrate and the semiconductor laminate, wherein the semiconductor laminate is divided in a plurality of semiconductor blocks by a groove, wherein the first electrode includes protrusions that are provided in each of the plurality of semiconductor blocks and that penetrate the second semiconductor layer and the active layer to be connected to the first semiconductor layer, and wherein the second electrode is connected to the second semiconductor layer in each of the plurality of semiconductor blocks and has an external connector that is exposed on the bottom of the groove. | 01-07-2016 |
20160005929 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING ELEMENT UNIT, AND LIGHT-EMITTING ELEMENT PACKAGE - In a light-emitting element | 01-07-2016 |
20160005930 | OPTOELECTRONIC SEMICONDUCTOR CHIP ENCAPSULATED WITH AN ALD LAYER AND CORRESPONDING METHOD OF PRODUCTION - An optoelectronic semiconductor chip includes a semiconductor body including n-conducting and p-conducting regions, an active region generating electromagnetic radiation, a mirror layer reflecting the electromagnetic radiation, and an encapsulating layer sequence formed with an insulating material, wherein the mirror layer is arranged at an underside of the p-conducting region, the active region is arranged at a side of the p-conducting region facing away from the mirror layer, the n-conducting region is arranged at a side of the active region facing away from the p-conducting region, the encapsulation layer sequence covers the semiconductor body at the outer surface thereof in places, the encapsulation layer sequence extends at the outer surface of the semiconductor body from the active region along the p-conducting region as far as below the mirror layer, and the encapsulation layer sequence includes at least one encapsulation layer which is an ALD layer or consists of an ALD layer. | 01-07-2016 |
20160005931 | LIGHT EMITTING MODULE - Disclosed is a light-emitting module capable of not only improving appearance quality but also maximizing light efficiency. The disclosed light-emitting module comprises: a circuit board; a light-emitting diode chip which is flip-bonded on the circuit board; and a housing which is positioned on the circuit board and surrounds the light-emitting diode chip, wherein the housing has a recess and reflective part having a curvature structure formed on an inner wall of the recess. | 01-07-2016 |
20160005932 | COMPOSITIONS AND POLYMER COMPOSITES PREPARED FROM THE SAME - A composition including: a monomer mixture including a first monomer having at least two thiol groups at its terminal end and a second monomer having at least two carbon-carbon unsaturated bond-containing groups at its terminal end; and at least one additive selected from a zinc compound, an indium compound, ascorbic acid or a salt thereof, citric acid or a salt thereof, a tocopherol, and a tocotrienol. | 01-07-2016 |
20160005933 | LED STRUCTURE APPLIED TO BACKLIGHT SOURCE - An LED structure is applied to a backlight source to set a white light of a backlight module at a standard D65 position of the CIE1931 chromaticity coordinates and used together with a display module. A red phosphor for emitting a red light, a yellow phosphor for emitting a yellow light, and a blue light LED chip are provided. The mixing ratio of the red phosphor to the yellow phosphor is controlled within a range of (2.33−1):1, so that the original LED white light falls within a region enclosed by ccy≦1.8*ccx−0.12, ccy≧1.8*ccx−0.336, ccy≦0.33 and ccy≧0.15 of the CIE1931 coordinates. Since the red phosphor does not absorb or convert yellow light, the brightness loss of the yellow light that excites the yellow phosphor is minimized. A color filter may be installed to achieve better NTSC effect and luminous efficacy. | 01-07-2016 |
20160005934 | WHITE LIGHT EMITTING DIODE, MANUFACTURING METHOD AND PACKAGING MATERIAL THEREOF - A white light emitting diode comprising a substrate layer, two conductive frames, a light emitting unit, two conductive wires, and a packaging element is provided. The substrate layer is made from a curing reaction of first mixture, and the first mixture includes a curable resin, a curing agent, a phosphor material, and a modified thermal conductive nano-material, wherein the modified thermal conductive nano-material is made from a thermal conductive nano-material and a silane compound. The packaging element is made from a curing reaction of second mixture, and the second mixture includes a curable resin, a curing agent, a phosphor material, and a modified thermal conductive nano-material, wherein the modified thermal conductive nano-material is made from a thermal conductive nano-material and a silane compound. The chip-type white light emitting diode has a good heat-dissipating effect and a good luminous efficiency without additional heat dissipation fins. In addition, the manufacturing method of the chip-type white light emitting diode is a relatively simple process for mass production. | 01-07-2016 |
20160005935 | EPITAXY BASE AND LIGHT-EMITTING DEVICE - An epitaxy base adapted to form a light-emitting device thereon is provided. The epitaxy base includes a substrate and a patterned wavelength conversion structure disposed on a part of the substrate and protruding out from the substrate. A light-emitting device including the epitaxy base, a first type semiconductor layer, an emitting layer and a second type semiconductor layer is provided. The first type semiconductor layer is disposed on the substrate and the patterned wavelength conversion structure. The emitting layer is disposed on the first type semiconductor layer. The second type semiconductor layer is disposed on the emitting layer. | 01-07-2016 |
20160005938 | LED LIGHTING MODULE - Disclosed is an LED lighting module ( | 01-07-2016 |
20160005941 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a semiconductor stack comprising a first semiconductor layer, a second semiconductor layer, and an active layer between the first semiconductor layer and the second semiconductor layer, wherein the first semiconductor layer includes a periphery surface surrounding the active layer; a plurality of vias penetrating the semiconductor stack to expose the first semiconductor layer; and a patterned metal layer formed on the plurality of vias and covered the periphery surface of the first semiconductor layer. | 01-07-2016 |
20160009990 | YELLOW LIGHT EMITTING PHOSPHOR AND LIGHT EMITTING DEVICE PACKAGE USING THE SAME | 01-14-2016 |
20160009991 | FLUORESCENT MATERIAL AND LIGHT EMITTING DEVICE USING SAME AND METHOD FOR MANUFACTURING FLUORESCENT MATERIAL | 01-14-2016 |
20160011352 | CIRCULARLY POLARIZING PLATE, RETARDATION PLATE FOR CIRCULARLY POLARIZING PLATE, AND ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS | 01-14-2016 |
20160013171 | LIGHT EMITTING DEVICE PACKAGE | 01-14-2016 |
20160013361 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF | 01-14-2016 |
20160013368 | WAVELENGTH CONVERSION ELEMENT AND METHOD FOR MANUFACTURING SAME, AND LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME | 01-14-2016 |
20160013369 | Optoelectronic Component And Method For Producing An Optoelectronic Component | 01-14-2016 |
20160013370 | LIGHTING DEVICES WITH PRESCRIBED COLOUR EMISSION | 01-14-2016 |
20160013371 | OPTOELECTRONIC ELEMENT | 01-14-2016 |
20160013372 | LIGHT EMITTING DEVICE HAVING SURFACE-MODIFIED LUMINOPHORES | 01-14-2016 |
20160013373 | METHOD TO FORM PRIMARY OPTIC WITH VARIABLE SHAPES AND/OR GEOMETRIES WITHOUT A SUBSTRATE | 01-14-2016 |
20160013374 | HERMETICALLY SEALED ILLUMINATION DEVICE WITH LUMINESCENT MATERIAL AND MANUFACTURING METHOD THEREFOR | 01-14-2016 |
20160013380 | Optoelectronic Component and Method for Producing Same | 01-14-2016 |
20160013381 | LIGHT EMITTING DEVICE STRUCTURE | 01-14-2016 |
20160013382 | LIGHT EMITTING DEVICE PACKAGE | 01-14-2016 |
20160013383 | LIGHT-EMITTING DEVICE | 01-14-2016 |
20160013385 | LIGHT-EMITTING DIODE MODULE HAVING LIGHT-EMITTING DIODE JOINED THROUGH SOLDER PASTE AND LIGHT-EMITTING DIODE | 01-14-2016 |
20160013387 | LIGHT-EMITTING APPARATUS | 01-14-2016 |
20160017221 | ALUMOSILICATE-BASED PHOSPHORS - The invention relates to co-activated silicate based phosphors and a process for preparing these phosphors and the use of these phosphors in electronic and electrooptical devices, especially light emitting diodes (LEDs) and solar cells. The invention further relates to illumination units comprising said phosphors. | 01-21-2016 |
20160020198 | METHOD OF ATTACHING A LIGHT EMITTING DEVICE TO A SUPPORT SUBSTRATE - A method according to embodiments of the invention includes providing a wafer of semiconductor light emitting devices, each semiconductor light emitting device including a light emitting layer sandwiched between an n-type region and a p-type region. A wafer of support substrates is provided, each support substrate including a body. The wafer of semiconductor light emitting devices is bonded to the wafer of support substrates. Vias are formed extending through the entire thickness of the body of each support substrate. | 01-21-2016 |
20160020357 | GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND PRODUCTION METHOD THEREFOR - The Group III nitride semiconductor light-emitting device has an insulating multilayer film intervening between a second semiconductor layer and a transparent electrode. The insulating multilayer film serves as a distributed Bragg reflector and is formed in a region including a projection area obtained by projecting a p-electrode to the p-type contact layer. The insulating multilayer film has a first region and a second region, wherein the first region has a layer thickness greater than 95% of the maximum film thickness of the insulating multilayer film, and the second region has a layer thickness not greater than 95% of the maximum film thickness of the insulating multilayer film. The second surface of the insulating multilayer film in the second region has a slope having a dent portion denting toward the first surface of the insulating film. | 01-21-2016 |
20160027965 | LIGHT-EMITTING ELEMENT - A light-emitting element comprises a light-emitting stack comprising an active layer, a first insulative layer having a first refractive index on the light-emitting stack, a second insulative layer having a second refractive index on the first insulative layer, and a transparent conducting structure having a third refractive index on the second insulative layer, wherein the second refractive index is between the first refractive index and the third refractive index, and the first refractive index is smaller than 1.4. | 01-28-2016 |
20160027968 | SURFACE MOUNT LIGHT-EMITTING DEVICE - A surface mount light-emitting device of side view and lead frame type can include a casing having a cavity, a first lead frame having a first mounting surface exposed from the cavity, and a second lead frame having a second mounting surface exposed from the cavity. A light-emitting chip can be mounted on one of the first and the second mounting surfaces, which extend in a substantially same level and balanced shapes with respect to each other to be used as external electrodes. An encapsulating resin including at least one phosphor can also encapsulate the light-emitting chip in the cavity. Thus, the disclosed subject matter can provide reliable surface mount light-emitting devices that can be easily mounted on a mounting board with high positional accuracy and can emit various color lights having a high light-emitting intensity using a high brightness chip in a substantially parallel direction to the mounting board. | 01-28-2016 |
20160027969 | LED USING LUMINESCENT SAPPHIRE AS DOWN-CONVERTER - An LED die includes a luminescent sapphire layer affixed to LED semiconductor layers. The luminescent sapphire absorbs a portion of the primary light and down-converts the primary light to emit secondary light. A phosphor layer may be added. The luminescent sapphire may comprise luminescent sapphire particles in a binder forming a mixture deposited over the LED semiconductor layers. Alternatively, the luminescent sapphire comprises a pre-formed tile that is affixed over the LED semiconductor layers. Alternatively, the luminescent sapphire comprises a luminescent sapphire growth substrate on which is epitaxially grown the LED semiconductor layers. After the LED die is formed, the luminescent characteristics of the sapphire maybe adjusted using optical conditioning and/or annealing to tune the die's overall emission. | 01-28-2016 |
20160027971 | WAVELENGTH CONVERTERS AND METHODS FOR MAKING THE SAME - Disclosed herein are wavelength converters and methods for making the same. The wavelength converters include a single layer of a polymeric matrix material, and one or more types of wavelength converting particles. In some embodiments the wavelength converters include first and second types of wavelength converting particles that are distributed in a desired manner within the single layer of polymeric matrix material. Methods of forming such wavelength converters and lighting devices including such wavelength converters are also disclosed. | 01-28-2016 |
20160027977 | LIGHT EMITTING DIODE PACKAGE AND LIGHTING DEVICE USING THE SAME - A light emitting diode (LED) package may include a package body provided with a pair of lead frames, and an LED chip mounted on the package body and electrically connected to the lead frames through wire bonding. Each lead frame may include a first reflective layer disposed on a mounting surface on which the LED chip is disposed and a second reflective layer disposed on the first reflective layer. A wire may penetrate through the second reflective layer to be connected to the first reflective layer. Accordingly, the LED package may provide the uniform amount of light by suppressing discoloration of the lead frames, and the manufacturing time of the LED package may be reduced, leading to a reduction in manufacturing costs. | 01-28-2016 |
20160033689 | OPTICAL ELEMENT AND OPTOELECTRONIC COMPONENT COMPRISING OPTICAL ELEMENT - An optical element has a first surface and a second surface, wherein a tooth structure having a multiplicity of teeth oriented in a second direction is arranged on the first surface, a stepped lens having a multiplicity of steps oriented in a first direction is arranged on the second surface, and the tooth structure forms a total internal reflection lens. | 02-04-2016 |
20160035939 | SEMICONDUCTOR LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element includes a stacked body, a first metal layer, and a second metal layer. The stacked body includes a first semiconductor layer, a second semiconductor layer, and a light emitting layer. The second semiconductor layer is separated from the first semiconductor layer in a first direction. The light emitting layer is provided between the first semiconductor layer and the second semiconductor layer. The first metal layer is stacked with the stacked body in the first direction to be electrically connected to one selected from the first semiconductor layer and the second semiconductor layer. The first metal layer has a side surface extending in the first direction. The second metal layer covers at least a portion of the side surface of the first metal layer. A reflectance of the second metal layer is higher than a reflectance of the first metal layer. | 02-04-2016 |
20160035943 | FLUORESCENT COMPOSITE RESIN SUBSTRATE WHITE LIGHT LIGHT EMITTING DIODE - A fluorescent composite resin substrate white light LED includes a fluorescent composite resin substrate, two conductive brackets, a light emitting unit, two conductive lines and a package material. The fluorescent composite resin substrate is formed from a mixture through a curing reaction. Each of the conductive brackets is partially connected to the substrate. The light emitting unit is disposed on the substrate. The conductive lines are connected to the light emitting unit and respectively connected to the conductive brackets. The package material is formed from a mixture through a curing reaction. By fixing the light emitting unit at the fluorescent composite resin substrate, when applied to white light LED operations, the present invention achieves effects of emitting light through six planes, having high light flux and good heat dissipation, and significantly increasing production yield rate and speed without incurring different color temperatures at front and reverse sides. | 02-04-2016 |
20160035947 | PACKAGE STRUCTURE OF LIGHT-EMITTING DIODE MODULE AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a package structure of LED module and the method for manufacturing the same. The method comprises steps of providing a light-emitting module; disposing a light-pervious member on the light-emitting path of the light-emitting module; and dripping a colloid member on the light-pervious member. The light-pervious member is a transparent structure; and the colloid member forms a transparent structure with a thick center and a thin periphery using the surface tension of colloid material. In the above structure, the light-pervious member and colloid member are used for reducing the total reflection effect in the package. | 02-04-2016 |
20160035948 | ELECTRONIC COMPONENT AND ELECTRONIC UNIT - According to one embodiment, an electronic component includes a metal portion, a mold resin covering at least a part of the metal portion, and a molecular adhesion layer provided between a surface of the metal portion and the mold resin. | 02-04-2016 |
20160035951 | OPTICAL SEMICONDUCTOR ELEMENT MOUNTING PACKAGE, AND OPTICAL SEMICONDUCTOR DEVICE USING THE SAME - An optical semiconductor element mounting package that has good adhesion between the resin molding and the lead electrodes and has excellent reliability is provided, as well as an optical semiconductor device using the package is also provided. The optical semiconductor element mounting package having a recessed part that serves as an optical semiconductor element mounting region, wherein the package is formed by integrating: a resin molding composed of a thermosetting light-reflecting resin composition, which forms at least the side faces of the recessed part; and at least a pair of positive and negative lead electrodes disposed opposite each other so as to form part of the bottom face of the recessed part, and there is no gap at a joint face between the resin molding and the lead electrodes. | 02-04-2016 |
20160035952 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE - A light emitting device ( | 02-04-2016 |
20160040063 | NITRIDE PHOSPHOR AND METHOD FOR PRODUCING THE SAME - The present invention related to a nitride phosphor represented by the following general formula (1), the nitride phosphor having an x value of less than 0.43 in luminescent color coordinates (x, y) upon being excited with excitation light of 455 nm, and a reflectance Ra of 89% or more at 770 nm; | 02-11-2016 |
20160041312 | OPTICAL FILM AND SURFACE LIGHT EMITTING BODY - This optical film comprises: a recessed and projected structure layer that forms one surface of the optical film; and an adhesive layer that forms the other surface of the optical film. The recessed and projected structure layer contains 1-28% by mass of first fine light diffusing particles relative to the total mass of the recessed and projected structure layer, and the adhesive layer contains 1-40% by mass of second fine light diffusing particles relative to the total mass of the adhesive layer. This surface light emitting body comprises the above-described optical film. | 02-11-2016 |
20160042102 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR PRODUCING THE SAME - A semiconductor light emitting element with a design wavelength of λ, comprising a photonic crystal periodic structure having two structures with different refractive indices at each of one or more interfaces between layers that form the light emitting element. The period a and the radius R that are parameters of each of the one or more periodic structures and the design wavelength λ satisfy Bragg conditions. The ratio (R/a) between the period a and the radius R is a value determined so that a predetermined photonic band gap (PBG) for TE light becomes maximum for each periodic structure. The parameters of each periodic structure are determined so that light extraction efficiency of the entire semiconductor light emitting element with respect to light with the wavelength λ becomes maximum as a result of conducting a simulation analysis with a FDTD method using as variables the depth h of the periodic structure that is of greater than or equal to 0.5a and the period a and the radius R that are determined for each order m of the Bragg conditions. | 02-11-2016 |
20160043274 | GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND PRODUCTION METHOD THEREFOR - The present invention provides a Group III nitride semiconductor light-emitting device which attains suitable light extraction to the outside by reflecting the light directed from a substrate to a semiconductor layer toward the substrate, and a production method therefor. The light-emitting device comprises a substrate, a buffer layer, an n-type semiconductor layer, a light-emitting layer, a p-type semiconductor layer, and a plurality of dielectric multilayer films. The dielectric multilayer films are disposed on the first surface of the substrate. The first surface of the substrate has at least a bottom surface. The buffer layer is formed on at least a part of the bottom surface. The dielectric multilayer films have inclined planes inclined to the bottom surface. The n-type semiconductor layer is formed on the buffer layer and the inclined planes of the dielectric multilayer films. | 02-11-2016 |
20160043282 | LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - The present invention relates to a light emitting diode and a method of manufacturing same. The light emitting diode includes: a first conductive semiconductor layer; a plurality of mesas that are disposed spaced apart from one another on the first conductive semiconductor layer, each mesa including an active layer and a second conductive semiconductor layer; reflective electrodes that are respectively disposed on the plurality of mesas and come into ohmic contact with the second conductive semiconductor layer; openings that cover the plurality of mesas and the first conductive semiconductor layer, are electrically insulated from the mesas, and expose the reflective electrodes to the upper region of each mesa; and a current spreading layer that comes into ohmic contact with the first conductive semiconductor layer. Thus, a light emitting diode that improves current spreading performance may be provided. | 02-11-2016 |
20160043285 | LED WITH HIGH THERMAL CONDUCTIVITY PARTICLES IN PHOSPHOR CONVERSION LAYER - In one embodiment, a solid cylindrical tablet is pre-formed for a reflective cup containing an LED die, such as a blue LED die. The tablet comprises uniformly-mixed phosphor particles and transparent/translucent particles of a high TC material, such as quartz, in a hardened silicone binder, where the index of refraction of the high TC material is matched to that of the silicone to minimize internal reflection. Tablets can be made virtually identical in composition and size. The bulk of the tablet will be the high TC material. After the tablet is placed in the cup, the LED module is heated, preferably in a vacuum, to melt the silicone so that the mixture flows around the LED die and fills the voids to encapsulate the LED die. The silicone is then cooled to harden. | 02-11-2016 |
20160043286 | ARRANGEMENT FOR CONVERTING LIGHT EMITTED BY AN LED LIGHT SOURCE - An arrangement for converting light emitted by an LED-light source. The arrangement includes a color conversion element which is designed to convert at least partially the light coming from an LED-light source into another wavelength, and a preferably plate-shaped light emission element which is arranged downstream of the color conversion element. The plate-shaped light emission element forms on one side facing away from the color conversion element, a structured light emission surface. | 02-11-2016 |
20160043289 | SINGLE CRYSTAL PHOSPHOR, PHOSPHOR-CONTAINING MEMBER AND LIGHT-EMITTING DEVICE - Provided are a YAG-based single crystal phosphor which produces fluorescence in an unconventional color and a phosphor-containing member and a light emitting device including the single crystal phosphor. Provided is a single crystal phosphor which includes a composition represented by composition formula (Y | 02-11-2016 |
20160043290 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING LIGHT EMITTING DEVICE - A light emitting device includes a semiconductor light emitting element including a semiconductor stacked-layer body and an electrode disposed on a first surface of the semiconductor stacked-layer body; a resin member disposed on a first surface side of the semiconductor stacked-layer body; and a metal layer disposed in the resin member and electrically connected to the electrode. A recess is defined in an upper surface of the resin member. The metal layer is projected from the upper surface of the resin member, and is disposed to surround at least a portion of the recess. | 02-11-2016 |
20160043293 | LIGHT EMITTING DEVICE PACKAGE - Embodiments provide a light emitting device package including a first lead frame and a second lead frame, a light emitting device electrically connected to each of the first lead frame and the second lead frame, the light emitting device having a first electrode pad asymmetrically formed on a top surface thereof, and a reflective member disposed around the light emitting device to reflect light emitted from the light emitting device. The reflective member is configured such that a standard deviation of tilts of a reflective surface of a first area, in which the first electrode pad is disposed, is greater than a standard deviation of tilts of a reflective surface of a second area opposite to the first area. | 02-11-2016 |
20160049548 | LIGHT EMITTING DEVICE PACKAGE INCLUDING A SUBSTRATE HAVING AT LEAST TWO RECESSED SURFACES - A light emitting device package includes a substrate having a first cavity and a second cavity directly under the first cavity, a light emitting part on the second cavity, a first metal layer on an inner side surface of the substrate, a second metal layer on the inner side surface of the substrate, a third metal layer on a bottom surface of the substrate, the third metal layer electrically connected to the first metal layer by a first via hole, a fourth metal layer on the bottom surface of the substrate, the fourth metal layer electrically connected to the second metal layer by a second via hole, and a fifth metal layer on the bottom surface of the substrate, the fifth metal layer disposed between the first via hole and the second via hole. | 02-18-2016 |
20160049550 | Method for Producing a Thin-Film Semiconductor Body and Thin-Film Semiconductor Body - A method for producing a thin-film semiconductor body is provided. A growth substrate is provided. A semiconductor layer with funnel-shaped and/or inverted pyramid-shaped recesses is epitaxially grown onto the growth substrate. The recesses are filled with a semiconductor material in such a way that pyramid-shaped outcoupling structures arise. A semiconductor layer sequence with an active layer is applied on the outcoupled structures. The active layer is suitable for generating electromagnetic radiation. A carrier is applied onto the semiconductor layer sequence. At least the semiconductor layer with the funnel-shaped and/or inverted pyramid-shaped recesses is detached, such that the pyramid-shaped outcoupling structures are configured as projections on a radiation exit face of the thin-film semiconductor. | 02-18-2016 |
20160049552 | MANUFACTURING METHOD OF SEMICONDUCTOR LIGHT-EMITTING ELEMENT, AND SEMICONDUCTOR LIGHT-EMITTING ELEMENT - There are provided a setting process configured to set in a chamber an aluminum nitride substrate in which a semiconductor layer is formed on a first principal plane, and an oxide film forming process configured to heat an inside of the chamber with a water molecule being introduced in the chamber and to form an oxide film including an amorphous oxide film and/or a crystalline oxide film on a second principal plane located on an opposite side to the first principal plane of the aluminum nitride substrate. | 02-18-2016 |
20160049555 | LIGHT EMITTING DIODE DEVICE - The present invention relates to a light emitting diode (LED) and a flip-chip packaged LED device. The present invention provides an LED device. The LED device is flipped on and connected electrically with a packaging substrate and thus forming the flip-chip packaged LED device. The LED device mainly has an Ohmic-contact layer and a planarized buffer layer between a second-type doping layer and a reflection layer. The Ohmic-contact layer improves the Ohmic-contact characteristics between the second-type doping layer and the reflection layer without affecting the light emitting efficiency of the LED device and the flip-chip packaged LED device. The planarized buffer layer id disposed between the Ohmic-contact layer and the reflection layer for smoothening the Ohmic-contact layer and hence enabling the reflection layer to adhere to the planarized buffer layer smoothly. Thereby, the reflection layer can have the effect of mirror reflection and the scattering phenomenon on the reflected light can be reduced as well. | 02-18-2016 |
20160049556 | RADIATION-EMITTING SEMICONDUCTOR CHIP - A radiation-emitting semiconductor chip ( | 02-18-2016 |
20160049558 | PHOSPHOR AND LED LIGHT EMITTING DEVICE USING THE SAME - An LED light emitting device is provided that has high color rendering properties and is excellent color uniformity and, at the same time, can realize even luminescence unattainable by conventional techniques. A phosphor having a composition represented by formula: (Sr | 02-18-2016 |
20160049560 | LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF - A light emitting diode package includes a light emitting diode chip disposed in a housing, a first phosphor configured to emit green light, and a second phosphor configured to emit red light. White light is configured to be formed by a synthesis of light emitted from the light emitting diode chip, the first phosphor, and the second phosphor. The second phosphor has a chemical formula of A | 02-18-2016 |
20160049562 | LIGHT-EMITTING DEVICE - An illuminator includes a light-emitting element and a light extraction sheet which transmits light occurring from the light-emitting element. The light-emitting element includes a first electrode having a light transmitting property, a second electrode, and an emission layer between the first and second electrodes. The light extraction sheet includes a light-transmitting substrate having a first principal face and a second principal face, a first light extraction structure on the first principal face side of the light-transmitting substrate, and a second light extraction structure on the second principal face side of the light-transmitting substrate. The first light extraction structure includes a low-refractive index layer and a high-refractive index layer. The second light extraction structure is arranged so that light which is transmitted through the light-transmitting substrate and arrives at an incident angle of 40 degrees to 60 degrees has an average transmittance of 42% or more. | 02-18-2016 |
20160049566 | LIGHT EMITTING DEVICE, RESIN PACKAGE, RESIN-MOLDED BODY, AND METHODS FOR MANUFACTURING LIGHT EMITTING DEVICE, RESIN PACKAGE AND RESIN-MOLDED BODY - A method of manufacturing a light emitting device having a resin package which provides an optical reflectivity equal to or more than 70% at a wavelength between 350 nm and 800 nm after thermal curing, and in which a resin part and a lead are formed in a substantially same plane in an outer side surface, includes a step of sandwiching a lead frame provided with a notch part, by means of an upper mold and a lower mold, a step of transfer-molding a thermosetting resin containing a light reflecting material in a mold sandwiched by the upper mold and the lower mold to form a resin-molded body in the lead frame and a step of cutting the resin-molded body and the lead frame along the notch part. | 02-18-2016 |
20160049567 | FLEXIBLE LED DEVICE WITH WIRE BOND FREE DIE - An article includes a flexible polymeric dielectric layer having first and second major surfaces. The first major surface has a conductive layer thereon and at least one cavity therein. The at least one cavity contains a conductive material including electrically separated first and second portions supporting and electrically connecting a light emitting semiconductor device to the conductive layer on the first major surface. | 02-18-2016 |
20160054489 | OPTICAL FILM, OPTICAL FILM MANUFACTURING METHOD AND SURFACE LIGHT-EMITTING BODY - This optical film includes a diffraction grating layer made of a transparent material, and a concavo convex surface structure layer made of a transparent material. The surface light-emitting body includes the aforementioned optical film. This optical film manufacturing method involves supplying a second active energy ray curable composition between a substrate and a mold having a diffractive grating transfer part, irradiating second active energy rays to obtain a laminate having a diffractive grating layer on convex structure transfer part, and irradiating first active energy rays. | 02-25-2016 |
20160056194 | OPTOELECTRONIC MODULES HAVING A SILICON SUBSTRATE, AND FABRICATION METHODS FOR SUCH MODULES - Optoelectronic modules include a silicon substrate in which or on which there is an optoelectronic device. An optics assembly is disposed over the optoelectronic device, and a spacer separates the silicon substrate from the optics assembly. Methods of fabricating such modules also are described. | 02-25-2016 |
20160056224 | LIGHT-EMITTING DEVICE AND METHOD FOR FABRICATING THE SAME - To provide a method for fabricating a light-emitting device using flexible glass which is capable of withstanding a process temperature higher than or equal to 500° C., and the light-emitting device. A second substrate is attached to a support substrate using an adsorption layer. The second substrate is bonded to a backplane substrate provided with a transistor and a light-emitting element. The backplane substrate includes a separation layer and a buffer layer. A first substrate is separated from the backplane substrate by separation between the separation layer and the buffer layer. A flexible third substrate is bonded, using a second adhesive layer, to a surface of the buffer layer exposed by the separation. The support substrate is separated from the second substrate by separation between the second substrate and the adsorption layer. | 02-25-2016 |
20160056335 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to an embodiment, a semiconductor light emitting device includes a first semiconductor layer, a second semiconductor layer, a dielectric film and an electrode. The first semiconductor layer is capable of emitting light. The second semiconductor layer has a first major surface in contact with the first semiconductor layer and a second major surface opposite to the first major surface, the second major surface including a first region having convex structures and a second region not having the convex structures. The dielectric film is provided at least at a tip portion of the convex structures, and the electrode is provided above the second region. | 02-25-2016 |
20160056343 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT - An optoelectronic semiconductor component includes an optoelectronic semiconductor chip having side areas, a surface at a top side of the semiconductor chip, and a surface at a bottom side of the semiconductor chip; a shaped body having a surface at a top side of the shaped body and a surface at an underside of the shaped body; at least one plated-through hole including an electrically conductive material; and an electrically conductive connection electrically conductively connected to the semiconductor chip and the plated-through hole, wherein the side areas of the optoelectronic semiconductor chip are covered by the shaped body, and the surface at the top side and/or the surface at the bottom side of the optoelectronic semiconductor chip are completely free of the shaped body. | 02-25-2016 |
20160056344 | SEMICONDUCTOR COMPONENT AND METHOD OF FABRICATING A SEMICONDUCTOR COMPONENT - An optoelectronic semiconductor component includes a semiconductor chip having a semiconductor layer sequence including an active region that generates radiation; a radiation exit surface running parallel to the active region; a mounting side surface that fixes the semiconductor component and runs obliquely or perpendicularly to the radiation exit surface and at which at least one contact area for external electrical contacting is accessible; a molded body molded onto the semiconductor chip in places and forming the mounting side surface at least in regions; and a contact track arranged on the molded body and electrically conductively connecting the semiconductor chip to the at least one contact area. | 02-25-2016 |
20160056349 | ASSEMBLY THAT EMITS ELECTROMAGNETIC RADIATION AND METHOD OF PRODUCING AN ASSEMBLY THAT EMITS ELECTROMAGNETIC RADIATION - An electromagnetic radiation emitting assembly includes a carrier, an electromagnetic radiation emitting component arranged above the carrier, and a potting material at least partly surrounding the electromagnetic radiation emitting component and into which are embedded phosphor that converts the electromagnetic radiation and heat-conducting particles that conduct heat arising during operation of the electromagnetic radiation emitting assembly, wherein a phosphor concentration in the potting material near the electromagnetic radiation emitting component is greater than a particle concentration of the heat-conducting particles in the potting material near the electromagnetic radiation emitting component, and a particle concentration of the heat-conducting particles in the potting material near the electromagnetic radiation emitting component is greater than in the potting material remote from the electromagnetic radiation emitting component. | 02-25-2016 |
20160056351 | LIGHT-EMITTING DEVICE - Disclosed is a light-emitting device. The light-emitting device comprises a light-emitting stack comprising an active layer; a substrate above the active layer, the substrate comprising a first surface and a second surface which is opposite to the first surface and is closer to the active layer than the first surface, wherein the substrate comprises a recess which is circumscribed by a part of the first surface; and a first electrode in the recess. A method for forming the light-emitting device is also disclosed. | 02-25-2016 |
20160056352 | OPTICAL SUBSTRATE, SEMICONDUCTOR LIGHT EMITTING DEVICE AND MANUFACTURING METHOD OF THE SAME - An optical substrate PP ( | 02-25-2016 |
20160056356 | LIGHT EMITTING DEVICE PACKAGE - Embodiments provide a light emitting device package including a first lead frame including a first contact area and a first exposed area, a second lead frame spaced apart from the first lead frame, the second lead frame including a second contact area and a second exposed area, a bottom portion located between the first contact area and the first exposed area, between the second contact area and the second exposed area, and between the first contact area and the second contact area, a light emitting device electrically connected to the first and second contact areas, and a package body having a cavity configured to expose the first and second contact areas, the first and second exposed areas, and the bottom portion, wherein the bottom portion has a thermal expansion coefficient greater than a thermal expansion coefficient of the first and second lead frames. | 02-25-2016 |
20160056357 | LIGHT EMITTING DEVICE, RESIN PACKAGE, RESIN-MOLDED BODY, AND METHODS FOR MANUFACTURING LIGHT EMITTING DEVICE, RESIN PACKAGE AND RESIN-MOLDED BODY - A method of manufacturing a light emitting device having a resin package which provides an optical reflectivity equal to or more than 70% at a wavelength between 350 nm and 800 nm after thermal curing, and in which a resin part and a lead are formed in a substantially same plane in an outer side surface, includes a step of sandwiching a lead frame provided with a notch part, by means of an upper mold and a lower mold, a step of transfer-molding a thermosetting resin containing a light reflecting material in a mold sandwiched by the upper mold and the lower mold to form a resin-molded body in the lead frame and a step of cutting the resin-molded body and the lead frame along the notch part. | 02-25-2016 |
20160060479 | HEAT-CURABLE POLYMER PASTE - A heat-curable polymer paste comprising: (i) 100 parts by weight of a transparent polyester resin having a hydroxyl value of 1 to 10 mgKOH/g and a glass transition point (Tg) of 40 to 120° C., (ii) 1 to 30 parts by weight of a crosslinking agent comprising methoxymethyl imino melamine represented as formula (I); (C | 03-03-2016 |
20160060514 | SiC FLUORESCENT MATERIAL AND METHOD FOR MANUFACTURING THE SAME, AND LIGHT EMITTING ELEMENT - A method for manufacturing a SiC fluorescent material, which includes growing the SiC fluorescent material in a hydrogen-containing atmosphere by a sublimation method in the manufacture of the SiC fluorescent material, the SiC fluorescent material including a SiC crystal in which a carbon atom is disposed in a cubic site and a hexagonal site, and a donor impurity and an acceptor impurity added therein, wherein a ratio of a donor impurity to be substituted with a carbon atom in a cubic site to a donor impurity to be substituted with a carbon atom in a hexagonal site is larger than a ratio of the cubic site to the hexagonal site in a crystal structure. | 03-03-2016 |
20160060517 | Method for Producing a Powdery Precursor Material, Powdery Precursor Material and Use Thereof - A method can be used for producing a powdery precursor material of the following general composition I or II or III or IV: I: (Ca | 03-03-2016 |
20160060518 | PHOSPHOR, METHOD FOR PRODUCING SAME, LIGHT-EMITTING DEVICE, AND IMAGE DISPLAY APPARATUS - Provided is a chemically and thermally stable phosphor having different light-emitting characteristics than a conventional phosphor and having high light-emitting intensity even when combined with an LED of 410 nm or lower. The phosphor comprises an inorganic compound in which an inorganic crystal including A element, D element, X element (A is one or more elements selected from Mg, Ca, Sr, and Ba; D is one or more elements selected from Si, Ge, Sn, Ti, Zr, and Hf; and X is one or more elements selected from O, N, and F), and, if necessary, E element (where E is one or more elements selected from B, Al, Ga, In, Sc, Y, and La) includes Li element and M element (where M is one or more elements selected from Mn, Ce, Pr, Nd, Sm, Eu, Tb, Dy, and Yb). | 03-03-2016 |
20160062180 | COLOR FILTER COMPOSITION AND LIQUID CRYSTAL DISPLAY INCLUDING THE SAME - A color filter composition includes a mill base including a pigment, an initiator, and a solvent. The initiator is a compound including an oxime group and a light absorbance unit, as expressed in Formula 1. | 03-03-2016 |
20160064602 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND FABRICATING METHOD THEREOF - A semiconductor light-emitting device including an epitaxial structure, a first electrode structure, a second electrode structure, a light reflective metal layer, a resistivity-enhancing structure and a protection ring is provided. The light-emitting epitaxial structure has a first surface and a second surface. The light-emitting epitaxial structure has a first zone and a second zone. The first electrode structure is disposed within the first zone. The second electrode structure is disposed within the second zone. The light reflective metal layer is disposed adjacent to the second surface. The resistivity-enhancing structure is disposed in contact with a surface of the light reflective metal layer and corresponding to a position of the first electrode structure. The protection ring has a first portion and a second portion. The first portion surrounds a sidewall of the light reflective metal layer. The second portion corresponds to the second electrode structure. | 03-03-2016 |
20160064604 | FLIP CHIP LIGHT EMITTING DIODE PACKAGING STRUCTURE - A flip chip light emitting diode (LED) packaging structure, including a substrate, an LED chip including a P electrode and a N electrode. A protruding platform is formed in a center of the substrate. The protruding platform includes a first connecting portion and a second connecting portion electrically insulating from each other. The P electrode and the N electrode is conductively fixed to the protruding platform by solder, and a bottom edge of the P electrode and the N electrode are beyond a top edge of the protruding platform. | 03-03-2016 |
20160064611 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a laminated semiconductor structure having a first surface and a second surface opposing each other, a first conductivity-type semiconductor layer and a second conductivity-type semiconductor layer respectively forming the first surface and the second surface, and an active layer. First and second electrodes are disposed on the first surface of the laminated semiconductor structure and the second surface of the laminated semiconductor structure, respectively. A connecting electrode extends to the first surface to be connected to the second electrode. A support substrate is disposed on the second electrode, and an insulating layer insulates the connecting electrode from the active layer and the first conductivity-type semiconductor layer. | 03-03-2016 |
20160064617 | LIGHT EMITTING DIODE STRUCTURE - A light emitting diode (LED) structure including a stacked semiconductor layer, a contact layer and a dielectric reflective layer is provided. The stacked semiconductor layer includes a first type doped layer, a second type doped layer and an active layer disposed between the first type doped layer and the second type doped layer, wherein the first type doped layer, the active layer and the second type doped layer are penetrated by a plurality of recesses. The contact layer is disposed on the second type doped layer. The dielectric reflective layer is disposed on the contact layer and extended into the recesses to connect the contact layer and the first type doped layer with a coverage rate equal to or less than 60% from a top view of the LED structure. | 03-03-2016 |
20160064619 | LIGHT EMITTING DEVICE AND OPTICAL DEVICE - The present invention provides a light emitting device which is capable of enhancing the radiant intensity on a single direction. The light emitting device comprises a substrate, a lens bonded to the substrate, and an LED chip bonded to the substrate and exposed in a gap clipped between the substrate and the lens, wherein the lens has a light output surface which bulges in a direction that is defined from the substrate toward the LED chip and is contained in a thickness direction of the substrate to transmit the light emitted from the LED chip. | 03-03-2016 |
20160064623 | LIGHT EMITTING DIODE (LED) COMPONENT COMPRISING A PHOSPHOR WITH IMPROVED EXCITATION PROPERTIES - A light emitting diode (LED) component comprises an LED having a dominant wavelength in a range of from about 425 nm to about 475 nm, and a first phosphor and a second phosphor are in optical communication with the LED. The first phosphor has a peak emission wavelength in the range of from about 600 nm to about 700 nm, and the second phosphor has a peak emission wavelength in the range of from about 500 nm to about 600 nm. An excitation spectrum of the first phosphor includes, at excitation wavelengths longer than 530 nm, no intensities greater than about 60% of a maximum intensity of the excitation spectrum. | 03-03-2016 |
20160064627 | LIGHT-EMITTING DEVICE - Disclosed is a light-emitting device ( | 03-03-2016 |
20160064628 | CIRCUIT BOARD, OPTICAL SEMICONDUCTOR DEVICE, AND PRODUCING METHOD THEREOF - A circuit board includes a phosphor-containing board for mounting an optical semiconductor element at one side thereof in a thickness direction and an electrode wire laminated at the one side in the thickness direction of the phosphor-containing board so as to be electrically connected to the optical semiconductor element. | 03-03-2016 |
20160068747 | PHOSPHOR, METHOD FOR PRODUCING THE SAME, AND LIGHT-EMITTING DEVICE USING THE SAME - The present embodiment is to provide a blue light-emitting phosphor that enables a white light-emitting device with high color rendering properties to be formed. The phosphor exhibits a luminescence peak in a wavelength range of 430 to 490 nm when excited with light having a luminescence peak within a wavelength range of 250 to 430 nm, wherein the phosphor includes a composition represented by the following formula (1): ((Sr | 03-10-2016 |
20160072013 | SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a semiconductor light emitting device includes a stacked body, a first electrode, a second electrode, a first interconnection section, a second interconnection section, an insulating layer, a first transmissive layer, a first reflection film, and a second transmissive layer. The stacked body includes a first layer having a rough surface, a second layer, and a light emitting layer. The first transmissive layer is provided on a side of the stacked body. The first reflection film is provided between the first transmissive layer and the insulating layer. The second transmissive layer is provided on the rough surface of the first layer and on the first transmissive layer, and includes a plurality of particles. Surface roughness of a surface on the second transmissive layer side of the first transmissive layer is smaller than surface roughness of the rough surface of the first layer. | 03-10-2016 |
20160072014 | SURFACE TREATMENT OF A SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light-emitting device includes a semiconductor structure having a light-emitting region. A surface of the semiconductor structure has flattened peaks. | 03-10-2016 |
20160072019 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - A semiconductor light emitting element of an embodiment includes a laminated body having first, second, and third semiconductor layers stacked on each other in a first direction, the third semiconductor layer being between the first and second semiconductor layers in the first direction. The laminated body includes a first region and a second region spaced from the first region a second direction which intersects the first direction. The first electrode is electrically connected to the first semiconductor layer. The second electrode is disposed between the first region and the second region along the second direction. The first conductive layer electrically connects the second semiconductor layer and the second electrode to each other. An outer edge of the first conductive layer is positioned inside an outer edge of the laminated body. | 03-10-2016 |
20160072022 | LED PACKAGES WITH CHIPS HAVING INSULATED SURFACES - Emitter packages are disclosed that can include an insulating layer covering the emitter, such as between the emitter's primary emission surface and a lens or encapsulant. The packages can comprise a submount with an emitter flip-chip mounted such that the diode region is between the emitter's non-insulating and/or conductive substrate and the submount. The submount can then be covered with a thin insulating layer. The same or another insulating layer can cover other electrically active surfaces on the submount. By insulating the electrically active surfaces of the emitter and, in some embodiments, other electrically active surfaces, the package can meet UL8750 class 4 enclosure standards even if it does not meet the lens adhesion criteria. This can enable the use of cheaper and/or more optically efficient materials at the fixture level, since the package itself meets class 4 standards. | 03-10-2016 |
20160072025 | APPARATUS AND METHOD FOR MODULATING PHOTON OUTPUT OF A QUANTUM DOT LIGHT EMITTING DEVICE - An apparatus is provided for modulating the photon output of a plurality of free standing quantum dots. The apparatus comprises a first electron injection layer ( | 03-10-2016 |
20160072026 | LIGHT EMITTING DEVICE UTILIZING SEMICONDUCTOR AND MANUFACTURING METHOD OF THE SAME - An LED light emitting apparatus | 03-10-2016 |
20160072029 | OPTO-ELECTRONIC MODULES WITH MASKING FEATURE FOR REDUCING THE VISIBILITY OF INTERIOR COMPONENTS - Opto-electronic modules include masking features that can help reduce the visibility of interior components or enhance the outer appearance of the module or of an appliance incorporating the module as a component. The modules can include an optical diode or saturable optical absorber. | 03-10-2016 |
20160072030 | OPTICAL ELEMENT AND OPTOELECTRONIC COMPONENT - An optical element has a first surface and a second surface opposite the first surface. The first surface is subdivided into at least one first segment and a second segment. The segments in each case adjoin a midpoint of the first surface. Each segment has a tooth structure having teeth extending along tooth extension directions. The tooth extension directions have bends at boundaries between the segments. | 03-10-2016 |
20160072031 | LIGHT EMITTING APPARATUS - A light emitting device including a contact layer, a blocking layer over the contact layer, a protection layer adjacent the blocking layer, a light emitter over the blocking layer, and an electrode layer coupled to the light emitter. The electrode layer overlaps the blocking layer and protection layer, and the blocking layer has an electrical conductivity that substantially blocks flow of current from the light emitter in a direction towards the contact layer. In addition, the protection layer may be conductive to allow current to flow to the light emitter or non-conductive to block current from flowing from the light emitter towards the contact layer. | 03-10-2016 |
20160079217 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LEAD FRAME - According to one embodiment, a semiconductor light emitting device includes a lead frame; a chip mounted on the lead frame, the chip including a substrate and a light emitting element provided on the substrate; a wall section including an inner wall facing to a side portion of the chip, and an outer wall on an opposite side to the inner wall; and a phosphor layer provided on at least the chip. A distance between the side portion of the chip and the inner wall of the wall section is smaller than a thickness of the chip. An angle between an upper surface of the lead frame and the inner wall is smaller than an angle between the upper surface of the lead frame and the outer wall. | 03-17-2016 |
20160079479 | LIGHT-EMITTING DEVICE - A light-emitting device according to an aspect of the present disclosure includes a light transmissive first electrode layer, a light transmissive second electrode layer, an electroluminescent layer between the first electrode layer and the second electrode layer, and a reflective layer located on a side opposite to the electroluminescent layer with respect to the second electrode layer. The reflective layer includes a base material having a refractive index equal to or higher than a refractive index of the electroluminescent layer, and fillers each having a refractive index different from that of the base material. | 03-17-2016 |
20160079480 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor light-emitting device includes a first layer having a first surface and an opposing second surface. The first surface has a roughness including a bottom portion and a top portion. A light emitting layer is provided between the second surface and a second layer. An insulating layer is provided on the first surface. The insulating layer includes a first portion adjacent to the bottom portion and a second portion adjacent to the top portion along the first direction. The first portion has a thickness that is greater than a thickness of the second portion. | 03-17-2016 |
20160079481 | LIGHT EMITTING DEVICE - This disclosure discloses a light-emitting chip comprises: a light-emitting stack, having a side wall, comprising an active layer emitting light; and a light-absorbing layer having a first portion surrounding the side wall and being configured to absorb 50% light toward the light-absorbing layer. | 03-17-2016 |
20160079484 | LIGHT EMITTING DEVICE INCLUDING LIGHT EMITTING ELEMENT WITH PHOSPHOR - A light emitting device includes a light emitting element, a molded member, and a sealing member. The light emitting element is arranged on or above the molded member. The sealing member covers the light emitting element. The sealing member contains a phosphor, and a filler material. The phosphor can be excited by light of the light emitting element, and emit luminescent radiation. The filler material contains neodymium hydroxide, neodymium aluminate or neodymium silicate. The filler material absorbs a part of the spectrum of the mixed light of the light emitting element and the phosphor so that the other parts of the spectrum of this mixed light are extracted from the light emitting device. | 03-17-2016 |
20160079487 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a package that includes a bottom surface and a first side section provided surround the bottom surface, a chip that includes a supporting substrate and a light-emitting section provided on the supporting substrate and is disposed on the bottom surface and spaced from the first side section, and a phosphor layer that is on the chip in a region above the bottom surface. | 03-17-2016 |
20160079488 | WAVELENGTH-CONVERTING ELEMENT, OPTOELECTRONIC COMPONENT AND PRINTING STENCIL - A wavelength-converting element having the shape of a small flat plate having a basic shape with an outer contour, wherein the wavelength-converting element includes a cut-out compared to the basic shape which is defined by a boundary edge, and at a conjunction of the boundary edge and the outer contour, an angle of less than 90° is enclosed. | 03-17-2016 |
20160079492 | LIGHT EMITTING APPARATUS AND LIGHTING APPARATUS - Light emitting apparatus including: substrate; LED chips on substrate; sealing member sealing LED chips; buffer layer on substrate; and dam material on the top surface of buffer layer, for holding back sealing member, wherein the adhesive strength of buffer layer to substrate and the adhesive strength of dam material to buffer layer are higher than the adhesive strength of dam material to substrate. | 03-17-2016 |
20160079494 | LIGHT EMITTING ELEMENT STRUCTURE - A light emitting element structure includes a light emitting unit configured to emit light; a package unit configured to cover the light emitting unit; a transparent light guide structure arranged on the package unit; and a first periodic sub-wavelength microstructure formed on the transparent light guide structure, wherein a plurality of holes of the first periodic sub-wavelength microstructure form a periodic pattern, and a distance between two adjacent holes of the first periodic sub-wavelength microstructure is smaller than λ/n, λ is a peak wavelength of light passing through the package unit from the light emitting unit, and n is a refractive index of the first periodic sub-wavelength microstructure. | 03-17-2016 |
20160079495 | SEMICONDUCTOR DEVICE AND A METHOD OF MAKING A SEMICONDUCTOR DEVICE - An LED device capable of emitting electromagnetic radiation ranging from about 200 nm to 365 nm, the device. The device includes a substrate member, the substrate member being selected from sapphire, silicon, quartz, gallium nitride, gallium aluminum nitride, or others. The device has an active region overlying the substrate region, the active region comprising a light emitting spatial region comprising a p-n junction and characterized by a current crowding feature of electrical current provided in the active region. The light emitting spatial region is characterized by about 1 to 10 microns. The device includes an optical structure spatially disposed separate and apart the light emitting spatial region and is configured to facilitate light extraction from the active region. | 03-17-2016 |
20160079496 | LIGHT-EMITTING DIODE PACKAGE STRUCTURE - A light-emitting diode package structure includes a package carrier, a light guiding component and a light emitting unit. The light guiding component is disposed on the package carrier. The light emitting unit is disposed on an upper surface of light guiding component relatively distant from the package carrier. A horizontal projection area of the light guiding component is greater than that of the light emitting unit. The light emitting unit is adapted to emit a light beam, and a portion of the light beam enters the light guiding component and emits from the upper surface of the light guiding component. An included angle existing between the light beam and a normal direction of the upper surface ranges from 0 degree to 75 degrees. | 03-17-2016 |
20160079497 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT EMITTING APPARATUS - A semiconductor light emitting device is provided. The semiconductor light emitting device includes a semiconductor substrate having a first face on a first side, a second face on a second side opposite to the first face, and a third face which joins the first face and the second face. The semiconductor light emitting device further includes a first light reflection film in contact with at least a portion of the third face of the semiconductor substrate. The semiconductor device further includes a laminated body that is provided on the second side of the semiconductor substrate, and includes a first semiconductor layer, a second semiconductor layer, and a light emitting layer provided between the first semiconductor layer and the second semiconductor layer. | 03-17-2016 |
20160079498 | Light emitting device on a mount with a reflective layer - Embodiments of the invention include a semiconductor light emitting diode (LED) attached to a top surface of a mount. A multi-layer reflector is disposed on the top surface of the mount adjacent to the LED. The multi-layer reflector includes layer pairs of alternating layers of low index of refraction material and high index of refraction material. A portion of the top surface in direct contact with the multi-layer reflector is non-reflective. | 03-17-2016 |
20160079499 | OPTICAL DESIGNS FOR HIGH-EFFICACY WHITE-LIGHT EMITTING DIODES - A method for increasing the luminous efficacy of a white light emitting diode (WLED), comprising introducing optically functional interfaces between an LED die and a phosphor, and between the phosphor and an outer medium, wherein at least one of the interfaces between the phosphor and the LED die provides a reflectance for light emitted by the phosphor away from the outer medium and a transmittance for light emitted by the LED die. Thus, a WLED may comprise a first material which surrounds an LED die, a phosphor layer, and at least one additional layer or material which is transparent for direct LED emission and reflective for the phosphor emission, placed between the phosphor layer and the first material which surrounds the LED die. | 03-17-2016 |
20160079500 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device includes a first lead frame having a top surface including a first region and a second region, a first metal layer disposed on the first region of the top surface, a reflector layer in contact with the second region of the top surface, a light emitting element mounted on the first metal layer and electrically connected to the first lead frame, and a transparent resin layer covering the light emitting element and in contact with the first metal layer. | 03-17-2016 |
20160079501 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes first and second semiconductor layer and a light-emitting layer between the first and second semiconductor layers. These layers are on a conductive substrate. A first electrode and a first electrode pad, which are electrically connected to each other, are the first semiconductor layer. A second electrode is between the substrate and the second semiconductor layer. A portion of the second electrode is not covered by the first semiconductor, second semiconductor, and light-emitting layers. A second electrode pad is on the exposed portion of the second electrode. The second electrode pad has a planar area that is less than a planar area of the first electrode pad. A third electrode is on a second surface of the conductive substrate such that the conductive substrate is between the third electrode and the second electrode. The third electrode is electrically connected to the second electrode pad. | 03-17-2016 |
20160079507 | LIGHT EMITTING DEVICE AND LIGHTING APPARATUS HAVING THE SAME - Disclosed are a light emitting device and a lighting apparatus having the same. The light emitting device includes a plurality of lead frames, a first body having non-transmissive resin material on top surfaces of the lead frames, a second body having transmittance resin material on a top surface of the first body, a light emitting chip on at least one of the lead frames exposed in the first opening of the second body, and a first transmissive layer disposed in the first opening of the second body. The first body and the second body is disposed around the light emitting chip. | 03-17-2016 |
20160079566 | SURFACE LIGHT EMITTING ELEMENT - A surface light emitting element includes a light emitting layer that emits light, a first electrode layer that is provided on the side of the light emitting layer from which the light is extracted and allows the light that has been emitted by the light emitting layer to pass through, a second electrode layer that is provided on the side of the light emitting layer from which light is not extracted, a light scattering layer that is provided on the side of the first electrode layer opposite to the side on which the light emitting layer is positioned, and a transparent substrate that is provided on the side of the light scattering layer opposite to the side on which the light emitting layer is positioned, wherein a conductive material in which the real part of a complex dielectric constant is negative is used in the first electrode layer. | 03-17-2016 |
20160083648 | PHOSPHOR, METHOD FOR PRODUCING THE SAME, AND LIGHT-EMITTING DEVICE USING THE SAME - A present embodiment is to provide a phosphor that has favorable temperature characteristics, that can emit yellow light having excellent color rendering properties, and that has high quantum efficiency. The phosphor exhibits a luminescence peak in a wavelength range of 500 to 600 nm when excited with light having a luminescence peak within a wavelength range of 250 to 500 nm. The phosphor is represented by the following formula (1): ((M | 03-24-2016 |
20160083649 | PHOSPHOR, LIGHT-EMITTING DEVICE, AND METHOD FOR PRODUCING PHOSPHOR - An embodiment is to provide a phosphor that has favorable temperature characteristics, that can emit yellow light with a wide half-width emission spectrum, and that has high quantum efficiency. The phosphor emits yellow light when excited with light having a luminescence peak in a wavelength range of 250 to 500 nm, and has a crystal structure that is substantially identical to the crystal structure of Sr | 03-24-2016 |
20160087155 | LIGHT-EMITTING DIODE - The present invention relates to a light-emitting diode (LED), which comprises electrodes having a single metal reflective layer. The single metal reflective layer is thicker than the active layer of the LED. Thereby, at least a portion of light emitted from the active layer is reflected by the single metal reflective layer, and thus enhancing the light-emitting efficiency of the LED. | 03-24-2016 |
20160087156 | LIGHT EMITTING DEVICE - A light emitting device includes at least one layer below or above a reflective layer to prevent delamination of the reflective layer from a layer below and/or above the reflective layer. | 03-24-2016 |
20160087157 | TRANSPARENT CONDUCTIVE LAYER STRUCTURE OF LIGHT EMITTING DIODE - A transparent conductive layer structure for an LED is provided. The LED includes a reflecting layer, an N-type electrode, an N-type semiconductor layer, a light emitting layer, a P-type semiconductor layer, a current block layer, a transparent conductive layer and a P-type electrode that are stacked on a substrate. The current block layer is disposed between and separates the P-type electrode and the P-type semiconductor layer. The transparent conductive layer is disposed between the P-type electrode and the current block layer, and connects to the P-type electrode and the P-type semiconductor layer. At a region corresponding to the P-type electrode, a plurality of holes are disposed at the transparent conductive layer to reduce an area of and hence an amount of light absorbed by the transparent conductive layer, thereby increasing light extraction efficiency of excited light from the light emitting layer and enhancing light emitting efficiency of the LED. | 03-24-2016 |
20160087159 | SEMICONDUCTOR LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes a light-emitting structure including a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer, and a selective transmission-reflection layer disposed on the light-emitting structure and including a plurality of dielectric layers having different optical thicknesses alternately stacked at least once. The sum of an optical thickness of a dielectric layer having a maximum optical thickness and an optical thickness of a dielectric layer having a minimum optical thickness is in the range of 0.75 to 0.80. | 03-24-2016 |
20160087162 | LIGHT EMITTING DEVICE AND TV BACK-LIGHT MODULE WITH WIDE COLOR GAMUT - The present invention provide an light emitting device (LED) with wide color gamut (high NTSC), and a LED backlight module with the light emitting device, the light emitting device includes at least one LED chip, wherein the LED chip is a blue or ultraviolet (UV) LED chip, the light-out surface of the LED chip is covered by a phosphor-converted layer which consists of phosphor converted materials and thermosetting colloid materials, the phosphor converted materials contain green-converted phosphor, red-converted phosphor and a special phosphor material that has strong light-absorbing properties in the wavelength range of 460-510 nm. The present invention can reduce the stringent requirements of phosphor FWHM that needs to meet for conventional high NTSC solution. | 03-24-2016 |
20160087163 | PHOSPHOR, METHOD FOR PRODUCING THE SAME, AND LIGHT-EMITTING DEVICE USING THE SAME - A present embodiment is to provide a phosphor that has favorable temperature characteristics, that can emit yellow light having excellent color rendering properties, and that has high quantum efficiency. The phosphor emits yellow light when excited with light having a luminescence peak in a wavelength range of 250 to 500 nm and has a crystal structure that is substantially identical to the crystal structure of Sr | 03-24-2016 |
20160087171 | A light emitting diode component - The present invention relates to a light emitting diode component ( | 03-24-2016 |
20160087177 | LIGHT-EMITTING SEMICONDUCTOR COMPONENT AND METHOD OF PRODUCING LIGHT-EMITTING SEMICONDUCTOR COMPONENTS - A radiation-emitting semiconductor device includes at least one semiconductor chip having a semiconductor layer sequence having an active region that produces radiation; a mounting surface on which at least one electrical contact for external contacting of the semiconductor chip is formed, wherein the mounting surface runs parallel to a main extension plane of the semiconductor layer sequence; a radiation exit surface running at an angle to or perpendicularly to the mounting surface; a radiation-guiding layer arranged in a beam path between the semiconductor chip and the radiation exit surface; and a reflector body adjacent to the radiation-guiding layer in regions and in a top view of the semiconductor device covers the semiconductor chip. | 03-24-2016 |
20160087180 | WAFER-LEVEL FLIP CHIP DEVICE PACKAGES AND RELATED METHODS - In accordance with certain embodiments, semiconductor dies are at least partially coated with a polymer and a conductive adhesive prior being bonded to a substrate having electrical traces thereon. | 03-24-2016 |
20160090527 | WATER-INSOLUBLE METAL HYDRATE CONTAINING AN ALKALI METAL AND PREPARATION METHODS THEREOF - The present invention relates to a novel method for preparing a water-insoluble metal hydroxide, and a use thereof. The water-insoluble metal hydroxide of the present invention is conveniently and efficiently prepared s through the high-temperature heat treatment step two times and the washing step, and thus contains a small amount of an alkali metal and has a high crystallinity and a phase purity. The water-insoluble metal hydroxide of the present invention or metal oxide therefrom exhibits an absorption wavelength at a low wavelength range (for example, 490 nm or less) and a light emitting wavelength at a high wavelength range (for example, from 500 nm or more to less than 1,100 nm). Accordingly, the water-insoluble metal hydroxide of the present invention may be efficiently used in various applications such as a fire retardant, an antacid, an adsorbent and so forth, and may also be doped with another metal ion to be utilized as a raw material for fabricating a catalyst, a fluorescent material, an electrode material, a secondary battery material and the like. | 03-31-2016 |
20160090528 | FLUORIDE FLUORESCENT MATERIAL, METHOD FOR PRODUCING THE SAME, AND LIGHT EMITTING DEVICE - A method for producing a fluoride fluorescent material including: preparing a first solution containing manganese, a second solution containing at least one cation selected from the group consisting of K | 03-31-2016 |
20160090529 | PHOSPHORS - The present invention relates to silicate phosphors, to a process for the preparation thereof and to the use thereof as conversion phosphors. The present invention also relates to an emission-converting material comprising at least the conversion phosphor according to the invention, and to the use thereof in light sources, in particular pc-LEDs (phosphor converted light emitting devices). The present invention furthermore relates to light sources, in particular pc-LEDs, and to lighting units which comprise a primary light source and the emission-converting material according to the invention. | 03-31-2016 |
20160093769 | LIGHT-EMITTING DIODE WITH PASSIVATION LAYER - An optoelectronic semiconductor chip includes a carrier substrate; a semiconductor body having a circumferential lateral surface, including a first and a second semiconductor region and, arranged there between, an active zone that generates radiation; and a connection structure including a first and a second conductive connection layer, separated from one another, wherein the first connection layer electrically connects to the first semiconductor region and the second connection layer via at least one plated-through hole electrically connects to the second semiconductor region, wherein the semiconductor body is surrounded by a passivation layer arranged on the lateral surface, and at least one further layer is arranged in a region surrounding the passivation layer. | 03-31-2016 |
20160093775 | Side Interconnect for Light Emitting Device - Embodiments of the invention include a semiconductor structure including a light emitting layer disposed between an n-type region and a p-type region. A metal n-contact is connected to the n-type region. A metal p-contact is in direct contact with the p-type region. An interconnect is electrically connected to one of the n-contact and the p-contact. The interconnect is disposed adjacent to the semiconductor structure. | 03-31-2016 |
20160093776 | LIGHTING APPARATUS INCLUDING COLOR STABLE RED EMITTING PHOSPHORS AND QUANTUM DOTS - A lighting apparatus is presented. The lighting apparatus includes a semiconductor light source, a color stable Mn | 03-31-2016 |
20160093777 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - The light emitting device includes a light emitting element, a wavelength converter, and a light guider. The light emitting element has an element upper surface, an element lower surface, and an element side surface. The wavelength converter has a converter lower surface. The wavelength is provided to be connected to the light emitting element. The converter lower surface has an exposed region that does not face the element upper surface. The light guider guides light from the light emitting element to the wavelength converter. The light guider covers the element side surface and the exposed region. The wavelength converter includes first and second wavelength converter parts. The first wavelength converter part faces the element upper surface and has a first thickness. The second wavelength converter part does not face the element upper surface and has a second thickness thinner than the first thickness. | 03-31-2016 |
20160093778 | LIGHT EMITTING DIODE - A light emitting diode is provided. The light emitting diode comprises a lead frame, a resin base, an emitting chip and a glue. The lead frame has a plurality of electrode portions thereon. The resin base is provided on the lead frame, the resin base having an outer wall thereon and around an edge to form an opening, and the opening exposing the electrode portions; and an inner wall extending from the outer wall, and the inner side of the inner wall has a slope to decrease the inner diameter of the opening gradually. The emitting chip is attached on the top surface(s) of one or two the electrode portion(s). The glue is filled into a space between the emitting chip and the inner wall to expose the top surface of the emitting chip. Therefore, the illumination of the light emitting diode can be enhanced. | 03-31-2016 |
20160093779 | LIGHT EMITTING DEVICE - Provided is a light emitting device. A semiconductor light emitting element with a peak wavelength ranging from 395 nm to 410 nm is used as a light source, light scattering particles made of a material with a band gap of 3.4 eV or more are dispersed in a dispersion medium of a reflection member, and a refractive index of the light scattering particles is larger than a refractive index of the dispersion medium by 0.3 or more. The semiconductor light emitting element has a 1 percentile value ranging from 365 nm to 383 nm in emission integrated intensity. | 03-31-2016 |
20160093780 | LIGHT EMITTING DEVICE AND METHOD FOR PRODUCING THE SAME - A light emitting device includes a semiconductor light emitting element; and a light reflective member having a multilayer structure and covering the side faces of the semiconductor light emitting element. The light reflective member includes: a first layer disposed on an inner, semiconductor light emitting element side, the first layer comprising a light-transmissive resin containing a light reflective substance, and a second layer disposed in contact with an outer side of the first layer, the second layer comprising a light-transmissive resin containing the light reflective substance at a lower content than that of the first layer. | 03-31-2016 |
20160093781 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THEREOF - A method of manufacturing a light emitting device includes: preparing a light-transmissive member including a light reflective sheet that has a through-hole, and a color conversion material layer that is composed of a light-transmissive resin containing a color conversion material and disposed in the through-hole, preparing a light emitting element, fixing the color conversion material layer to the light emitting element, covering a side surface of the light emitting element with a light-reflective member, and cutting the light-reflective member and light-reflective sheet. | 03-31-2016 |
20160093782 | LED WITH SCATTERING FEATURES IN SUBSTRATE - In one embodiment, the transparent growth substrate ( | 03-31-2016 |
20160093783 | OPTOELECTRONIC COMPONENT AND METHOD OF PRODUCTION THEREOF - An optoelectronic component includes an optoelectronic semiconductor chip embodied as a volume emitter, wherein the optoelectronic semiconductor chip is embedded into an optically transparent molded body, a soldering contact is arranged at an underside of the molded body, a bonding wire forms an electrically conductive connection between an electrical contact area of the optoelectronic semiconductor chip and the soldering contact, and the bonding wire is embedded into the molded body. | 03-31-2016 |
20160093784 | LIGHT EMITTING DEVICE, AND SUBSTRATE FOR LIGHT EMITTING DEVICE - A light emitting device includes a substrate, conductive members, and first and second light emitting elements. The conductive members have first to fourth lands and a linking portion linking the second and third lands. An outer edge of the first land is positioned to the inside of a point at which an extension of a side facing the second land and an extension of a side facing the third land intersect. An outer edge of the fourth land is positioned to the inside of a point at which an extension of a side facing the second land and an extension of a side facing the third land intersect. The first light emitting element has electrodes connected to the first and second lands, and the second light emitting element has electrodes connected to the third and fourth lands. | 03-31-2016 |
20160096991 | PHOSPHOR, PRODUCTION METHOD FOR SAME, LIGHT-EMITTING DEVICE, IMAGE DISPLAY DEVICE, PIGMENT, AND ULTRAVIOLET ABSORBER - A phosphor having different light emission characteristics from the conventional phosphor, having high emission intensity and chemical and thermal stability, combined with LED of less than 450 nm. This phosphor includes an inorganic compound comprising: a crystal represented by Ba | 04-07-2016 |
20160097491 | LED LAMP - An LED lamp A includes a plurality of LED modules | 04-07-2016 |
20160099381 | EPITAXY BASE, SEMICONDUCTOR LIGHT EMITTING DEVICE AND MANUFACTURING METHODS THEREOF - An epitaxy base including a substrate and a nucleating layer disposed on the substrate. The nucleating layer is an AlN layer with a single crystal structure. A diffraction pattern of the nucleating layer includes a plurality of dot patterns. Each of the dot patterns is substantially circular, and a ratio between lengths of any two diameters perpendicular to each other on each of the dot patterns ranges from approximately 0.9 to approximately 1.1. A semiconductor light emitting device, a manufacturing method of the epitaxy base, and a manufacturing method of the light emitting semiconductor device are further provided. | 04-07-2016 |
20160099385 | Method for Manufacturing Vertical Type Light Emitting Diode, Vertical Type Light Emitting Diode, Method for Manufacturing Ultraviolet Ray Light Emitting Diode, and Ultraviolet Ray Light Emitting Diode - A vertical type light emitting diode includes a nitride semiconductor having a p-n conjunction structure with a transparent material layer formed on a p type clad layer, the transparent material layer having a refractive index different from that of the p type clad layer and having a pattern structure of mesh, punched plate, or one-dimensional grid form, etc. A reflective metal electrode layer is formed on the transparent material layer as a p-electrode. A stereoscopic pattern is formed in the transparent material layer and the p-electrode deposited, and thereby forming the pattern in the p-electrode. Depositing the p-electrode on only 10 to 70% of the upper portion of the p type clad layer in an ultraviolet ray light emitting diode such that an area where the p type clad layer is exposed is wide increases the transmittance of ultraviolet rays through an area where the p-electrode is not deposited. | 04-07-2016 |
20160099387 | SEMICONDUCTOR LIGHT EMITTING DEVICE - A semiconductor light emitting device includes a package body having first and second surfaces being opposed to each other, first and second external terminal blocks disposed in opposite end portions of the package body, respectively, and having portions exposed to surfaces of the package body, respectively. A wavelength converting material layer is disposed between the first and second external terminal blocks and has a first surface substantially coplanar with the first surface of the package body, and a second surface opposing the first surface of the wavelength converting material layer. A LED chip is disposed package body on at least a portion of the second surface of the wavelength converting material layer between the first and second external terminal blocks within the package body. | 04-07-2016 |
20160099389 | LIGHT-EMITTING DIES INCORPORATING WAVELENGTH-CONVERSION MATERIALS AND RELATED METHODS - In accordance with certain embodiments, semiconductor dies are embedded within polymeric binder to form, e.g., freestanding white light-emitting dies and/or composite wafers containing multiple light-emitting dies embedded in a single volume of binder. | 04-07-2016 |
20160099390 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT - An optoelectronic semiconductor component includes a luminescent diode chip including a radiation passage face through which primary electromagnetic radiation leaves the luminescent diode chip when in operation, and a filter element that covers the radiation passage face of the luminescent diode chip at least in places, wherein the filter element prevents passage of some of the primary electromagnetic radiation in the UV range, and the filter element consists of a II-VI compound semiconductor material. | 04-07-2016 |
20160099392 | Laminate Sub-Mounts for LED Surface Mount Package - An LED package is described that acts as a sub-mount between a printed circuit board and an LED. The sub-mount includes a laminate to thermally isolate the LED from the PCB while providing a thermal heat dissipative sink for the LED. | 04-07-2016 |
20160099395 | LED LEADFRAME OR LED SUBSTRATE, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING LED LEADFRAME OR LED SUBSTRATE - An LED leadframe or LED substrate includes a main body portion having a mounting surface for mounting an LED element thereover. A reflection metal layer serving as a reflection layer for reflecting light from the LED element is disposed over the mounting surface of the main body portion. The reflection metal layer comprises an alloy of platinum and silver or an alloy of gold and silver. The reflection metal layer efficiently reflects light emitted from the LED element and suppresses corrosion due to the presence of a gas, thereby capable of maintaining reflection characteristics of light from the LED element. | 04-07-2016 |
20160104814 | VERTICAL-TYPE SEMICONDUCTOR LIGHT-EMMITTING DEVICE AND METHOD OF FABRICATING THE VERTICAL-TYPE LIGHT-EMITTING DEVICE - A semiconductor light-emitting device includes an active layer and a first semiconductor layer sequentially stacked on a second semiconductor layer and including a plurality of contact holes exposing portions of the first semiconductor layer, a plurality of first electrodes on the exposed portions of the first semiconductor layer, a second electrode on the second semiconductor layer adjacent to the contact holes, a first insulating layer on the second electrode in the first region defining at least a portion of the contact holes and insulating the plurality of first electrodes from the active layer and the second semiconductor layer, a first bonding layer on the first insulating layer, filling the contact holes and connected to the first electrodes, a second bonding layer on the second electrode, and a conductive layer including first and second portions contacting a lower surface of the first bonding layer and the second bonding layer, respectively. | 04-14-2016 |
20160104815 | THIN-FILM FLIP-CHIP LIGHT EMITTING DIODE HAVING ROUGHENING SURFACE AND METHOD FOR MANUFACTURING THE SAME - A thin-film flip-chip light emitting diode (LED) having a roughened surface and a method for manufacturing the same are provided. First, a substrate having a patterned structure on a surface of the substrate is provided, and the surface is roughened. A first semiconductor layer is then formed on the surface; a light emitting structure layer is then formed on the first semiconductor layer; a second semiconductor layer is then formed on the light emitting structure layer. The first and second semiconductor layers possess opposite electrical characteristics. A first contact electrode and a second contact electrode are then formed on the first semiconductor layer and the second semiconductor layer, respectively. Finally, a sub-mount is formed on the first and second contact electrodes, and the substrate is removed to form the thin-film flip-chip LED having the roughened surface. Here, the light emitting efficiency of the thin-film flip-chip LED is improved. | 04-14-2016 |
20160104817 | LED PACKAGE - The present disclosure provides a light emitting diode die which includes a substrate; an N type semiconductor layer, an active layer, and a P type semiconductor layer formed on the substrate in sequence; at least one recess, and a pair of electrodes. The recess extends to the N type semiconductor layer. The insulating layer covers the all of side surfaces of the N type semiconductor layer, the active layer, the P type semiconductor layer, and covers top of the P type semiconductor layer except an opening on the P semiconductor layer. One of the electrodes is filled in the recess and electrically connected to the N type semiconductor layer, and the other one of the electrodes is connected to the P type semiconductor layer in the opening. The present disclosure further provides an LED package having the LED die and a method for manufacturing the same. | 04-14-2016 |
20160104820 | LIGHTING EMITTING DEVICE - A light emitting device is disclosed. The light emitting device includes: a light emitting diode emitting light having a peak wavelength in the range of 415 nm to 435 nm; and a wavelength conversion unit disposed on the light emitting diode, wherein the wavelength conversion unit includes cyan phosphors emitting light having a peak wavelength in a cyan light wavelength band and red phosphors emitting light having a peak wavelength in a red light wavelength band, and a ratio of an output of light having a wavelength in the range of 435 nm to 465 nm to a total output of light emitted from the light emitting device is approximately equal to or less than 3%. | 04-14-2016 |
20160104822 | METHOD FOR THE PRODUCTION OF A WAVELENGTH CONVERSION ELEMENT, WAVELENGTH CONVERSION ELEMENT, AND COMPONENT COMPRISING THE WAVELENGTH CONVERSION ELEMENT - Disclosed is a method for producing a wavelength conversion element ( | 04-14-2016 |
20160104824 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes a light emitting element, a fluorescent material layer, and an inorganic material layer. The light emitting element has an asperity surface. The fluorescent material layer is provided on the asperity surface. The fluorescent material layer has a glass member and a fluorescent material dispersed in the glass member. The inorganic material layer is provided between the asperity surface and the fluorescent material layer. The inorganic material layer is in contact with the asperity surface and the fluorescent material layer, and transmissive to light emitted from the light emitting element. | 04-14-2016 |
20160104825 | LED PACKAGE, BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY DEVICE - Disclosed is a light emitting diode package that includes: a frame having a light emitting diode (LED) thereon; and a glass cell over the LED, the glass cell including a quantum dot dispersed in one of a resin and an organic solvent. | 04-14-2016 |
20160104826 | LIGHT EMITTING PACKAGE HAVING A GUIDING MEMBER GUIDING AN OPTICAL MEMBER - A light emitting package, includes a base; a light emitting device on the base; an electrical circuit layer electrically connected to the light emitting device; an optical member formed of a light transmissive material; and a guiding member guiding the optical member, the guiding member including an opening, a first portion disposed on the uppermost surface of the base, and a second portion connected to an edge portion of the optical member. The first portion of the guiding member is positioned higher than a bottom surface of the optical member, an uppermost surface of the base is closer to the first portion of the guiding member than the second portion of the guiding member, and the edge portion of the optical member is closer to the second portion of the guiding member than the first portion of the guiding member. | 04-14-2016 |
20160104828 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package is provided. The light emitting device package includes a package body comprising a first cavity, and a second cavity connected to the first cavity; a first lead electrode, at least a portion of which is disposed within the second cavity; a second lead electrode, at least a portion of which is disposed within the first cavity; a light emitting device disposed within the second cavity; a first wire disposed within the second cavity, the first wire electrically connecting the light emitting device to the first lead electrode; and a second wire electrically connecting the light emitting device to the second lead electrode. | 04-14-2016 |
20160108311 | PHOSPHORS - The present invention relates to garnet phosphors, to a process for the preparation thereof and to the use thereof as conversion phosphors. The present invention also relates to an emission-converting material comprising at least the conversion phosphor according to the invention, and to the use thereof in light sources, in particular pc-LEDs (phosphor converted light emitting devices). The present invention furthermore relates to light sources, in particular pc-LEDs, and to lighting units which comprise a primary light source and the emission-converting material according to the invention. | 04-21-2016 |
20160111597 | GRAPHICAL MICROSTRUCTURE OF LIGHT EMITTING DIODE SUBSTRATE - The invention relates to a patterned microstructure of a light emitting diode (LED) substrate. The substrate is provided with patterned microstructures arranged in an array. Each patterned microstructure includes a bottom surface and a lateral surface adjacent to the bottom surface. There is an angle θ between the lateral surface and the bottom surface, where 0°<θ<90°. The length of the bottom surface ranges between 2.5 microns and 2.8 microns. An end of the lateral surface far away from the bottom surface is gradually shrunk into an intersection, and the height between the intersection and the bottom surface ranges between 1.5 microns and 1.9 microns. Accordingly, the invention can effectively achieve improving light extraction efficiency of the LED by optimizing the size of the patterned microstructure cyclically and alternately arranged on the LED substrate. | 04-21-2016 |
20160111598 | LIGHT EMITTING DEVICE HAVING LIGHT EXTRACTION STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A light emitting device including a support layer; a reflective electrode disposed on the support layer; an ohmic electrode disposed on the reflective electrode, the ohmic electrode including a transparent electrode; and a semiconductor structure disposed on the ohmic electrode, the semiconductor structure including a p-type semiconductor layer disposed on the ohmic electrode; a light emitting layer disposed on the p-type semiconductor layer; and an n-type semiconductor layer disposed on the light emitting layer. Further, the transparent electrode has a thickness in the range of 40 nm to 90 nm. | 04-21-2016 |
20160111599 | LED ELEMENT - In order to achieve appropriate light distribution using light distribution characteristics resulting from diffraction while improving light extraction efficiency using a diffraction effect, an LED element provided with: a substrate in which periodic depressions or projections are formed on a front surface; a semiconductor laminated part that is formed on the front surface of the sapphire substrate, includes a light-emitting layer, and is formed of a group-III nitride semiconductor; and a reflecting part that reflects at least a part of light emitted from the light-emitting layer toward the front surface of the substrate, the LED element obtaining a diffraction effect of light emitted from the light-emitting layer at an interface between the substrate and the semiconductor laminated part, wherein a relation of 1/2×λ≦P≦16/9×λ, is satisfied, where a period of the depressions or the projections is P and a peak wavelength of the light emitted from the light-emitting layer is λ. | 04-21-2016 |
20160111601 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE, AND LIGHTING APPARATUS INCLUDING THE PACKAGE - Embodiments provide a light emitting device including a substrate, a light emitting structure including a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer, disposed under the substrate, a reflective layer disposed under the second conductive semiconductor layer, the reflective layer having at least one first through-hole formed in a first direction, the first direction being a thickness direction of the light emitting structure, a contact layer embedded in at least one second through-hole penetrating the reflective layer, the second conductive semiconductor layer, and the active layer so as to be connected to the first conductive semiconductor layer, and an insulation layer disposed between the contact layer and each of the reflective layer, the second conductive semiconductor layer, and the active layer, the insulation layer being embedded in the first through-hole. | 04-21-2016 |
20160111602 | LIGHT-EMITTING DIODE CHIP - A light-emitting diode (LED) chip is disclosed. The chip includes a light-emitting diode and an electrode layer on the light-emitting diode. The electrode layer includes a reflective metal layer. The reflective metal layer includes a first composition and a second composition. The first composition includes aluminum or silver, and the second composition includes copper, silicon, tin, platinum, gold, palladium or a combination thereof. The weight percentage of the second composition is greater than 0% and less than 20%. | 04-21-2016 |
20160111606 | LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a light-emitting device and a manufacturing method thereof. A light-emitting device according to a preferred embodiment of the disclosure comprises: a frame portion having a bottom and a sidewall; a light-emitting portion which is disposed on the frame portion and emits light; and a window portion disposed over the frame portion so as to cover the light-emitting portion. | 04-21-2016 |
20160111609 | Conversion LED with High Color Rendition Index - Conversion LED emits primary radiation (peak wavelength 435 nm to 455 nm) and has a luminescent substance-containing layer positioned to intercept the primary radiation and convert it into secondary radiation. First and second luminescent substances are used. The first luminescent substance is a A | 04-21-2016 |
20160111611 | EPOXY RESIN COMPOSITION FOR OPTICAL SEMICONDUCTOR DEVICE, AND LEAD FRAME FOR OPTICAL SEMICONDUCTOR DEVICE, ENCAPSULATION TYPE OPTICAL SEMICONDUCTOR ELEMENT UNIT AND OPTICAL SEMICONDUCTOR DEVICE EACH OBTAINABLE BY USING THE EPOXY RESIN COMPOSITION - An optical semiconductor device includes a metal lead frame including first and second plate portions, an optical semiconductor element mounted on the metal lead frame, and a reflector provided around the optical semiconductor element. A material for the reflector is an epoxy resin composition containing: (A) an epoxy resin; (B) a curing agent; (C) a white pigment; (D) an inorganic filler; and (E) at least one of a carboxylic acid and water. Components (C) and (D) are present in a total proportion of 69 to 94 wt % based on the amount of the overall epoxy resin composition, and the component (E) is present in a proportion of 4 to 23 mol % based on the total amount of the components (B) and (E). The resin composition has a higher glass transition temperature, and is excellent in moldability and blocking resistance and substantially free from warpage. | 04-21-2016 |
20160111612 | LIGHT EMITTING DIODE HAVING MIRROR PROTECTION LAYER AND METHOD FOR MANUFACTURING MIRROR PROTECTION LAYER - The present invention includes an N-type semiconductor layer, an active layer, a P-type semiconductor layer, a metal mirror layer, a protection adhesive layer and a metal buffer layer that are sequentially stacked. The protection adhesive layer is selected from a group consisting of a metal oxide and a metal nitride, fully covers one side of the metal mirror layer away from the P-type semiconductor layer, and includes a plurality of conductive holes. The metal buffer layer penetrates through the conductive holes to be electrically connected to the metal mirror layer. After forming the metal mirror layer on the P-type semiconductor layer, the protection adhesive layer that fully covers the metal mirror layer is directly formed to thoroughly protect the metal mirror layer by using the protection adhesive layer, thereby maintaining a reflection rate of the metal mirror layer and ensuring light emitting efficiency of a light emitting diode. | 04-21-2016 |
20160111614 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING APPARATUS INCLUDING THE PACKAGE - Embodiments provide a light emitting device package including a substrate, a light emitting structure disposed under the substrate and including a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer, first and second electrodes connected to the first and second conductive semiconductor layers, a first pad connected to the first electrodes in first-first through-holes penetrating the second conductive semiconductor layer and the active layer, and a first insulation layer disposed between the first pad and the second conductive semiconductor layer and between the first pad and the active layer to cover the first electrodes in a first-second through-hole, and a second pad connected to the second electrode through a second through-hole penetrating the first insulation layer and electrically spaced apart from the first pad. The second pad does not overlap the first insulation layer in the first-second through-hole in a thickness direction of the light emitting structure. | 04-21-2016 |
20160111615 | Method for Producing a Plurality of Optoelectronic Semiconductor Chips, and Optoelectronic Semiconductor Chip - An optoelectronic semiconductor chip includes a semiconductor body that has a semiconductor layer sequence and at least one opening that extends through a second semiconductor layer into a first semiconductor layer. The chip also includes a support, which includes at least one recess, and a metallic connecting layer between the semiconductor body and the support. The metallic connecting layer includes a first region and a second region. The first region is connected to the first semiconductor layer in an electrically conductive manner through the opening and the second region is connected to the second semiconductor layer in an electrically conductive manner. A first contact is connected to the first region in an electrically conductive manner through the recess or a second contact is connected to the second region in an electrically conductive manner through the recess. | 04-21-2016 |
20160111616 | WAFER LEVEL PACKAGING OF ELECTRONIC DEVICE - Wafer level packaging of LED devices is accomplished using a bottom wafer that includes one or more vias. A passivation layer is placed over the top surface of the bottom wafer including the surface of the vias. Metal pads are placed on the top surface of the passivation layer and extend to the bottom of the vias. Bond pads are then associated with the metal pads and ultimately used in attaching an LED device bottom wafer assembly. An encapsulation layer is applied and in contact with the LED device and a top wafer is attached to the encapsulation layer. The thickness of the bottom wafer is reduced, removing the lower portion to expose the metal pads at the bottom of the vias. An isolation layer is applied to the bottom wafer and holes are formed in the isolation layer to expose the metal pads. Electroplated structures are in contact with the isolation layer and in contact with the exposed metal pads. | 04-21-2016 |
20160115379 | Phosphor-Dispersed Glass - Disclosed is a phosphor-dispersed glass, including: a glass material; and a phosphor dispersed in the glass material, wherein the glass material is substantially free of Nb | 04-28-2016 |
20160115386 | FLUORESCENT MATERIAL AND LIGHT-EMITTING DEVICE - A fluorescent material according to an aspect of the present disclosure mainly comprises a compound represented by AB | 04-28-2016 |
20160118440 | Photo-Induced MSM Stack - Selector elements that can be suitable for nonvolatile memory device applications are disclosed. The selector element can have low leakage currents at low voltages to reduce sneak current paths for non-selected devices, and higher leakage currents at higher voltages to minimize voltage drops during device switching. The selector element can be based on multilayer film stacks (e.g. metal-semiconductor-metal (MSM) stacks). The semiconductor layer of the selector element can include a photo-luminescent or electro-luminescent material. Conductive materials of the MSM may include tungsten, titanium nitride, carbon, or combinations thereof. | 04-28-2016 |
20160118538 | LIGHT-EMITTING DEVICE HAVING A PATTERNED SUBSTRATE AND THE METHOD THEREOF - A light-emitting device comprises a textured substrate comprising a plurality of textured structures, wherein the textured structures and the textured substrate are both composed of sapphire; and a light-emitting stack overlaying the textured substrate, comprising a first conductivity type semiconductor layer, an active layer, and a second conductivity type semiconductor layer, wherein each of the plurality of textured structures comprises a top portion having a first top-view shape, and a bottom portion parallel to the top portion and having a second top-view shape, wherein the first top-view shape comprises a circle or an ellipse, the first top-view shape comprises a first periphery and the second top-view shape comprises a second periphery, the first periphery is enclosed by the second periphery, and various distances are between each of the first periphery and the second periphery. | 04-28-2016 |
20160118543 | LIGHT EMITTING DEVICE PACKAGE AND LIGHT EMITTING APPARATUS INCLUDING THE PACKAGE - A light emitting device may include a substrate, a light emitting structure disposed under the substrate, the light emitting structure including a first conductive semiconductor layer, an active layer, and a second conductive semiconductor layer, a first electrode configured to penetrate the second conductive semiconductor layer and the active layer, so as to come into contact with the first conductive semiconductor layer, a contact layer configured to come into contact with the second conductive semiconductor layer, a first insulation layer disposed between the second conductive semiconductor layer and the first electrode and between the active layer and the first electrode, the first insulation layer being provided for capping of a side portion and an upper portion of the contact layer, and a second electrode configured to penetrate the first insulation layer, so as to come into contact with the contact layer. | 04-28-2016 |
20160118549 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package includes a body, a cavity defined in the body and opened upward, a first electrode positioned in the cavity at least partly and including a first projection portion which projects upward, a second electrode positioned in the cavity at least partly and including a second projection portion which projects upward, a light emitting device positioned on the first projection portion and the second projection portion, and a bump disposed between the light emitting device and the first projection portion and between the light emitting device and the second projection portion, where the light emitting device is electrically connected to the first projection portion and the second projection portion through the bump. | 04-28-2016 |
20160118553 | OPTOELECTRONIC COMPONENT AND METHOD FOR THE PRODUCTION THEREOF - An optoelectronic component includes a housing having a first cavity open toward an upper side of the housing, and a second cavity open toward the upper side of the housing, wherein the first cavity and the second cavity connect by a connecting channel, an optoelectronic semiconductor chip is arranged in the first cavity, a potting material is arranged in a region of the first cavity enclosing the optoelectronic semiconductor chip, a bond wire is arranged between an electrical contact surface of the optoelectronic semiconductor chip and a bond surface of the housing, and the bond surface is arranged in the connecting channel. | 04-28-2016 |
20160118557 | LED PACKAGE WITH REFLECTING CUP - The present disclosure provides an LED package which includes electrodes, an LED die electrically connected with the electrodes, an encapsulation covering the LED die; and a casing surrounding the encapsulation and the LED die. The casing includes a base, a reflecting cup and a supporting portion. The reflecting cup extends from the base upwards, the reflecting cup surrounds the LED die, and the supporting portion is located inside the reflecting cup and across the electrodes. | 04-28-2016 |
20160118558 | LIGHT EMITTING DEVICE, PACKAGE, AND METHODS OF MANUFACTURING THE SAME - A light emitting device includes a package including a resin member having an inner side surface defining a recess, and a lead frame supported by the resin member and arranged at a bottom surface of the recess; and a light emitting element electrically connected to the lead frame. An outer side surface of the resin member at a portion corresponding to the recess is at least partially covered with a reflective film. | 04-28-2016 |
20160118559 | LIGHT-EMITTING DEVICE PACKAGE AND METHOD OF MANUFACTURING THEREOF - The present invention provides a light-emitting diode (LED) package including: a substrate on which a set of bonding pads are formed; an LED element configured to provide light of a predetermined wavelength region, having a set of chip pads formed on a top surface thereof and being attached on a top surface of the substrate; a set of gold wires connecting the bonding pads of the substrate with the chip pads of the LED element; a phosphor layer formed in a cap shape having side and top portions of a uniform thickness and being configured to surround sides and a top surface of the LED element while being spaced apart therefrom; and a filler disposed to fill a space formed between the phosphor layer and the LED element, wherein the LED element, the gold wires, and the bonding pads of the substrate are under the phosphor layer cap. | 04-28-2016 |
20160118560 | LIGHT EMITTING DIODE MODULE STRUCTURE AND MANUFACTURING METHOD THEREOF - A light emitting diode module structural and a manufacturing method thereof are disclosed. The manufacturing method includes the steps as follows. A base and a light emitting diode die are provided. The light emitting diode die may include a first semiconductor layer and a second semiconductor layer. The light emitting diode die is disposed on the base. A buffer layer is formed to cover the light emitting diode die. A first opening and a second opening are formed on the first semiconductor layer and the second semiconductor layer, respectively. The second opening exposes the second semiconductor layer by penetrating the first semiconductor layer. A conductive pattern layer is formed on the buffer layer, and is electrically connected with the first semiconductor layer and the second semiconductor layer via the first opening and the second opening, respectively. | 04-28-2016 |
20160118563 | LIGHT EMITTING DIODE PACKAGE - A light-emitting diode package includes a package body and a light-emitting diode chip disposed on the package body. The package body includes upper conductive patterns disposed on an upper insulation substrate, a lower insulation substrate disposed on lower conductive patterns, and middle conductive patterns disposed between the upper insulation substrate and the lower insulation substrate. The package body also includes upper vias electrically connecting each of the upper conductive patterns to each of the middle conductive patterns, respectively, the upper vias being disposed in the upper insulation substrate, and lower vias electrically connecting each of the middle conductive patterns to each of the lower conductive patterns, respectively, the lower vias disposed in the lower insulation substrate. | 04-28-2016 |
20160122642 | OXYNITRIDE PHOSPHOR POWDER - An oxynitride phosphor powder is an α-SiAlON phosphor having a dominant wavelength of 565-577 nm and fluorescence intensity and external quantum efficiency that are high enough for practical use. The oxynitride phosphor powder comprises an α-SiAlON represented by the compositional formula: Ca | 05-05-2016 |
20160126414 | Chip Substrate and Chip Package Module - A chip substrate includes conductive portions, insulation portions, cavities and a heat dissipating portion. The insulation portions are alternately bonded to the conductive portions to electrically isolate the conductive portions. The lens insertion portions are formed on an upper surface of the chip substrate at a predetermined depth so as to extend across each of the insulation portions. Each of the lens insertion portions includes a predetermined number of straight sides and a predetermined number of arc-shaped corners formed in regions where the straight sides meet with each other. The cavities are formed inward of the lens insertion portions at a predetermined depth so as to extend across each of the insulation portions. The heat dissipating portion is bonded to a lower surface of the chip substrate. | 05-05-2016 |
20160126422 | SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor light-emitting device of the present disclosure includes a plurality of semiconductor layers; a first inclined face having a first slope inside the plurality of semiconductor layers, which connects an etched-exposed surface of the first semiconductor layer with the surface of the second semiconductor layer and reflects the light from the active layer towards the first semiconductor layer; a second inclined face having a second slope greater than the first slope, which is provided around the plurality of semiconductor layers and reflects the light from the active layer towards the first semiconductor layer; a non-conductive reflective film formed on the second semiconductor layer, for reflecting the light from the active layer towards the first semiconductor layer. | 05-05-2016 |
20160126426 | LIGHT EMITTING MODULE - An embodiment relates to a light emitting module. A light emitting module according to an embodiment comprises: a light source unit comprising a light emitting device; a body comprising a lower portion on which the light source unit is arranged, a wall portion arranged on the lower portion and configured to surround the light source unit, and an upper portion arranged on the wall portion; an optical member arranged on the light source unit to transmit light from the light emitting device; and an adhesive member arranged between the wall portion of the body and the optical member to couple the body and the optical member, wherein the upper portion of the body is arranged between the light emitting device and the adhesive member. | 05-05-2016 |
20160126428 | LIGHT EMITTING DEVICE - A light emitting device is provided which includes a light emitting element, a phosphor, and a sealing member. The light emitting element has a light emission peak wavelength in the range not shorter than 400 nm and not longer than 460 nm. The phosphor can be excited by light from the light emitting element, and emit luminescent radiation with a light emission peak wavelength in the range in not shorter than 600 nm and not longer than 700 nm. The sealing member includes a pigment for absorbing a part of the light from the light emitting element. X of the light emission chromaticity of the light emitting device falls within the range of x≧0.600 in the chromaticity coordinates in the CIE 1931 color space chromaticity diagram. | 05-05-2016 |
20160126429 | Light emitting diode laminated with a phosphor sheet and manufacturing method thereof - A method for laminating a film over a light emitting diode (LED), where the thickness of a portion of the film disposed over the top surface of the LED is reduced by pressing a flattening element against the top surface of the LED. The resulting form of the phosphor encapsulation allows for an improved color homogeneity. | 05-05-2016 |
20160126435 | LIGHT EMITTING DEVICE - A light emitting device includes an electrically conductive member, a light emitting element, a wire, and a sealing member. The wire contains gold and silver and connects the electrically conductive member and the light emitting element. The wire includes a ball portion and a recrystallized region. The ball portion is provided on an electrode of the light emitting element. The recrystallized region is provided on the ball portion and has a length in a range of 50 μm to 90 μm. The sealing member has a lower surface and an upper surface opposite to the lower surface and covers the light emitting element and the wire so that the lower surface faces the electrically conductive member and the light emitting element and so that a distance from a top of the ball portion to the upper surface of the sealing member is 90 μm to 230 μm. | 05-05-2016 |
20160126437 | LIGHT-EMITTING DEVICE - The present invention discloses a light-emitting device which maximizes the optical efficiency and heat-radiation as well as facilitates thin film-type manufacture. The disclosed light-emitting device includes a film including a plurality of holes, upper conductive patterns for covering the plurality of holes, lower conductive patterns extended from the upper conductive pattern so as to be received in the holes, a bridge part for connecting adjacent upper conductive patterns, and a light-emitting diode chip installed in each of the upper conductive patterns, so that the device may be embodied in a thin film-type as well as maximizes the optical efficiency and heat-radiation, providing an advantage of reduced manufacturing time and cost. | 05-05-2016 |
20160133610 | LIGHT EMITTING DIODE (LED) COMPONENTS AND METHODS - Light emitting diode (LED) components and related methods are disclosed. LED components include a submount, at least one LED chip on a first surface of the submount, and a non-reflective, light permeable structure or dam. The light permeable dam can provide a component having a viewing angle that is greater than 115°. A method of providing an LED component includes providing a non-metallic submount, attaching at least one LED chip to a first surface of the submount, and dispensing a non-reflective, light permeable dam over the first surface of the submount about the at least one LED chip thereby providing a component having a viewing angle that is greater than 115°. | 05-12-2016 |
20160133615 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND SEMICONDUCTOR LIGHT EMITTING DEVICE PACKAGE INCLUDING THE SAME - There is provided a semiconductor light-emitting device which includes a light-emitting diode (LED) chip having a first plane on which first and second electrodes are disposed and a second plane disposed opposite to the first plane, first and second solder bumps disposed in bonding areas of the first and second electrodes, respectively, and a protective device electrically connected to the first and second electrodes and mounted on the first plane of the LED chip. The protective device has the substantially same thickness as each of the first and second solder bumps. | 05-12-2016 |
20160133788 | SEMICONDUCTOR LIGHT-EMITTING DEVICES AND SEMICONDUCTOR LIGHT-EMITTING DEVICE PACKAGES - Semiconductor light-emitting devices, and semiconductor light-emitting packages, include at least one light-emitting structure including a first conductivity-type semiconductor layer, an active layer, and a second conductivity-type semiconductor layer sequentially stacked on a substrate, the at least one light-emitting structure having a first region and a second region delimiting the first region. The light-emitting device includes a groove in the second region, and the groove is adjacent to an edge of the substrate and extends parallel to the edge of the substrate. | 05-12-2016 |
20160133789 | LIGHT-EMITTING DEVICE HAVING A PATTERNED SURFACE - A light-emitting device comprises a substrate having a top surface and a plurality of patterned units protruding from the top surface; and a light-emitting stack formed on the substrate and having an active layer with a first surface substantially parallel to the top surface; wherein one of the plurality of patterned units has a vertex, a first inclined surface, and a second inclined surface, and the first inclined surface and the second inclined surface commonly join at the vertex from a cross-sectional view of the light-emitting device. | 05-12-2016 |
20160133796 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light-emitting device comprises a light-emitting structure capable of emitting a light; an electrode formed on a side of the light-emitting structure; a transparent structure formed on a second side of the light-emitting structure, wherein the transparent structure is aligned to a region of the electrode, and comprises a first transparent layer and a second transparent layer around the first transparent layer; a contact structure formed on the second side of the light-emitting structure; and a reflective layer covering the transparent structure and the contact structure. | 05-12-2016 |
20160133797 | SMD Type LED Package Device, Method for Manufacturing the Same, and Light-Emitting Apparatus - Disclosed is a SMD type LED package device, a method for manufacturing the same, and a light-emitting apparatus, wherein the surface-mount-device (SMD) type light-emitting diode (LED) package device comprises an assembly of an LED chip, two metal supporting frames, and a packaging body. The two metal supporting frames of the assembly are spaced apart from each other and disposed in parallel along the first axis. Each metal supporting frame has a first end electrically connected to the LED chip and a second end opposite to the first end. The packaging body has a lens portion and a supporting portion, which is integrally formed with the packaging body and covers the LED chip and the first ends of the metal supporting frames. | 05-12-2016 |
20160133798 | OPTOELECTRONIC COMPONENT INCLUDING A CONVERSION ELEMENT AND METHOD OF PRODUCING AN OPTOELECTRONIC COMPONENT INCLUDING A CONVERSION ELEMENT - An optoelectronic component includes a layer sequence having an active layer that emits electromagnetic primary radiation during operation, at least one conversion element arranged in a beam path of the primary radiation, wherein the at least one conversion element includes converter particles and a binder material, the converter particles are distributed in the binder material, the converter particles at least partly convert the primary radiation into electromagnetic secondary radiation, and the binder material is produced from a salt of two Formulae or from a mixture of different salts of one of the two Formulae, or from a mixture of different salts of the two Formulae. | 05-12-2016 |
20160133799 | FLUORIDE PHOSPHOR AND LIGHT EMITTING DEVICE, AND METHODS OF MANUFACTURING THE SAME - A fluoride phosphor may include: a fluoride represented by a composition formula: A | 05-12-2016 |
20160133804 | LIGHT EMITTING DEVICE PACKAGE AND LIGHT SYSTEM INCLUDING THE SAME - A light emitting device package may include a package body, a light emitting device on the package body, a first molding member that surrounds the light emitting device, and a second molding member having a hemi-spherical structure to surround the first molding member. The molding member includes a viscous material. | 05-12-2016 |
20160133806 | LIGHT EMITTING DEVICE - A light emitting device includes: a heat dissipative board; a wiring board which adheres and is fixed to the heat dissipative board and in which a through-hole is formed; a light-emitting element which is mounted on a front surface of the heat dissipative board which is exposed through the through-hole of the wiring board; a bonding wire which connects the light-emitting element and the wiring board; and a light-reflecting member which covers a surface of an inner peripheral wall of the through-hole excluding disposition places of the light-emitting element and the bonding wire. | 05-12-2016 |
20160137917 | Red Phosphor, White Light Source, Light Emitting Device, and Method for Forming the Red Phosphor - The present invention relates to a red phosphor, which includes an element A, magnesium, aluminum, oxygen, and manganese in Chemical Formula (1) that is (A | 05-19-2016 |
20160141462 | MOLDED SUBSTRATE, PACKAGE STRUCTURE, AND METHOD OF MANUFACTURE THE SAME - A molded substrate is provided, including: a release film; and a plurality of phosphor particles formed on the release film, wherein the phosphor particles have gaps therebetween. A method of manufacturing a package structure is also provided, including: disposing at least one light emitting element on a carrier; forming a transparent adhesive layer on a surface of the light emitting element; disposing the molded substrate on the transparent adhesive layer with the phosphor particles disposed between the transparent adhesive layer and the release film; filling the transparent adhesive layer into the gaps of the phosphor particles to form a phosphor layer; and removing the release film, so as to obtain an even phosphor layer. | 05-19-2016 |
20160141465 | LIGHT EMITTING DEVICE AND FABRICATING METHOD THEREOF - A light-emitting device includes a light-emitting element for emitting primary light, and a wavelength conversion unit for absorbing part of the primary light and emitting secondary light having a wavelength longer than that of the primary light, wherein the wavelength conversion unit includes plural kinds of phosphors having light absorption characteristics different from each other, and then at least one kind of phosphor among the plural kinds of phosphors has an absorption characteristic that can absorb the secondary light emitted from at least another kind of phosphor among the plural kinds of phosphors. | 05-19-2016 |
20160141467 | LIGHT EMITTING DEVICE - A light emitting device includes an epitaxial structure and a sheet-shaped wavelength converting layer. The sheet-shaped wavelength converting layer is disposed on the epitaxial structure and at least includes a first wavelength converting unit layer and a second wavelength converting unit layer. The first wavelength converting unit layer is disposed between the second wavelength converting unit layer and the epitaxial structure. An emission peak wavelength of the first wavelength converting unit layer is greater than an emission peak wavelength of the second wavelength converting unit layer. A full width half magnitude of the second wavelength converting unit layer is greater than a full width half magnitude of the first wavelength converting unit layer. | 05-19-2016 |
20160141470 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate, an electrode connection layer, an epitaxial structure and a plurality of pads. The substrate has an upper surface, a lower surface and a plurality of conductive through holes. The electrode connection layer is disposed on the upper surface of the substrate, and connects with the conductive through holes. An edge of the electrode connection layer is aligned with an edge of the substrate. The epitaxial structure is disposed on the electrode connection layer and electrically connected to the electrode connection layer. The pads are disposed on the lower surface of the substrate and connect with the conductive through holes. | 05-19-2016 |
20160141472 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING APPARATUS INCLUDING THE SAME - A light emitting device package includes a package body, first and second lead frames located on the package body, a light source mounted on at least one of the first or second lead frames, a lens located on the package body, and a wavelength conversion unit partially located on the package body between the package body and the lens. | 05-19-2016 |
20160141473 | LIGHT EMITTING DIODE DEVICE - A light emitting diode device is described which includes at least one planar non-periodic high-index-contrast grating. The light emitting diode device includes a cavity formed between a reflective optical element and a transmissive optical element. One or both of the optical elements can be a planar non-periodic high-index-contrast grating. The transmissive optical element can be a collimating lens used to collimate incident beams of light while the reflective optical element can be a parabolic reflector used to reflect incident beams of light along a direction opposite to an incidence direction. A light emitter can be disposed within the cavity and can emit beams of light. | 05-19-2016 |
20160141474 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate, an electrode connection layer, an epitaxial structure and a plurality of pads. The substrate has an upper surface, a lower surface and a plurality of conductive through holes. The electrode connection layer is disposed on the upper surface of the substrate and has at least one first electrode, at least one second electrode and a connection layer which has at least one buffer region. The epitaxial structure is disposed on the electrode connection layer and electrically connected to the electrode connection layer. The pads are disposed on the lower surface of the substrate and connect with the conductive through holes. | 05-19-2016 |
20160141476 | PACKAGE STRUCTURE AND METHOD OF MANUFACTURE THEREOF, AND CARRIER - The present disclosure provides a method of manufacturing a package structure. The method includes: providing a plurality of conductive portions and a light emitting element; encapsulating the light emitting element and the conductive portions by an encapsulant with a lateral surface of the light emitting element electrically insulated from the conductive portions; electrically connecting the light emitting element to the conductive portions by a conductive element. Accordingly, several methods can be selected to form the conductive element with no conventional limitations. The present disclosure further provides a package structure and a carrier. | 05-19-2016 |
20160141477 | LED MODULE - An LED module A | 05-19-2016 |
20160141554 | LIGHT EMITTING APPARATUS - An illuminator includes a light-emitting element and a light extraction sheet which transmits light occurring from the light-emitting element. The light-emitting element includes a first electrode having a light transmitting property, a second electrode, and an emission layer between the first and second electrodes. The light extraction sheet includes a light-transmitting substrate having a first face and a second face, a first light extraction structure on the first face side of the light-transmitting substrate, and a second light extraction structure on the second face side of the light-transmitting substrate. The first light extraction structure includes a low-refractive index layer and a high-refractive index layer having a higher refractive index than the low-refractive index layer. The second light extraction structure is arranged so that light which is transmitted through the light-transmitting substrate and arrives at an incident angle of 60 to 80 degrees has an average transmittance of 20% or more. | 05-19-2016 |
20160145489 | FLUORESCENT POWDER AND LIGHT-EMITTING DEVICE INCLUDING THE SAME - The present disclosure relates to a fluorescent powder and a light-emitting device including the same. The fluorescent powder includes an inorganic compound. The inorganic compound contains components including an element M, an element A, an element D, an element E, and an element R. The element M is selected from Eu, Ce, Mn, Tb, Dy, and Tm, the element A is selected from Mg, Ca, Sr, and Ba, the element D is selected from B, Al, Ga, In, La, Gd, Sc, Lu, and Y, the element E is selected from Si, Ge, Zr, and Hf, and the element R is at least two elements selected from N, O, F, and Cl. In a powder X-Ray Diffraction (XRD) spectrum with CoKα radiation, the inorganic compound at least has diffraction peaks within ranges of an Bragg angle (2θ) from 27.3° to 28.3°, 29.7° to 30.7°, 41.9° to 42.9°, and 43.5° to 44.5°. | 05-26-2016 |
20160149076 | LED ELEMENT AND METHOD OF MANUFACTURING THE SAME - An LED element capable of further improving the light extraction efficiency and its manufacturing method are provided. | 05-26-2016 |
20160149080 | LIGHT EMITTING DIODE - A light emitting diode includes a substrate, a first semiconductor layer, an active layer, a second semiconductor layer, a first electrode, and a second electrode. The first semiconductor layer, the active layer, and the second semiconductor layer are orderly stacked on the substrate. The first electrode is electrically connected to the first semiconductor layer. The second electrode electrically is connected to the second semiconductor layer. The substrate has a number of three-dimensional nano-structures, and each of the number of three-dimensional nano-structures has a stepped structure. | 05-26-2016 |
20160149081 | SEMICONDUCTOR LIGHT EMITTING ELEMENT - According to one embodiment, a semiconductor light emitting element includes a light emitting layer, a current spreading layer of a first conductivity type, and a pad electrode. The light emitting layer is capable of emitting light. The current spreading layer has a first surface and a second surface. The light emitting layer is disposed on a side of the first surface. A light extraction surface having convex structures of triangle cross-sectional shape and a flat surface which is a crystal growth plane are included in the second surface. The pad electrode is provided on the flat surface. One base angle of the convex structure is 90 degrees or more. | 05-26-2016 |
20160149082 | LED WITH PATTERNED SURFACE FEATURES BASED ON EMISSION FIELD PATTERNS - The escape surface of a light emitting element includes features ( | 05-26-2016 |
20160149084 | METHOD OF FORMING A LIGHT EMITTING DIODE STRUCTURE AND A LIGHT DIODE STRUCTURE - A method of forming a vertical III-nitride based light emitting diode structure 5 and a vertical III-nitride based light emitting diode structure can be provided. The method comprises forming a III-nitride based light emitting structure on a silicon-oninsulator (SOI) substrate; forming a metal-based electrode structure on the III-nitride based light emitting structure; and removing the SOI substrate by a layer transfer process such that the metal-based electrode structure functions as a metal-based 10 substrate of the light emitting structure. | 05-26-2016 |
20160149086 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND SEMICONDUCTOR LIGHT EMITTING APPARATUS HAVING THE SAME - Provided is a semiconductor light emitting device. The semiconductor light emitting device may include: a light emitting structure comprising a first conductivity-type semiconductor layer having an upper surface divided into first and second regions, an active layer and a second conductivity-type semiconductor layer sequentially disposed on the second region of the first conductivity-type semiconductor layer; a first contact electrode disposed on the first region of the first conductivity-type semiconductor layer; a second contact electrode disposed on the second conductivity-type semiconductor layer; a first electrode pad electrically connected to the first contact electrode and having at least a portion disposed on the second contact electrode; a second electrode pad electrically connected to the second contact electrode; and a multilayer reflective structure interposed between the first electrode pad and the second contact electrode and comprising a plurality of dielectric layers which have different refractive indices and are alternately stacked. | 05-26-2016 |
20160149089 | SEMICONDUCTOR LIGHT EMITTING APPARATUS - A semiconductor light emitting apparatus comprised of a semiconductor light emitting device ( | 05-26-2016 |
20160149092 | OPTOELECTRONIC COMPONENT - An optoelectronic component includes a semiconductor chip that emits a primary radiation in the short-wave blue spectral range at a dominant wavelength of less than approximately 465 nm; and a phosphor that converts at least part of the primary radiation into a longer-wave secondary radiation in the green spectral range at a dominant wavelength of between approximately 490 nm and approximately 550 nm and at least partly surrounds the semiconductor chip, wherein a mixed light composed of primary radiation and secondary radiation has a dominant wavelength at wavelengths of approximately 460 nm to approximately 480 nm such that a luminous flux of the mixed light is up to 130% greater than a luminous flux in an optoelectronic component without a phosphor having the same dominant wavelength in a range of 460 nm to 480 nm, and the phosphor is arranged in a lamina that bears directly on the semiconductor chip. | 05-26-2016 |
20160149095 | LIGHT-EMITTING DEVICE - A light-emitting device ( | 05-26-2016 |
20160149097 | WAVELENGTH CONVERSION MEMBER, METHOD FOR MANUFACTURING THE SAME, AND LIGHT EMITTING DEVICE - A method for manufacturing a wavelength conversion member includes: forming a phosphor layer on a base body including phosphor particles and oxide particles affixed to surfaces of the phosphor particles; and forming a cover layer covering the surfaces of the phosphor particles and surfaces of the oxide particles continuously, and having a same oxide material as the oxide particles. A wavelength conversion member includes: a base body, a phosphor layer disposed on the base body and including phosphor particles and oxide particles affixed to surfaces of the phosphor particles; and a cover layer covering the surfaces of the phosphor particles and surfaces of the oxide particles continuously, and including a same oxide material as the oxide particles. | 05-26-2016 |
20160149099 | Light Emitting Device Substrate with Inclined Sidewalls - A light emitting device having improved light extraction is provided. The light emitting device can be formed by epitaxially growing a light emitting structure on a surface of a substrate. The substrate can be scribed to form a set of angled side surfaces on the substrate. For each angled side surface in the set of angled side surfaces, a surface tangent vector to at least a portion of each angled side surface in the set of angled side surfaces forms an angle between approximately ten and approximately eighty degrees with a negative of a normal vector of the surface of the substrate. The substrate can be cleaned to clean debris from the angled side surfaces. | 05-26-2016 |
20160149101 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT - In at least one embodiment, the optoelectronic semiconductor component ( | 05-26-2016 |
20160149102 | LIGHT EMITTING DEVICE PACKAGE AND LIGHT UNIT HAVING THE SAME - Disclosed is a light emitting device package. The light emitting device is a package body including a first recess which is provided with a bottom face and a plurality of inner walls surrounding the bottom face the plurality of inner walls including a first inner wall and a second inner wall, which are opposing walls; a lead frame exposed at the bottom face of the package body, the lead frame including a bottom frame and a reflector exposed along one of the first inner wall and the second inner wall; a light emitting element provided on the lead frame; and a transparent material provided in the package body to cover the light emitting element. A material of the reflector is a same as a material of the bottom frame of the lead frame. | 05-26-2016 |
20160149162 | OPTOELECTRONIC COMPONENT, METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT, AND MIRROR DEVICE - Various embodiments may relate to an optoelectronic component, including a carrier, which is formed in a transparent fashion, an optoelectronic layer structure including a first electrode, which is formed above the carrier and which is formed in a transparent fashion, an optically functional layer structure, which is formed above the first electrode, and a second electrode, which is formed above the optically functional layer structure, wherein a mirror region is formed on a side of the optically functional layer structure facing away from the carrier, the mirror region being formed in a specularly reflective fashion as viewed at least from the carrier, and an intermediate layer, which is formed between the carrier and the mirror region and which has an optical layer thickness that is greater than a coherence length of external light. | 05-26-2016 |
20160155891 | OPTOELECTRONIC COMPONENT AND METHOD FOR THE PRODUCTION THEREOF | 06-02-2016 |
20160155898 | CURRENT BLOCK LAYER STRUCTURE OF LIGHT EMITTING DIODE | 06-02-2016 |
20160155902 | Ultraviolet Reflective Contact | 06-02-2016 |
20160155905 | LIGHT-EMITTING DEVICE | 06-02-2016 |
20160155907 | LIGHT EMITTING DEVICE PACKAGE | 06-02-2016 |
20160155912 | METHOD OF PRODUCING A COVER ELEMENT AND AN OPTOELECTRONIC COMPONENT, COVER ELEMENT AND OPTOELECTRONIC COMPONENT | 06-02-2016 |
20160155914 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 06-02-2016 |
20160155916 | LIGHT EMITTING DIODE PACKAGE HAVING FRAME WITH BOTTOM SURFACE HAVING TWO SURFACES DIFFERENT IN HEIGHT | 06-02-2016 |
20160163923 | Light Emitting Diode Structure - A light emitting diode structure includes a first type semiconductor layer, a second type semiconductor layer, an active layer disposed therebetween, and a reflective stacked layer. The reflective stacked layer includes a first reflective layer and a second reflective layer. The first reflective layer is disposed at a side of the second type semiconductor layer opposing the active layer. The second reflective layer is disposed at a side of the first reflective layer opposing the second type semiconductor layer, and extends along a side surface of the first reflective layer to a surface of the second type semiconductor layer. A vertical projection area of the second reflective layer on the second-type semiconductor layer is greater than that of the first reflective layer thereon. The second reflective layer has a better resistance to migration than the first reflective layer. | 06-09-2016 |
20160163930 | LIGHT EMITTING DIODE AND LED MODULE HAVING THE SAME - Disclosed are an LED and an LED module. The LED includes: a first conductivity type semiconductor layer; a mesa disposed over the first conductivity type semiconductor layer and including an active layer and a second conductivity type semiconductor layer; a first ohmic-contact structure in contact with the first conductivity type semiconductor layer; a second ohmic-contact structure in contact with the second conductivity type semiconductor layer; a lower insulating layer at least partially covering the mesa and the first conductivity type semiconductor layer and disposed to form a first opening part at least partially exposing the first ohmic-contact structure and a second opening part at least partially exposing the second ohmic-contact structure; and a current distributing layer connected to the first ohmic-contact structure at least partially exposed by the first opening part and disposed to form a third opening part at least partially exposing the second opening part. | 06-09-2016 |
20160163931 | WAVELENGTH CONVERTED SEMICONDUCTOR LIGHT EMITTING DEVICE - In embodiments of the invention, a light emitting device includes a semiconductor structure including a light emitting layer disposed between an n-type region and a p-type region. A first wavelength converting layer is disposed in a path of light emitted by the light emitting layer. The first wavelength converting layer may be a wavelength converting ceramic. A second wavelength converting layer is fused to the first wavelength converting layer. The second wavelength converting layer may be a wavelength converting material disposed in glass. | 06-09-2016 |
20160163935 | SEMICONDUCTOR DEVICE THAT ACCOMMODATES THERMAL EXPANSION OF AN ENCAPSULANT - A semiconductor device comprising a first conductor, a semiconductor die, a second conductor, an encapsulant, a first body, and a second body is disclosed. The semiconductor die may be coupled to the first conductor and the second conductors. The encapsulant may be encapsulating the semiconductor die and may comprise an illumination surface where light emitted and detected by the semiconductor device substantially passes through. The first and second conductors and the first and second bodies are interconnected by the encapsulant. A portion of the encapsulant other than the illumination surface is exposed by a gap between the first and second bodies so as to absorb stress resulting from temperature-induced movement of the encapsulant. | 06-09-2016 |
20160163936 | LED DOME WITH INNER HIGH INDEX PILLAR - Affixed over a transparent growth substrate ( | 06-09-2016 |
20160163937 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING THE SAME - The semiconductor light emitting element is a semiconductor light emitting element comprising a semiconductor layer including a light emitting layer, wherein a surface of the semiconductor light emitting element includes a light extraction surface. At least one of the light extraction surface and an interface between two layers having different refractive indexes in the semiconductor light emitting element is provided with a periodic recessed and projecting structure having a period that exceeds 0.5 times as great as a wavelength of light emitted from the light emitting layer, and a minute recessed and projecting structure located on a surface of the periodic recessed and projecting structure and having an average diameter that is not more than 0.5 times as great as the wavelength of the light. | 06-09-2016 |
20160163938 | FLIP-CHIP SIDE EMITTING LED - Application of a wavelength conversion element is substantially independent of the fabrication of a side-emitting light emitting device. In an example embodiment, the wavelength conversion element is situated around the periphery of a non-wavelength converting lightguide that is situated above the light emitting surface. One or more specular and/or diffusing reflectors are used to direct the light in the lightguide toward the wavelength conversion element at the periphery. In another embodiment, an interference filter may be used to provide predominantly side-emitted light at interfaces between the elements of the light emitting device. | 06-09-2016 |
20160172543 | LIGHT-EMITTING ELEMENT | 06-16-2016 |
20160172545 | Optoelectronic Semiconductor Chip | 06-16-2016 |
20160172546 | OPTOELECTRONIC SEMICONDUCTOR CHIP, OPTOELECTRONIC COMPONENT, AND METHOD OF PRODUCING SEMICONDUCTOR CHIPS | 06-16-2016 |
20160172547 | Surface-Mountable Optoelectronic Semiconductor Component and Method for Producing Same | 06-16-2016 |
20160172549 | PHOSPHOR COMPOSITIONS AND LIGHTING APPARATUS THEREOF | 06-16-2016 |
20160172555 | Semiconductor Chip that Emits Polarized Radiation | 06-16-2016 |
20160172557 | Light Emitting Device | 06-16-2016 |
20160172558 | LED FLIP CHIP STRUCTURES WITH EXTENDED CONTACT PADS FORMED BY SINTERING SILVER | 06-16-2016 |
20160172559 | Method for Producing an Optoelectronic Device | 06-16-2016 |
20160172563 | LED MODULE | 06-16-2016 |
20160181468 | Variable Composition Transparent Conductive Oxide Layer and Methods of Forming Thereof | 06-23-2016 |
20160181470 | HIGH PEAK POWER QUANTUM CASCADE SUPERLUMINESCENT EMITTER | 06-23-2016 |
20160181477 | LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME | 06-23-2016 |
20160181479 | LIGHT EMITTING DEVICE AND LIGHTING SYSTEM | 06-23-2016 |
20160181480 | Composite Substrate for Light Emitting Diodes | 06-23-2016 |
20160181482 | LUMINESCENT COATINGS AND DEVICES | 06-23-2016 |
20160181485 | WAVELENGTH CONVERSION FILM AND LIGHT EMITTING DEVICE PACKAGE INCLUDING THE SAME | 06-23-2016 |
20160181487 | PHOTON EXTRACTION FROM NITRIDE ULTRAVIOLET LIGHT-EMITTING DEVICES | 06-23-2016 |
20160181490 | Light Emitting Diode Packaging Structure | 06-23-2016 |
20160181491 | OPTOELECTRONIC SEMICONDUCTOR COMPONENT | 06-23-2016 |
20160190205 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting device is provided. The light-emitting device comprises: a semiconductor structure comprising a first type semiconductor layer, a second type semiconductor layer, and an active layer between the first type semiconductor layer and the second type semiconductor layer; and an isolation region through the second type semiconductor and the active layer to separate the semiconductor structure into a first part and a second part on the first substrate; wherein the second part functions as a low-resistance resistor and loses its make diode behavior, the active layer in the first part is capable of generating light, and the active layer in the second part is incapable of generating light. | 06-30-2016 |
20160190258 | LIGHT EMITTING DEVICE HAVING VERTICAL STRUCTURE AND PACKAGE THEREOF - A light emitting device having a vertical structure and a package thereof, which are capable of damping impact generated in a substrate separation process, and achieving an improvement in mass productivity. The device and package include a sub-mount, a first-type electrode, a second-type electrode, a light emitting device, a zener diode, and a lens on the sub-mount. | 06-30-2016 |
20160190393 | SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting element includes a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type including first and second semiconductor regions, a third semiconductor layer provided between the first and second semiconductor layers, a first electrode layer electrically connected to the first semiconductor layer, and a second electrode layer electrically connected to the second semiconductor layer. The second and third semiconductor layers are disposed between the second electrode layer and the first semiconductor layer. The second electrode layer includes a first metal region contacting the first semiconductor region and including silver, a second metal region contacting the second semiconductor region and including silver, and a third metal region contacting the first metal region and including silver. The first metal region is disposed between the third metal region and the first semiconductor region. | 06-30-2016 |
20160190395 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A light emitting device includes a light emitting element, a light-reflecting substrate, and an electrically conductive member. The light emitting element includes a first surface and an electrode provided on the first surface. The light-reflecting substrate has a first main surface facing the first surface of the light emitting element and has a second main surface opposite to the first main surface. The light-reflecting substrate defines a hole at a position corresponding to the electrode. The hole penetrates through the light-reflecting substrate from the first main surface to the second main surface. The electrically conductive member includes a substantially spherical core arranged in the hole and bonded with the electrode, and a coating portion provided in a space between the substantially spherical core and a lateral surface of the hole. | 06-30-2016 |
20160190397 | LED PACKAGE STRUCTURE AND THE MANUFACTURING METHOD OF THE SAME - The present invention is related to a LED package structure, which includes a substrate having a carrier surface, a light-emitting chip disposed on the carrier surface, electrically connecting to the substrate; and a transparent protective shield disposed on the carrier surface; a hermetic receiving space is formed between the transparent protective shield and the substrate. The light-emitting chip is disposed in the hermetic receiving space. A gap is formed between the light-emitting chip and the transparent protective shield. | 06-30-2016 |
20160190402 | LIGHT EMITTING DEVICE - A light emitting device includes a light emitting element having electrodes, a support, at least one pair of conductive wires that are formed on a surface of the support with a space from each other, and on which the electrodes of the light emitting element are disposed, distance between the pair of conductive wires under an outer edge of the light emitting element being shorter than the distance between the pair of conductive wires at other portions under the light emitting element, and a phosphor layer that continuously covers the outer edge of the light emitting element and a surface of the conductive wires around a region where the light emitting element is disposed. | 06-30-2016 |
20160190403 | ENHANCED EMISSION FROM PLASMONIC COUPLED EMITTERS FOR SOLID STATE LIGHTING - There is provided an illumination device ( | 06-30-2016 |
20160190406 | Light-emitting device and manufacturing method thereof - This disclosure discloses a light-emitting device. The light-emitting device includes a light-emitting stack with a first (top) surface, a bottom surface and at least one side surface connected to the first surface and the bottom surface, a light-reflective enclosure with a second (top) surface, a contact electrode formed on the bottom surface of the light-emitting layer, and a wavelength converting layer. Moreover, the light-reflective enclosure surrounds the side surface of the light-emitting stack and exposes to the first surface. The wavelength converting layer covers the first surface and the second surface. In addition, the second surface has a plurality of fine concave structures distributed on the second surface. | 06-30-2016 |
20160190409 | Light-emitting device - This disclosure discloses a light-emitting device. The light-emitting device includes a light-emitting diode, a metal bump, and a reflective insulation layer. The light-emitting diode includes an active layer, an insulation layer formed on the active layer and having a side surface, and a pad electrically connected to the active layer. The metal bump is formed on the pad. The reflective insulation layer covers the side surface. | 06-30-2016 |
20160190412 | PACKAGE, LIGHT-EMITTING DEVICE, AND METHOD FOR MANUFACTURING THE SAME - A package includes a plurality of electrode pairs, each electrode pair including a first electrode on one side and a second electrode on another side in a plan view. The first electrode is electrically connected to the second electrode included in an electrode pair adjacent to a first or second lateral side of the one electrode pair, and is not electrically connected to the first electrode included in the electrode pair adjacent to the first or second side of the one electrode pair. The second electrode is electrically connected to the first electrode included in an electrode pair adjacent to a lower side of the one electrode pair, and is not electrically connected to the second electrode included in the electrode pair adjacent to the first or second lateral side of the one electrode pair. | 06-30-2016 |
20160190415 | LIGHT EMITTING DEVICE PACKAGE - A light emitting device package may include a package body; first and second lead frames; and a support part disposed below the first and second lead frames and having a region overlapping with at least a portion of a space formed between the first and second lead frames, the support part containing a material different from that of the package body. | 06-30-2016 |
20160190418 | LIGHT EMITTING DEVICE - According to one embodiment of the present invention, the light emitting device includes an LED element, a side wall which surrounds the LED element, a phosphor layer which is fixed to the side wall with an adhesive layer therebetween, and is positioned above the LED element, and a metal pad as a heat dissipating member. The side wall includes an insulating base which surrounds the LED element and a metal layer which is formed on a side surface at the LED element side of the base, and is in contact with the metal pad and the adhesive layer. The adhesive layer includes a resin layer that includes a resin containing particles which have higher thermal conductivity than the resin or a layer that includes solder. | 06-30-2016 |
20160190519 | DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - A display device including a substrate, a display unit on the substrate and including a display element for displaying an image, at least one organic encapsulation film formed on the display unit, and at least one refractive-index control encapsulation film adjacent to the at least one organic encapsulation film. A refractive index of a region of the at least one refractive-index control encapsulation film closer to the at least one organic encapsulation film is closer to a refractive index of the at least one organic encapsulation film than is a refractive index of a region of the at least one refractive-index control encapsulation film further from the at least one organic encapsulation film. | 06-30-2016 |
20160197238 | LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE COMPRISING THE SAME AND LIGHTING SYSTEM | 07-07-2016 |
20160197241 | LIGHT EMITTING DEVICE WITH REFLECTIVE ELECTRODE | 07-07-2016 |
20160197242 | LIGHT-EMITTING ELEMENT | 07-07-2016 |
20160197243 | LIGHT EMITTING DIODE CHIP HAVING DISTRIBUTED BRAGG REFLECTOR AND METHOD OF FABRICATING THE SAME | 07-07-2016 |
20160197244 | METHOD OF ATTACHING A LIGHT EMITTING DEVICE TO A SUPPORT SUBSTRATE | 07-07-2016 |
20160197247 | LIGHT-EMITTING DEVICE USING SEMICONDUCTOR | 07-07-2016 |
20160197248 | LIGHT EMITTING DEVICE WITH PHOSPHORS | 07-07-2016 |
20160197251 | METHOD OF MANUFACTURING SEMICONDUCTOR LIGHT EMITTING DEVICE, AND SEMICONDUCTOR LIGHT EMITTING DEVICE | 07-07-2016 |
20160197252 | Chip Package having a Light Shield | 07-07-2016 |
20160197255 | LIGHT EMITTING DIODES WITH ENHANCED THERMAL SINKING AND ASSOCIATED METHODS OF OPERATION | 07-07-2016 |
20160204090 | LED Packaging Structure | 07-14-2016 |
20160204311 | LIGHTING DEVICE WITH PLURAL FLUORESCENT MATERIALS | 07-14-2016 |
20160204314 | LIGHT EMITTING DIODE PACKAGE | 07-14-2016 |
20160204320 | SUBSTRATE FOR LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE, AND METHOD FOR MANUFACTURING SUBSTRATE FOR LIGHT EMITTING DEVICE | 07-14-2016 |
20160204321 | Light emitting device | 07-14-2016 |
20160204322 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF | 07-14-2016 |
20160254397 | OPTOELECTRONIC MODULES WITH OPTICS INTEGRATED INTO A CAP | 09-01-2016 |
20160254412 | TEXTURED OPTOELECTRONIC DEVICES AND ASSOCIATED METHODS OF MANUFACTURE | 09-01-2016 |
20160254414 | LIGHTING LIGHT EMITTING DEVICE PACKAGE AND LIGHTING APPARATUS INCLUDING THE SAME | 09-01-2016 |
20160254415 | OPTOELECTRONIC SEMICONDUCTOR CHIP, OPTOELECTRONIC COMPONENT AND METHOD FOR SINGULATING SEMICONDUCTOR CHIPS | 09-01-2016 |
20160254417 | LIGHT-EMITTING DEVICE | 09-01-2016 |
20160254420 | LED USING LUMINESCENT SAPPHIRE AS DOWN-CONVERTER | 09-01-2016 |
20160254422 | LED LIGHT SOURCE PERFORMANCE COMPENSATION APPARATUS, DEVICE AND APPLICATION THEREOF | 09-01-2016 |
20160254425 | LED ENCAPSULANT | 09-01-2016 |
20160254431 | LED PACKAGE AND METHOD OF MANUFACTURING THE SAME | 09-01-2016 |
20160376499 | MOISTURE-RESISTANT PHOSPHOR COMPOSITIONS AND ASSOCIATE METHODS - A phosphor composition is derived from combining K | 12-29-2016 |
20160380157 | LIGHT EMITTING DIODE HAVING DISTRIBUTED BRAGG REFLECTOR - A light-emitting diode (LED) includes a light-emitting structure arranged on a first surface of a substrate, the light-emitting structure including a first conductivity-type semiconductor layer; a second conductivity-type semiconductor layer, and an active layer interposed between the first conductivity-type semiconductor layer and the second conductivity-type semiconductor layer. The LED includes a first distributed Bragg reflector arranged on a second surface of the substrate opposite to the first surface, the first distributed Bragg reflector including a first laminate structure including alternately stacked SiO | 12-29-2016 |
20160380162 | Light Emitting Device And Manufacturing Method Thereof - A light emitting device includes a substrate; an LED chip, disposed on the substrate; and a fluorescent layer. The fluorescent layer is at least partially and conformally coated on the LED chip and the substrate. | 12-29-2016 |
20160380163 | ILLUMINATION METHOD AND LIGHT-EMITTING DEVICE - To provide an illumination method and a light-emitting device which are capable of achieving, under an indoor illumination environment where illuminance is around 5000 lx or lower when performing detailed work and generally around 1500 lx or lower, a color appearance or an object appearance as perceived by a person, will be as natural, vivid, highly visible, and comfortable as though perceived outdoors in a high-illuminance environment, regardless of scores of various color rendition metric. Light emitted from the light-emitting device illuminates an object such that light measured at a position of the object satisfies specific requirements. A feature of the light-emitting device is that light emitted by the light-emitting device in a main radiant direction satisfies specific requirements. | 12-29-2016 |
20160380164 | WAVELENGTH CONVERTERS AND METHODS FOR MAKING THE SAME - Disclosed herein are wavelength converters and methods for making the same. The wavelength converters include a single layer of a polymeric matrix material, and one or more types of wavelength converting particles. In some embodiments the wavelength converters include first and second types of wavelength converting particles that are distributed in a desired manner within the single layer of polymeric matrix material. Methods of forming such wavelength converters and lighting devices including such wavelength converters are also disclosed. | 12-29-2016 |
20160380168 | CHIP SUBSTRATE COMPRISING A GROOVE PORTION AND CHIP PACKAGE USING THE CHIP SUBSTRATE - Disclosed is a chip substrate. The chip substrate includes: conductive portions laminated in one direction to constitute the chip substrate; insulation portions alternately laminated with the conductive portions to electrically isolate the conductive portions; a cavity formed at a predetermined depth in a recessed shape in a region including the insulation portions on an upper surface of the chip substrate; and a groove portion disposed outside the cavity in a spaced-apart relationship with the cavity and formed at a predetermined depth in a recessed shape. According to the present invention, an adhesive agent is applied in a groove portion formed in advance. It is therefore possible to prevent the adhesive agent from being exposed to the light emitted from optical elements and to prevent the adhesive agent from being denatured. This makes it possible to enhance the reliability of lens bonding. Furthermore, there is no need to use an expensive resistant adhesive agent. An existing typical adhesive agent may be used as it is. This provides an effect of saving costs. Thus, there is an advantage in that a low-priced existing bonding material may be applied to a high-priced UV-C (deep-UV) package. | 12-29-2016 |
20160380169 | OPTICAL DEVICE AND LIGHT EMITTING DEVICE PACKAGE INCLUDING THE SAME - An optical device may include a first surface having a shape of a quadrangle; and a second surface disposed to be opposite to the first surface and comprising a convex curved surface. The optical device has an aspherical shape in a cross-section taken along a diagonal direction of the quadrangle and has a semicircular shape in a cross-section taken along a direction connecting a central portion of a first side of the quadrangle and a central portion of a second side opposite to the first side of the quadrangle. In a cross-sectional view of the optical device, the second surface is continuously varied between the semicircular shape of the cross-section and the aspherical shape of the cross-section. | 12-29-2016 |
20160380171 | LED PACKAGE STRUCTURE AND CHIP CARRIER - An LED package structure includes a chip carrier and an LED chip. The chip carrier includes a ceramic substrate, a circuit layer, a ceramic reflective plate disposed on the ceramic substrate, and a metal slug. The ceramic substrate has a first thru-hole. A main portion of the metal slug is embedded in the first thru-hole, and partially protrudes from the first thru-hole with a height of 10˜30 μm to define as a protrusion block. An extending portion of the metal slug is connected to the outer edge of protrusion block, and the top surfaces of extending portion and protrusion block are coplanar to define a mounting surface. The ceramic reflective plate has a second thru-hole, and the mounting surface is exposed from the ceramic reflective plate via the second thru-hole. The LED chip is fixed on the mounting surface and is electrically connected to the circuit layer. | 12-29-2016 |
20170233647 | WAVELENGTH CONVERTER, LIGHT-EMITTING DEVICE USING SAME, AND PRODUCTION METHOD FOR WAVELENGTH CONVERTER | 08-17-2017 |
20170236980 | Optoelectronic Semiconductor Chip and Method for Producing the Same | 08-17-2017 |
20170236983 | ILLUMINATION METHOD AND LIGHT-EMITTING DEVICE | 08-17-2017 |
20170236984 | SEMICONDUCTOR LIGHT EMITTING DEVICE PACKAGES | 08-17-2017 |
20170236985 | LIGHT EMITTING DEVICE HAVING FIRST AND SECOND RESIN LAYERS | 08-17-2017 |
20170236987 | SUBSTRATE WITH REFLECTIVE COATING INCLUDING SILICATE OR ALKYLSILICATE NETWORK | 08-17-2017 |
20170236988 | LIGHTING EMITTING DEVICE WITH ALIGNED-BONDING | 08-17-2017 |
20180026154 | LED ELEMENT AND METHOD FOR PRODUCING SAME | 01-25-2018 |
20180026155 | Patterned Sapphire Substrate, Light Emitting Diode and Fabrication Method Thereof | 01-25-2018 |
20180026163 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING APPARATUS | 01-25-2018 |
20180026165 | WHITE PHOSPHOR CONVERTED LED WITH STABLE FLUX OUTPUT VERSUS TEMPERATURE | 01-25-2018 |
20180026167 | Optoelectronic Component | 01-25-2018 |
20180026169 | SEMICONDUCTOR DEVICE, BASE, AND METHOD FOR MANUFACTURING SAME | 01-25-2018 |
20190144746 | Semiconductor Structure and Light-Emitting Device with Semiconductor Structures | 05-16-2019 |
20190148585 | LIGHT EMITTING DIODE WITH REFLECTIVE PART FOR UVA AND BLUE WAVELENGTHS | 05-16-2019 |
20190148600 | LIGHT-EMITTING DEVICE | 05-16-2019 |
20190148605 | LIGHT EMITTING DEVICES INCLUDING NARROWBAND CONVERTERS FOR OUTDOOR LIGHTING APPLICATIONS | 05-16-2019 |
20190148606 | Method for Producing an Optoelectronic Component and Optoelectronic Component | 05-16-2019 |
20190148607 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE | 05-16-2019 |
20190148612 | LIGHT EMITTING DEVICE PACKAGE AND LIGHTING APPARATUS INCLUDING THE PACKAGE | 05-16-2019 |
20220135876 | METHOD TO ENHANCE PHOSPHOR ROBUSTNESS AND DISPERSABILITY AND RESULTING PHOSPHORS - Briefly, in one aspect, the present invention relates to processes for producing a stabilized Mn | 05-05-2022 |
20220135880 | PHOSPHOR PROCESS FOR PRODUCING A PHOSPHOR AND OPTOELECTRONIC DEVICE - A phosphor having the general formula EA | 05-05-2022 |
20220140202 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE LIGHT EMITTING DEVICE - A light emitting device includes a package having an upper surface and an upward-opening recess defined in a portion of the upper surface, at least one light-emitting element in the recess, a light-transmissive member covering the opening of the recess, and an antireflection film on a lower surface of the light-transmissive member, the antireflection film located between the lower surface of the light-transmissive member and an upper surface of the package at a location where a portion of the lower surface of the light-transmissive member is bonded to the upper surface of the package via the antireflection film. A coating film is disposed on at least a portion of an outer surfaces of the light emitting device, the portion including a region where the antireflection film located between the lower surface of the light-transmissive member and the upper surface of the package is exposed. | 05-05-2022 |
20220140213 | METALLIC STRUCTURE FOR OPTICAL SEMICONDUCTOR DEVICE, METHOD FOR PRODUCING THE SAME, AND OPTICAL SEMICONDUCTOR DEVICE USING THE SAME - A metallic structure for an optical semiconductor device, including a base body having disposed thereon at least in part metallic layers in the following order; a nickel or nickel alloy plated layer, a gold or gold alloy plated layer, and a silver or silver alloy plated layer, wherein the silver or silver alloy plated layer has a thickness in a range of 0.001 μm or more and 0.01 μm or less. | 05-05-2022 |