Entries |
Document | Title | Date |
20080201671 | METHOD FOR GENERATING TIMING EXCEPTIONS - A method for generating timing exceptions for integrated circuit (IC) designs is disclosed. The method includes synthesizing an input RTL description into a gate-level netlist mapped to a technology library; detecting timing critical paths in the netlist; and determining for each detected timing critical path whether it induces timing exceptions. The timing exceptions generated by the disclosed method include, but are not limited to, multi-cycle paths, clock domain crossing false paths, asynchronous false paths, functional false paths, combinational false paths, sequential false paths, timing false paths, and the like. | 08-21-2008 |
20080201672 | CASCADED PASS-GATE TEST CIRCUIT WITH INTERPOSED SPLIT-OUTPUT DRIVE DEVICES - A cascaded pass-gate test circuit including interposed split-output drive devices provides accurate measurement of critical timing parameters of pass gates. The rise time and fall time of signals passed through the pass gate can be separately measured in a ring oscillator or one-shot delay line configuration. Inverters or other buffer circuits are provided as drive devices to couple the pass gates in cascade. The final complementary tree in each drive device is split so that the only one of the output pull-down transistor or pull-up transistor is connected to the next pass gate input, while the other transistor is connected to the output of the pass gate. The result is that the state transition associated with the device connected to the pass gate input is dominant in the delay, while the other state transition is propagated directly to the output of the pass gate, bypassing the pass gate. | 08-21-2008 |
20080201673 | SEMICONDUCTOR DESIGN SUPPORT DEVICE, SEMICONDUCTOR DESIGN SUPPORT METHOD, AND MANUFACTURING METHOD FOR SEMICONDUCTOR INTEGRATED CIRCUIT - A semiconductor design support device for designing a semiconductor integrated circuit includes a behavioral description, an RTL description, and a latency analyzer. The behavioral description describes an algorithm of processing performed by hardware in a motion level. The RTL description is generated by reading the behavioral description and recognizes a concept including register and clock synchronism peculiar to the hardware. The latency analyzer analyzes a result of a logic simulation performed on the RTL description to calculate a latency in each block representing an operation in a predetermined unit in the behavioral description. | 08-21-2008 |
20080201674 | CLOCK-GATING CIRCUIT INSERTION METHOD, CLOCK-GATING CIRCUIT INSERTION PROGRAM AND DESIGNING APPARATUS - A clock-gating circuit insertion method includes inserting a clock-gating circuit into a position detected on the basis of a circuit data. Timing analysis of an enable signal is performed for the clock-gating circuit. An upper limit of delay variations for the enable signal is calculated to satisfy setup conditions on the basis of the result of the timing analysis. A selector-equipped clock-gating circuit including a selector circuit and a clock-gating circuit is inserted into the candidate position for insertion. The selector circuit selects and outputs the enable signal when delay variations are not above the upper limit. The selector circuit selects and outputs a signal designating the passing of a clock signal when the delay variations are above the upper limit. The clock-gating circuit passes or intercepts the clock signal on the basis of the output signal of the selector circuit. | 08-21-2008 |
20080201675 | STRUCTURE FOR INTEGRATED CIRCUIT FOR MEASURING SET-UP AND HOLD TIMES FOR A LATCH ELEMENT - A design structure for an integrated circuit (IC) includes circuitry for measuring accurately at least one of set-up and hold times of a flip-flop included in the IC design. The circuitry uses data determined at the location of the flip-flop in the IC, and includes a first delay element driven by a first clock and configured to supply a zero-delay value of the first clock to a first flip-flop. The circuitry also includes a second delay element having a selectable delay, the second delay element configured to supply a first delayed version of the first clock to a second flip-flop, wherein an output of the first flip-flop is coupled to an input of the second flip-flop. A third delay element has a selectable delay and is coupled in series with the second delay element to supply a second delayed version of the first clock to a third flip-flop, and an output of the second flip-flop is coupled to an input of the third flip-flop. The second delayed version of the clock signal drives the third flip-flop to monitor the second flip-flop delay, the possible “pass set-up” state, and “pass hold” state outputs are determined for the second flip-flop based on a final test state of the second and third flip-flops. | 08-21-2008 |
20080201676 | SYSTEM AND METHOD FOR ACCOMMODATING NON-GAUSSIAN AND NON-LINEAR SOURCES OF VARIATION IN STATISTICAL STATIC TIMING ANALYSIS - There is provided a system and method for statistical timing analysis and optimization of an electrical circuit having two or more digital elements. The system includes at least one parameter input and a statistical static timing analyzer and electrical circuit optimizer. The at least one parameter input is for receiving parameters of the electrical circuit. At least one of the parameters has at least one of a non-Gaussian probability distribution and a non-linear delay effect. The statistical static timing analyzer and electrical circuit optimizer is for calculating at least one of a signal arrival time and a signal required time for the electrical circuit using the at least one parameter and for modifying a component size of the electrical circuit to alter gate timing characteristics of the electrical circuit based upon the at least one of the signal arrival time and the signal required time. | 08-21-2008 |
20080209372 | Estimation Of Process Variation Impact Of Slack In Multi-Corner Path-Based Static Timing Analysis - A method and system for reducing a number of paths to be analyzed in a multi-corner static timing analysis. An estimated upper slack variation based on a non-common path delay for a racing path is utilized in determining if a multi-corner static timing analysis may be bypassed for a racing path. In another example, an estimated maximum RSS credit based on a total delay for a racing path is utilized in determining if a multi-corner static timing analysis may be bypassed for a racing path. | 08-28-2008 |
20080209373 | METHOD AND SYSTEM FOR EVALUATING STATISTICAL SENSITIVITY CREDIT IN PATH-BASED HYBRID MULTI-CORNER STATIC TIMING ANALYSIS - Methods, systems and computer program products for analyzing a timing design of an integrated circuit are disclosed. According to an embodiment, a method for analyzing a timing design of an integrated circuit comprises: providing an initial static timing analysis of the integrated circuit; selecting a static timing test with respect to a static timing test point based on the initial static timing analysis; selecting a timing path leading to the static timing test point for the static timing test; determining an integrated slack path variability for the timing path based on a joint probability distribution of at least one statistically independent parameter; and analyzing the timing design based on the integrated slack path variability. | 08-28-2008 |
20080209374 | Parameter Ordering For Multi-Corner Static Timing Analysis - A method and system for decreasing processing time in multi-corner static timing analysis. In one embodiment, parameters are ordered in a parameter order by decreasing magnitude of impact on variability of timing. In one example, a decreasing parameter order is utilized to order slack cutoff values that are assigned across a parameter process space. In another example, a decreasing parameter order is utilized to perform a multi-corner timing analysis on one or more dependent parameters in an independent fashion. | 08-28-2008 |
20080209375 | Variable Threshold System and Method For Multi-Corner Static Timing Analysis - A method and system for decreasing processing time in multi-corner static timing analysis. In one embodiment, slack cutoff values are assigned across a parameter process space. For example, a slack cutoff value is assigned to each parameter in a process space by determining an estimated maximum slack change between a starting corner and any other corner in a corresponding process sub-space. In another embodiment, parameters are ordered in a parameter order by decreasing magnitude of impact on variability of timing. | 08-28-2008 |
20080209376 | SYSTEM AND METHOD FOR SIGN-OFF TIMING CLOSURE OF A VLSI CHIP - A method for performing timing optimization of a detail routed netlist, incorporating statistical variability information, common path pessimism reduction, and capacitative coupling information, in a tightly coupled, incremental manner with minimal perturbations to the placement, routing, and asserted parasitic information. The method corrects violations in a placed and routed design of a VLSI circuit chip, where the design is represented by a netlist describing logical and physical characteristics of the design and by a corresponding timing graph, the method including the steps of: identifying violations in the design; iteratively eliminating the violations by incrementally transforming the logical and the physical characteristics of the design, incorporating in the design only legal placements and routes; and applying incremental timing to evaluate the transformations, and updating the existing timing graphs to reflect changes consisting of the legal placements and routes. | 08-28-2008 |
20080209377 | VERIFICATION METHOD, VERIFICATION APPARATUS, AND PROGRAM - A verification method for verifying an asynchronous circuit includes producing a netlist based on circuit information at a register transfer level, extracting delay information and an asynchronous circuit section in which circuits operating with different clock signals are coupled to each other from the netlist, processing the delay information to extend a malfunction generating period in the asynchronous circuit section, and executing verification of the asynchronous circuit based on the delay information having been processed. | 08-28-2008 |
20080216033 | DESIGN STRUCTURE FOR TIME BASED DRIVER OUTPUT TRANSITION (SLEW) RATE COMPENSATION - A design structure and more particularly to a design structure to minimize driver output slew rate variation. The design structure is embodied in a machine readable medium for designing, manufacturing, or testing an integrated circuit. The design structure includes a driver circuit having an input signal and an output signal, where the driver circuit is structured and arranged to control the slew rate of the output signal. A delay circuit is coupled to an output of the driver circuit, where the delay circuit has a delay proportional to a desired target slew rate of the driver output signal. A first comparator for detecting when the driver output signal rises through a specified level, and a second comparator for detecting when the driver output falls through a second specified level are included. A phase detector is coupled to outputs of the first and second comparators and an output of the delay circuit for aligning the phases (voltage-time relationships) of the comparator outputs and the delayed comparator outputs by adjusting the driver output slew rate. | 09-04-2008 |
20080216034 | Performance Visualization of Delay in Circuit Design - Methods are provided for presenting delay characteristics of a circuit design. The methods acquire routing delay data and logic delay data for each of a number of paths within the circuit design. In one method, a scatterplot of the routing delay data versus the logic delay data for each of the paths is generated and rendered. In another method, the paths are specified as being associated with modules within the circuit design. In this method, a histogram plot of the paths within each module is generated, wherein the paths within each module are identified as being dominated by routing delay or logic delay. In another embodiment, a connectivity diagram is generated to convey an amount of connectivity within modules and between modules. Each of the methods can be implemented as program instructions on a computer readable medium. | 09-04-2008 |
20080216035 | METHOD AND COMPUTER PROGRAM FOR CONFIGURING AN INTEGRATED CIRCUIT DESIGN FOR STATIC TIMING ANALYSIS - A method and a computer program for configuring an integrated circuit design for static timing analysis include receiving module data representative of a hierarchy of modules in an integrated circuit design. A configuration item is selected from a list of configuration items for at least one of the modules. The module data is configured for the module from the selected configuration item into a static timing analysis scenario for performing a static timing analysis of the configured module data. | 09-04-2008 |
20080216036 | SLACK SENSITIVITY TO PARAMETER VARIATION BASED TIMING ANALYSIS - A method, system and program product are disclosed for improving an IC design that prioritize failure coefficients of slacks that lead to correction according to their probability of failure. With an identified set of independent parameters, a sensitivity analysis is performed on each parameter by noting the difference in timing, typically on endpoint slacks, when the parameter is varied. This step is repeated for every independent parameter. A failure coefficient is then calculated from the reference slack and the sensitivity of slack for each of the timing endpoints and a determination is made as to whether at least one timing endpoint fails a threshold test. Failing timing endpoints are then prioritized for modification according to their failure coefficients. The total number of runs required is one run that is used as a reference run, plus one additional run for each parameter. | 09-04-2008 |
20080222586 | Delay analysis apparatus, delay analysis method and computer product - Within-die delay distributions and die-to-die delay distributions of two arbitrary paths in an analysis target circuit are extracted from a delay distribution library, and an effect index indicative of a relative error of an overall path delay distribution of one path and an overall path delay distribution when the two paths are integrated as one path is calculated based on the within-die delay distributions and the die-to-die delay distributions of the two paths. When the effect index is determined to be equal to or above a threshold, the overall path delay distribution of the two paths integrated as one path is calculated. Hence, a path that affects an analysis result alone is selected to execute a statistical Max operation, thereby increasing a speed of delay analysis processing. | 09-11-2008 |
20080229264 | Semiconductor evaluation apparatus, semiconductor evaluation method and semiconductor evaluation program - The present invention provides a semiconductor evaluation apparatus. The semiconductor evaluation apparatus includes: a first integrated circuit; a second integrated circuit; a test section; a measurement section; and a computation section for determining whether a device is good or defective based on sets of power supply voltage and clock period. | 09-18-2008 |
20080229265 | Design Structure for a Clock Distribution Network, Structure, and Method for Providing Balanced Loading in Integrated Circuit Clock Trees - Design structure for a clock distribution network, structure, and method for providing balanced loading is disclosed. In particular, a design structure for a clock distribution network may be formed of one or more clock fanout distribution levels. Each respective distribution level may include an equal number of buffer circuits and wiring routes that have substantially identical physical and electrical properties. Additionally, a final distribution level may include wiring routes that have substantially identical physical and electrical properties connecting buffer circuits to one or more logic leaf connection nodes. | 09-18-2008 |
20080229266 | Design Structure for a Clock Distribution Network, Structure, and Method for Providing Balanced Loading in Integrated Circuit Clock Trees - Design structure for a clock distribution network, structure, and method for providing balanced loading is disclosed. In particular, a clock distribution network may be formed of one or more clock fanout distribution levels. Each respective distribution level may include an equal number of buffer circuits and wiring routes that have substantially identical physical and electrical properties. Additionally, a final distribution level may include wiring routes that have substantially identical physical and electrical properties connecting buffer circuits to one or more logic leaf connection nodes. | 09-18-2008 |
20080244486 | INTEGRATED CIRCUIT GENERATING DEVICE, METHOD THEREFOR, AND PROGRAM - An integrated-circuit generating device for generating an integrated circuit including an adjusting mechanism for adjusting timings of values sequentially outputted from circuits operating in parallel. The device includes a test-circuit storing section for storing test circuit information for validating the integrated circuit, a circuit-information storing section for storing circuit information, a configuration-information-output-circuit storing section for storing operation information of a circuit for compiling configuration information of the adjusting mechanism while the configuration information is generated, a configuration-information generating section for generating and compiling the configuration information of the adjusting mechanism by using the circuit information of the test circuit, the circuit information of the integrated circuit, and the operation information of the circuit, each of which stored in the test-circuit storing section, the circuit-information storing section, and the configuration-information-output-circuit storing section, and a circuit generating device for generating the circuit information of an optimal adjusting mechanism based on the adjusting-mechanism configuration information by the configuration-information generating section. | 10-02-2008 |
20080244487 | Delay analysis support apparatus, delay analysis support method and computer product - A delay analysis support apparatus that supports analysis of delay in a target circuit includes an acquiring unit that acquires error information concerning a cell-delay estimation error that is dependent on a characterizing tool; an error calculating unit that calculates, based on the error information and a first probability density distribution concerning the cell delay of each cell and obtained from the cell delay estimated by the characterizing tool, a second probability density distribution that concerns the cell-delay estimation error of each cell; and an linking unit that links the second probability density distribution and a cell library storing therein the first probability density distribution. | 10-02-2008 |
20080244488 | Method for laying out decoupling cells and apparatus for laying out decoupling cells - A method for laying out decoupling cells in a semiconductor integrated circuit including a plurality of paths. The method includes extracting from a timing analysis result a timing slack amount as a timing margin for power supply noise in one of the paths serving as a target path, converting the extracted timing margin to a noise tolerance amount, comparing the noise tolerance amount and a power supply noise amount of the target path, and determining whether or not a decoupling cell must be additionally laid out in the target path based on the comparison result. | 10-02-2008 |
20080250367 | Method, Apparatus And Computer Program Product For Controlling Jitter Or The Effects Of Jitter In Integrated Circuitry - Designing integrated circuitry (“IC”) includes simulating noise of modeled IC operation and applying the noise to buffers of a clock tree of the modeled IC, responsively generating a first simulated clock tree output signal. Components of the first simulated clock tree output signal are scaled in a frequency domain responsive to their time domain variations at respective frequencies. A simulated, substantially noise-only, clock tree output signal is generated in a frequency domain, wherein some components are removed responsive to at least one clock signal frequency and scaled magnitudes of the components. A second simulated clock circuitry output signal is generated responsive to a transfer function of certain clock circuitry. A circuit structure or fabricating process is selected responsive to jitter of the second simulated clock circuitry output signal. The IC may be fabricated using the selected process and may include the selected structure. | 10-09-2008 |
20080250368 | Inductance mitigation through switching density analysis - Embodiments of a method for detecting potential areas of inductive coupling in a high density integrated circuit design are described. The inductance mitigation process first converts the inductive analysis into a density problem. The density of wires within a region that may switch within a portion of the system clock are compared to the density of wires will not switch within that same time. Regions of the chip that have a high ratio of density of switching wires versus non-switching wires are determined to have the potential of an inductive coupling problem. Additional grounded metal is added into the problematic regions of the chip to improve the switching versus non-switching wire density. | 10-09-2008 |
20080250369 | Method of estimating the signal delay in a VLSI circuit - This invention relates to a method of estimating the signal delay in a VLSI circuit and accurately estimating the delay and conversion time of a transmission signal in the circuit in order to prevent a designer of the VLSI circuit from erroneously judging the logic made by the designed circuit. | 10-09-2008 |
20080250370 | REPRESENTING AND PROPAGATING A VARIATIONAL VOLTAGE WAVEFORM IN STATISTICAL STATIC TIMING ANALYSIS OF DIGITAL CIRCUITS - An approach that represents and propagates a variational voltage waveform in statistical static timing analysis of digital circuits is described. In one embodiment, there is a statistical static timing analysis tool for analyzing digital circuit designs. The statistical static timing analysis tool includes a variational waveform modeling component that is configured to generate a variational waveform model that approximate arbitrary waveform transformations of waveforms at nodes of a digital circuit. The variational waveform model transforms a nominal waveform into a perturbed waveform in accordance with a plurality of waveform transformation operators that account for variations that occur between the nominal waveform and the perturbed waveform. A variational waveform propagating component is configured to propagate variational waveforms through a timing arc from at least one input to at least one output of the digital circuit in accordance with the variational waveform model. | 10-09-2008 |
20080250371 | Delay Budget Allocation with Path Trimming - Systems and methods for determining delay budget allocations for circuit elements. One embodiment comprises a method including defining timing edges and corresponding timing paths in an integrated circuit design, and determining delay budget allocations for each of the edges based on required arrival time and design slack (S,T) pairs associated with the different timing paths. The required arrival time is a maximum time when associated with forward paths, and a minimum time when associated with backward paths. (S,T) pairs associated with some timing paths are discarded (i.e., the corresponding timing paths are trimmed) to reduce the complexity of the delay budget allocation computations. Remaining (S,T) pairs are used to determine scaling factors for significant timing paths through the edges. The smallest of the scaling factors for each edge can be multiplied by an initial delay associated with the edge to produce a delay budget allocation associated with the edge. | 10-09-2008 |
20080250372 | Method and a Computer Readable Medium for Analyzing a Design of an Integrated Circuit - A method for analyzing an design of an integrated circuit, the method includes defining possible timings of signals to be provided to the integrated circuit and calculating hold violations; characterized by including a stage of determining relationships between clock events and corresponding data/control events that ideally precede the clock events, in response to the possible timing of signals; and determining hold parameters in response to the relationships. A computer readable medium having stored thereon a set of instructions, the set of instructions, when executed by a processor, cause the processor to define at least one internal delay of a designed component, characterized by causing the processor to define a cell that is characterized by multiple hold times and multiple setup values for a certain clock skew value. | 10-09-2008 |
20080263488 | METHOD FOR GENERATING A SKEW SCHEDULE FOR A CLOCK DISTRIBUTION NETWORK CONTAINING GATING ELEMENTS - A method for generating a skew schedule for a clock distribution network generates a schedule that accounts for both the timing requirements of the memory elements at the endpoints of the clock distribution network and the timing requirements of the gating signals that feed clock gates and other clock control elements within the clock distribution network. The method provides a total solution to the skew scheduling problem by way of a two-phase iterative process. The two phases of the process alternately keep track of the schedule generated by first taking the gating elements of the clock distribution network into account, followed by balancing any remaining skew that may exist on the memory elements of the same clock distribution network. Finally, the method describes a procedure to post-process the skew schedule to ensure that it can be implemented using a clock tree generation tool. | 10-23-2008 |
20080263489 | METHOD TO IDENTIFY AND GENERATE CRITICAL TIMING PATH TEST VECTORS - A method of testing critical paths in integrated circuits begins by simulating at least one operation of an integrated circuit chip design to produce chip timing data. Next, critical paths of the integrated circuit chip design are identified based on the chip timing data. The method applies functional test signals to simulations of the critical paths and monitors the number of times each of the functional test signals propagate from the beginning to the end of each of the critical paths. This allows the method to identify stress producing test signals as those that propagate along the critical paths more than other test signals. These stress producing test signals are applied to integrated circuit chip hardware manufactured according to the integrated circuit chip design to stress test the hardware. | 10-23-2008 |
20080263490 | APPARATUS AND METHODS FOR OPTIMIZING THE PERFORMANCE OF PROGRAMMABLE LOGIC DEVICES - A programmable logic device (PLD) includes first and second circuits. The first and second circuits are part of a user's design to be implemented using the PLD's resources. The first circuit is powered by a first supply voltage. The second circuit is powered by a second supply voltage. At least one of the first and second supply voltages is determined by a PLD computer-aided design (CAD) flow used to implement the user's design in the PLD. | 10-23-2008 |
20080270959 | Influencing functional simulation of a system by timing simulation of the system - A method performs functional simulation of a system as influenced by timing simulation of the system. The method performs functional simulation of a system, and periodically performs timing simulation of the system. The functional simulation of the system takes into account the timing simulation of the system that is periodically performed. | 10-30-2008 |
20080270960 | METHOD FOR INCORPORATING MILLER CAPACITANCE EFFECTS IN DIGITAL CIRCUITS FOR AN ACCURATE TIMING ANALYSIS - A method for performing a static timing analysis on a circuit that includes gates and their respective interconnects by incorporating the effect of Miller capacitance on timing. A primitive gate is selected with its respective fan-out gates, interconnects attached to the primitive gate's output and interconnects attached to the output of each respective fan-out gate are determined. Using a metric, it is determined if the Miller capacitance effect of a CMOS gate on timing of its fan-out gate and interconnect timing is significant for each fan-out gate. If yes, the gate is replaced with a nonlinear driver model. If no, the gate is replaced with a fixed or dynamic capacitance. Next, if at least one of the fan-out gates is replaced with the nonlinear driver model, the primitive gate is likewise replaced with its corresponding nonlinear model as well. Then, a nonlinear timing simulation is performed on the circuit to generate voltage waveforms at the output of the primitive gate and the input of its fan-out gates that incorporate the effect of the Miller capacitance. However, if none of the fan-out gates are replaced with the nonlinear driver model, a conventional gate and interconnect timing analysis is preferably performed. | 10-30-2008 |
20080270961 | Error correction code (ECC) decoding architecture design using synthesis-time design parameters - Error correction code (ECC) decoding architecture design using synthesis-time design parameters. An approach is presented herein by which an ECC decoding architecture can be designed using synthesis-time design parameters. The manner presented herein allows for a designer to arrive at an ECC decoding architecture in a more direct, straightforward manner that using prior art means. A number of considerations (e.g., architecture parameters, semi-soft design constraints, parallel implementation, etc.) are initially provided; certain or all of these considerations can be predetermined, determined adaptively, and/or modified during the design process. A designer is provided a means by which a most desirable ECC decoding architecture can be arrived at relatively quickly. | 10-30-2008 |
20080270962 | STATIC TIMING SLACKS ANALYSIS AND MODIFICATION - A method, system and computer program product for analyzing and modifying a static timing slack of a timing path in a static timing analysis of a design of an integrated circuit (IC) with a transient power supply are disclosed. A static timing slack analysis is performed at a selected endpoint in an IC to obtain a candidate timing path leading to the endpoint with a worst static timing slack. A transient static timing slack is determined for the candidate timing path for each clock cycle of a clock signal under the transient power supply. The determined transient static timing slack is used to adjust the timing of the IC and to modify the static timing slack of the candidate timing path. | 10-30-2008 |
20080270963 | SYSTEM AND COMPUTER PROGRAM FOR VERIFYING PERFORMANCE OF AN ARRAY BY SIMULATING OPERATION OF EDGE CELLS IN A FULL ARRAY MODEL - A system and computer program for verifying performance of an array by simulating operation of edge cells in a full array model reduces the computation time required for complete design verification. The edge cells of the array (or each subarray if the array is partitioned) are subjected to a timing simulation while the center cells of the array are logically disabled, but remain in the circuit model, providing proper loading. Additional cells are specified for simulation if calculations indicate a worst-case condition due to a non-edge cell. Wordline arrivals are observed to determine worst-case rows for selection. For write operations, the difference between the wordline edges and the data edges is used to locate any non-edge “outlier” cells. For read operations, the wordline delays are summed with the bitline delays determined from edge column data to locate any outliers. | 10-30-2008 |
20080276208 | OPTIMIZING INTEGRATED CIRCUIT DESIGN THROUGH USE OF SEQUENTIAL TIMING INFORMATION - A method is provided that includes: determining a minimum clock cycle that can be used to propagate a signal about the critical cycle in a circuit design; wherein the critical cycle is a cycle in the design that has a highest proportionality of delay to number of registers; determining for a circuit element in the circuit design, sequential slack associated with the circuit element; wherein the sequential slack represents a minimum delay from among respective maximum delays that can be added to respective structural cycles of which the circuit element is a constituent, based upon the determined limit upon clock cycle duration; using the sequential slack to ascertain sequential optimization based design flexibility throughout multiple stages of a design flow. | 11-06-2008 |
20080276209 | Optimizing integrated circuit design through use of sequential timing information - A method is provided that includes: determining a minimum clock cycle that can be used to propagate a signal about the critical cycle in a circuit design; wherein the critical cycle is a cycle in the design that has a highest proportionality of delay to number of registers; determining for a circuit element in the circuit design, sequential slack associated with the circuit element; wherein the sequential slack represents a minimum delay from among respective maximum delays that can be added to respective structural cycles of which the circuit element is a constituent, based upon the determined limit upon clock cycle duration; using the sequential slack to ascertain sequential optimization based design flexibility throughout multiple stages of a design flow. | 11-06-2008 |
20080276210 | Optimizing integrated circuit design through use of sequential timing information - A method is provided that includes: determining a minimum clock cycle that can be used to propagate a signal about the critical cycle in a circuit design; wherein the critical cycle is a cycle in the design that has a highest proportionality of delay to number of registers; determining for a circuit element in the circuit design, sequential slack associated with the circuit element; wherein the sequential slack represents a minimum delay from among respective maximum delays that can be added to respective structural cycles of which the circuit element is a constituent, based upon the determined limit upon clock cycle duration; using the sequential slack to ascertain sequential optimization based design flexibility throughout multiple stages of a design flow. | 11-06-2008 |
20080288904 | METHOD FOR MODELING AND VERIFYING TIMING EXCEPTIONS - A method and system for timing exception verification in integrated circuit (IC) designs included verification of functional false paths as well as multi-cycle paths (MCPs). A false path or a MCP is modeled to a satisfiability formula and the formula is validated using a Boolean satisfiability solver. Time required for timing exception verification can be significantly reduced. | 11-20-2008 |
20080295050 | Semiconductor integrated circuit and method of designing thereof based on TPI - A method of designing a semiconductor integrated circuit based on the TPI technique, comprising: (A) selecting a target node from a plurality of nodes included in a design circuit; (B) inserting a test point at the target node; (C) designating a delay time with respect to a test point path that is a path connected to the test point; and (D) laying out the design circuit such that a delay time of the test point path becomes the designated delay time. The (A) selecting includes: (A1) calculating delay times of fan-in paths and fan-out paths with respect to each of the plurality of nodes; and (A2) selecting the target node from the plurality of nodes based on the calculated delay times. | 11-27-2008 |
20080295051 | SLEW CONSTRAINED MINIMUM COST BUFFERING - A buffer insertion technique addresses slew constraints while minimizing buffer cost. The method builds initial solutions for the sinks, each having an associated cost, slew and capacitance. As a solution propagates toward a source, wire capacitance and wire slew are added to the solution. When a buffer is selected for possible insertion, the slew of the solution is set to zero while the cost of the solution is incremented based on the selected buffer and the capacitance is set to an intrinsic capacitance of the buffer. The solutions of two intersecting wire branches are merged by adding branch capacitances and costs, and selecting the highest branch slew. The solution sets are updated by disregarding solutions which have a slew component greater than a slew constraint, and any solution that is dominated by another solution is eliminated. The solution having the smallest cost is selected as the final solution. | 11-27-2008 |
20080295052 | MODELING ASYNCHRONOUS BEHAVIOR FROM PRIMARY INPUTS AND LATCHES - Asynchronous behavior of a circuit is emulated by modifying a netlist to insert additional logic at a driving element such as a latch. The additional logic outputs one of (i) a present output from the driving element, (ii) a delayed output from the driving element, or (iii) a random value, which drives downstream logic. The output of the additional logic is selectively responsive to a user-controlled skew enable input. The invention allows for simpler data skew logic transformations which are applicable to both latches and primary inputs, with no dependencies on any clock net. | 11-27-2008 |
20080295053 | Characterizing Sequential Cells Using Interdependent Setup And Hold Times, And Utilizing The Sequential Cell Characterizations In Static Timing Analysis - A sequential cell is characterized using interdependent setup/hold time pairs to produce associated clock-to-Q delay values, and then identifying setup/hold time pairs that produce a selected clock-to-Q delay value (e.g., 10% of failure). The identified setup/hold time pairs (or a piecewise linear (PWL) approximation thereof) are then stored in a cell library for use in static timing analysis (STA). During STA, the setup and hold skews calculated for each synchronous circuit are compared with a selected setup/hold time pair stored in the cell library (e.g., a pair having a relatively low hold value). If at least one of the setup and hold skews violates the selected setup/hold time pair, then the remaining identified setup/hold time pairs (or the PWL approximation) are utilized to determine if the synchronous circuit is violates established constraints, and if not, to identify the setup and hold times required to remove the violation. | 11-27-2008 |
20080295054 | Methods for Measurement and Prediction of Hold-Time and Exceeding Hold Time Limits Due to Cells with Tied Input Pins - Techniques for estimating a risk of incorrect timing analysis results for signal paths having cells with inputs tied together are described. Signal paths having cells with tied input pins are identified in a circuit. A timing analysis on the signal paths is run to identify the worst case delay through the signal paths. The risk to the signal paths of incorrect timing analysis results due to the cells with tied input pins is estimated by a tied input pin analysis tool. Metrics that quantify timing failure risk associated with signal paths is provided in the form of a set of equations. These equations are embedded into a process allowing automated multi-modal, multi power voltage temperature analysis for the identification of high risk paths. | 11-27-2008 |
20080301604 | APPARATUS FOR AND METHOD OF ESTIMATING THE QUALITY OF CLOCK GATING SOLUTIONS FOR INTEGRATED CIRCUIT DESIGN - A novel apparatus for and method of estimating the quality of candidate clock gating solutions. The quality estimation mechanism of the present invention filters candidate clock gating solutions by estimating a measure of the quality of each candidate solution. The effect of the proposed solution on both timing and leakage power is considered by determining the intersection coefficient for each candidate clock gating solution. The intersection coefficient (IC) is the number of signals shared by both the data logic portion and clock enable logic portions of a proposed clock gating solution. Only those proposed solutions whose IC value is less than or equal to a threshold are considered as possible clock gating solutions. The IC value functions as a reliable predictor of whether a candidate clock gating solution is a good solution without requiring complex heavy analyses that would normally be applied to the final circuit design. | 12-04-2008 |
20080301605 | METHOD FOR DESIGNING LSI SYSTEM AND DESIGN SUPPORT DEVICE FOR LSI SYSTEM - A method and a device for automatically calibrating a light intensity measurement device is disclosed. The device includes an optical switch for switching a route of output from an optical intensity modulator, an optical attenuator arranged on a first waveguide, a second waveguide, a light intensity measurement device, a control device for receiving light intensity information measured by the light intensity measurement device and controlling the signal to be applied to the optical intensity modulator, and a signal source for receiving a control signal of the control device and adjusting the signal to be applied to the optical intensity modulator. | 12-04-2008 |
20080301606 | Design Structure for Switching Digital Circuit Clock Net Driver Without Losing Clock Pulses - A system and method for switching digital circuit clock net driver without losing clock pulses is presented. A device uses glitchless clock selection logic, which includes an edge detector, to select a clock signal to provide to device circuitry based upon the device circuitry's performance requirements. When the rising edges of a first clock signal and a second clock signal align, the edge detector momentarily pulses a clock switch signal, which is used to clock in a clock selection signal to a multiplexer. As a result, when the clock selection signal is high, the device waits until the clock edges are aligned before switching clock signals. | 12-04-2008 |
20080307374 | METHOD, SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR MAPPING A LOGICAL DESIGN ONTO AN INTEGRATED CIRCUIT WITH SLACK APPORTIONMENT - A logical design including multiple logical blocks is mapped onto an integrated circuit chip. A chip level floor plan is created on the chip, including temporary areas on the chip set aside for accommodating logical blocks having logical content including timing requirements based on the logical design. The temporary areas are translated into physical cells on the chip with pins assigned for inputs and outputs for the logical blocks. The logical blocks are mapped to the physical cells on the chip in a time sensitive manner using timing assertions to form temporary logical partitions. Blocks on the chip, including the temporary logical partitions, are connected based on the timing assertions. A timing analysis is performed on the chip to determine timing slack associated with each temporary logical partition. A determination is made whether the timing slack is acceptable. If the timing slack is not acceptable, the slack is apportioned for, and apportioned slack information is fed back in the form of timing assertions. Mapping, connecting, performing a timing analysis, and apportioning for slack are repeated until the timing slack associated with each temporary logical partition is determined to be acceptable. | 12-11-2008 |
20080307375 | Method for Performing Timing Analysis of a Circuit - A single verification tool provides both static timing analysis and timing simulation capabilities targeted at both full-custom and ASIC designs in a unified environment. In various embodiments the verification tool includes the following features: (a) Integrating both static timing analysis and dynamic simulation tools into a single tool, (b) Efficient path search for multi-phase, multi-frequency and multi-cycle circuit in the presence of level sensitive latch, (c) Automatically identifying circuit structure, e.g. complex gate, for timing characterization, (d) Circuit structures at transistor level solved by incorporating function check, (e) Carrying out functional check to filter out failing path and identifying gate with simultaneously changing inputs, (f) Finding maximum operation frequency in the presence of level sensitive latches after filtering out false paths, (g) Crosstalk solver by utilizing the admittance matrix and voltage transfer of RLC part in frequency domain coupled with the non-linear driver in time domain implemented in spice-like simulator, (h) Making use of the correlation between inputs of aggressors and victim to determine switching time at victim's output iteratively. | 12-11-2008 |
20080307376 | Method for Verifying Timing of a Multi-Phase, Multi-Frequency and Multi-Cycle Circuit - A single verification tool provides both static timing analysis and timing simulation capabilities targeted at both full-custom and ASIC designs in a unified environment. In various embodiments the verification tool includes the following features: (a) Integrating both static timing analysis and dynamic simulation tools into a single tool, (b) Efficient path search for multi-phase, multi-frequency and multi-cycle circuit in the presence of level sensitive latch, (c) Automatically identifying circuit structure, e.g. complex gate, for timing characterization, (d) Circuit structures at transistor level solved by incorporating function check, (e) Carrying out functional check to filter out failing path and identifying gate with simultaneously changing inputs, (f) Finding maximum operation frequency in the presence of level sensitive latches after filtering out false paths, (g) Crosstalk solver by utilizing the admittance matrix and voltage transfer of RLC part in frequency domain coupled with the non-linear driver in time domain implemented in spice-like simulator, (h) Making use of the correlation between inputs of aggressors and victim to determine switching time at victim's output iteratively. | 12-11-2008 |
20080307377 | Method for Determining Maximum Operating Frequency of a Filtered Circuit - A single verification tool provides both static timing analysis and timing simulation capabilities targeted at both full-custom and ASIC designs in a unified environment. In various embodiments the verification tool includes the following features: (a) Integrating both static timing analysis and dynamic simulation tools into a single tool, (b) Efficient path search for multi-phase, multi-frequency and multi-cycle circuit in the presence of level sensitive latch, (c) Automatically identifying circuit structure, e.g. complex gate, for timing characterization, (d) Circuit structures at transistor level solved by incorporating function check, (e) Carrying out functional check to filter out failing path and identifying gate with simultaneously changing inputs, (f) Finding maximum operation frequency in the presence of level sensitive latches after filtering out false paths, (g) Crosstalk solver by utilizing the admittance matrix and voltage transfer of RLC part in frequency domain coupled with the non-linear driver in time domain implemented in spice-like simulator, (h) Making use of the correlation between inputs of aggressors and victim to determine switching time at victim's output iteratively. | 12-11-2008 |
20080307378 | Operational Cycle Assignment in a Configurable IC - Some embodiments provide a method of designing a configurable integrated circuit (“IC”) with several configurable circuits. The method receives a design having several sets of operations for the configurable circuits to perform in different operational cycles. For at least a first set of operations that has a start operation and an end operation, the method assigns a particular operation in the first set to a first operational cycle based at least partially on the position of the particular operation with respect to the start and end operations. | 12-11-2008 |
20080307379 | SYSTEM AND METHOD FOR INCREMENTAL STATISTICAL TIMING ANALYSIS OF DIGITAL CIRCUITS - The present invention is a system and method for efficiently and incrementally updating the statistical timing of a digital circuit after a change has been made in the circuit. One or more changes in the circuit is/are followed by timing queries that are answered efficiently, constituting a mode of timing that is most useful in the inner loop of an automatic computer-aided design (CAD) synthesis or optimization tool. In the statistical re-timing, the delay of each gate or wire is assumed to consist of a nominal portion, a correlated random portion that is parameterized by each of the sources of variation and an independent random portion. Correlations are taken into account. Both early mode and late mode timing are included; both combinational and sequential circuits are handled; static CMOS as well as dynamic logic families are accommodated. | 12-11-2008 |
20080313588 | METHOD, SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR COUPLED NOISE TIMING VIOLATION AVOIDANCE IN DETAILED ROUTING - A method, system, and computer program product for coupled noise timing violation avoidance in detailed routing of an integrated circuit design are provided. The method includes calculating a noise induced timing violation sensitivity (NITVS) metric for nets in the integrated circuit design as a measure of sensitivity to a timing violation relative to a coupled noise delay adder, prioritizing routing isolation as a function of the NITVS metric for each of the nets to avoid coupled noise timing violations, and outputting the routing isolation priority. | 12-18-2008 |
20080313589 | Techniques For Use With Automated Circuit Design and Simulations - Various techniques related to clocking signals used for automated circuit design and simulations are disclosed. In some embodiments, a method includes receiving first and second asynchronous clock signals having a first phase relationship at a first time and sampling the second clock signal at transitions of the first clock. The method further includes storing the samples; and analyzing the samples to ascertain the first phase relationship of the second clock signal with respect to the first clock signal and provide a representation of the first phase relationship. Other embodiments are described. | 12-18-2008 |
20080313590 | METHOD AND SYSTEM FOR EVALUATING TIMING IN AN INTEGRATED CIRCUIT - Methods for analyzing the timing in integrated circuits and for reducing the pessimism in timing slack calculations in static timing analysis (STA). The methods involve grouping and canceling the delay contributions of elements having similar delays in early and late circuit paths. An adjusted timing slack is calculated using the delay contributions of elements having dissimilar delays. In some embodiments, the delay contributions of elements having dissimilar delays are root sum squared. Embodiments of the invention provide methods for reducing the pessimism due to both cell-based and wire-dependent delays. The delays considered in embodiments of the invention may include delays due to the location of elements in a path. | 12-18-2008 |
20080320425 | Method for Verifying Timing of a Circuit with RLC Inputs and Outputs - A single verification tool provides both static timing analysis and timing simulation capabilities targeted at both full-custom and ASIC designs in a unified environment. In various embodiments the verification tool includes the following features: (a) Integrating both static timing analysis and dynamic simulation tools into a single tool, (b) Efficient path search for multi-phase, multi-frequency and multi-cycle circuit in the presence of level sensitive latch, (c) Automatically identifying circuit structure, e.g. complex gate, for timing characterization, (d) Circuit structures at transistor level solved by incorporating function check, (e) Carrying out functional check to filter out failing path and identifying gate with simultaneously changing inputs, (f) Finding maximum operation frequency in the presence of level sensitive latches after filtering out false paths, (g) Crosstalk solver by utilizing the admittance matrix and voltage transfer of RLC part in frequency domain coupled with the non-linear driver in time domain implemented in spice-like simulator, (h) Making use of the correlation between inputs of aggressors and victim to determine switching time at victim's output iteratively. | 12-25-2008 |
20080320426 | Method for Verifying Timing of a Circuit with Crosstalk Victim and Aggressor - A single verification tool provides both static timing analysis and timing simulation capabilities targeted at both full-custom and ASIC designs in a unified environment. In various embodiments the verification tool includes the following features: (a) Integrating both static timing analysis and dynamic simulation tools into a single tool, (b) Efficient path search for multi-phase, multi-frequency and multi-cycle circuit in the presence of level sensitive latch, (c) Automatically identifying circuit structure, e.g. complex gate, for timing characterization, (d) Circuit structures at transistor level solved by incorporating function check, (e) Carrying out functional check to filter out failing path and identifying gate with simultaneously changing inputs, (f) Finding maximum operation frequency in the presence of level sensitive latches after filtering out false paths, (g) Crosstalk solver by utilizing the admittance matrix and voltage transfer of RLC part in frequency domain coupled with the non-linear driver in time domain implemented in spice-like simulator, (h) Making use of the correlation between inputs of aggressors and victim to determine switching time at victim's output iteratively. | 12-25-2008 |
20080320427 | Apparatus and method for integrated circuit design with improved delay variation calculation based on power supply variations - An integrated circuit design apparatus is provided with a power supply voltage variation analysis tool calculating variations of power supply voltages of respective instances integrated within a target circuit; a determination module comparing the variations of the power supply voltages with first and second reference levels, the second reference level being smaller than the first reference level; a redesign module adapted to redesign the target circuit when at least one of the variations of the power supply voltages is larger than the first reference level; a delay variation calculation module adapted to correct circuit delay data of the respective instances based on the variations of the power supply voltages of the respective instances; a static timing analysis tool performing timing verification of the target integrated circuit. The timing verification in connection with each of the instances is performed based on the corrected circuit delay data, when a variation of a power supply voltage of the each of the instances is in a range from the second reference level to the first reference level, and performed based on the circuit delay data uncorrected, when the variation of the power supply voltage of the each of the instances is smaller than the second reference level. | 12-25-2008 |
20090007040 | APPARATUS FOR ANALYZING POST-LAYOUT TIMING CRITICAL PATHS - A critical path detecting unit for detecting critical paths for a design in which cells are placed on an integrated circuit and information concerning timing constraints. A representative-critical-path extracting unit extracts a representative critical path by having one critical path represent critical paths which share more intervals than a certain number, and which are similar to one another, out of critical paths which have been detected by the critical path detecting unit. A path-image generating unit renders the representative critical path, which has been extracted by the representative-critical-path extracting unit and reflects information concerning other critical paths, which are similar to the representative critical path, on the representative critical path. | 01-01-2009 |
20090007041 | Automatic delay adjusting method for semiconductor integrated circuit by using dummy wiring - An automatic delay adjusting method of a semiconductor integrated circuit includes placing a dummy wiring to a layout data and connecting the dummy wiring to a target wiring between a first cell and a second cell which is a timing violation occurs for the target wiring in the layout data. The dummy wiring connection includes replacing the dummy wiring with a dummy wiring cell having first and second pins corresponding to both ends of the dummy wiring, cutting the target wiring to generate first and second target wirings, connecting the first and second target wirings to the first and second pins, respectively, and replacing the dummy wiring cell with the dummy wiring to provide a wiring that is connected with the dummy wiring to the cut target wiring. | 01-01-2009 |
20090013292 | CONTEXT DEPENDENT TIMING ANALYSIS AND PREDICTION - In one embodiment, a method for providing a context aware timing analysis is provided. A library of cells is pre-computed to take into account contouring that may result based on possible context situations for instances in an integrated circuit design. This results in a library that includes a characterization for each of the plurality of context situations. The timing analysis may then be run after pre-computing the library based on the context situations. The context situation for instances in the integrated circuit design are determined. Then a characterization for the instances based on the context situation is determined from the pre-computed library of characterizations. Because the characterization for the context situations was pre-computed based on possible combinations of context situations that may be found in the design, a lookup of the characterized timing information may be performed. Thus, a re-characterization during runtime does not need to be performed. | 01-08-2009 |
20090013293 | Delay Calculation Method, A Data Processing Program and A Computer Program Product for Routing of Wires of an Electronic Circuit - The invention relates to a delay calculation method for wiring nets of an electronic circuit, wherein a net within an electronic circuit comprises a driver pin (P | 01-08-2009 |
20090013294 | SYSTEM AND METHOD FOR STATISTICAL TIMING ANALYSIS OF DIGITAL CIRCUITS - The present invention is a system and method for statistical or probabilistic static timing analysis of digital circuits, taking into account statistical delay variations. The delay of each gate or wire is assumed to consist of a nominal portion, a correlated random portion that is parameterized by each of the sources of variation and an independent random portion. Arrival times and required arrival times are propagated as parameterized random variables while taking correlations into account. Both early mode and late mode timing are included; both combinational and sequential circuits are handled; static CMOS as well as dynamic logic families are accommodated. The timing analysis complexity is linear in the size of the graph and the number of sources of variation. The result is a timing report in which all timing quantities such as arrival times and slacks are reported as probability distributions in a parameterized form. | 01-08-2009 |
20090019409 | Method for Reducing Timing Libraries for Intra-Die Model in Statistical Static Timing Analysis - A method for performing statistical static timing analysis on an integrated circuit (IC) is disclosed, which comprises identifying a plurality of turned-on devices in the IC during a predetermined operation of the IC, choosing only the libraries of the plurality of turned-on devices, and calculating a time delay of the IC using only the chosen libraries, wherein the number of libraries used for the time delay calculation is reduced. | 01-15-2009 |
20090024973 | Method and program for designing semiconductor integrated circuit - A method of designing a semiconductor integrated circuit includes: performing a circuit simulation of a cell with changing a parameter that specifies a layout pattern around the cell; and generating a delay function expressing a delay value of the cell as a function of the parameter, based on a result of the circuit simulation. The method further includes: generating a layout data indicating a layout of the semiconductor integrated circuit, based on a cell-based design technique. The method further includes: referring to the generated layout data to extract the parameter associated with a target cell included in the semiconductor integrated circuit; and calculating a delay value of the target cell by using the extracted parameter and the delay function. | 01-22-2009 |
20090024974 | Method and program for designing semiconductor integrated circuit - A design method for an LSI includes: generating a delay library for use in a statistical STA, wherein the delay library provides a delay function that expresses a cell delay value as a function of model parameters of a transistor; generating a layout data; and calculating a delay value of a target cell based on the delay library and the layout data. The calculating includes: referring to the layout data to extract a parameter specifying a layout pattern around a target transistor; modulating model parameters of the target transistor such that the characteristics corresponding to the extracted parameter is obtained in a circuit simulation; calculating, by using the delay function, a reference delay value of the target cell; and calculating, by using the delay function and the modulation amount of the model parameter, a delay variation from the reference delay value depending on the modulation amount. | 01-22-2009 |
20090031268 | METHODS FOR CHARACTERIZATION OF ELECTRONIC CIRCUITS UNDER PROCESS VARIABILITY EFFECTS - A method for determining an estimate of statistical properties of an electronic system comprising individual components subject to manufacturing process variability is disclosed. In one aspect, the method comprises obtaining statistical properties of the performance of individual components of the electronic system, obtaining information about execution of an application on the system, simulating execution of the application based on the obtained information about execution of the application on the system for a simulated electronic system realization constructed by selecting individual components with the obtained statistical properties determining the delay and energy of the electronic system, and determining the statistical properties of the delay and energy of the electronic system. | 01-29-2009 |
20090037860 | Apparatus, system and method for simulating operation of circuit - An apparatus, includes an analyzing unit which simulates a clock skew of a circuit including a macro block, the macro block including a circuit element, and a macro clock delay store element which stores a macro clock delay corresponding to the macro block, the macro clock delay indicating a delay of a clock signal passing through the macro block. The analyzing unit simulates the clock skew of the circuit by using the macro clock delay. | 02-05-2009 |
20090044159 | False path handling - A method for circuit design includes performing a timing analysis of a design of a processing stage in an integrated electronic circuit. The processing stage has inputs and outputs and includes circuit components arranged so as to define multiple logical paths between the inputs and the outputs. A timing constraint to be applied in splitting the processing stage into multiple sub-stages is specified. At least one of the logical paths is identified as a false path, to which the timing constraint is not to apply. The design is modified responsively to the timing analysis, to the timing constraint, and to identification of the false path, so as to split the processing stage into the sub-stages. | 02-12-2009 |
20090044160 | DYNAMIC CRITICAL PATH DETECTOR FOR DIGITAL LOGIC CIRCUIT PATHS - Method for correcting timing failures in an integrated circuit and device for monitoring an integrated circuit. The method includes placing a first and second latch near a critical path. The first latch has an input comprising a data value on the critical path. The method further includes generating a delayed data value from the data value, latching the delayed data value in the second latch, comparing the data value with the delayed data value to determine whether the critical path comprises a timing failure condition, and executing a predetermined corrective measure for the critical path. The invention is also directed to a design structure on which a circuit resides. | 02-12-2009 |
20090055785 | STATISTICAL ITERATIVE TIMING ANALYSIS OF CIRCUITS HAVING LATCHES AND/OR FEEDBACK LOOPS - Statistical timing analysis methods for circuits having latches and feedback loops are described wherein the circuit yield, and/or the critical cycle mean (the largest cycle mean among all loops in the circuit), may be iteratively calculated with high speed and accuracy, thereby allowing their ready usage in the analysis and validation of proposed circuit designs. | 02-26-2009 |
20090055786 | Method for Verifying Timing of a Circuit - A single verification tool provides both static timing analysis and timing simulation capabilities targeted at both full-custom and ASIC designs in a unified environment. In various embodiments the verification tool includes the following features: (a) Integrating both static timing analysis and dynamic simulation tools into a single tool, (b) Efficient path search for multi-phase, multi-frequency and multi-cycle circuit in the presence of level sensitive latch, (c) Automatically identifying circuit structure, e.g. complex gate, for timing characterization, (d) Circuit structures at transistor level solved by incorporating function check, (e) Carrying out functional check to filter out failing path and identifying gate with simultaneously changing inputs, (f) Finding maximum operation frequency in the presence of level sensitive latches after filtering out false paths, (g) Crosstalk solver by utilizing the admittance matrix and voltage transfer of RLC part in frequency domain coupled with the non-linear driver in time domain implemented in spice-like simulator, (h) Making use of the correlation between inputs of aggressors and victim to determine switching time at victim's output iteratively. | 02-26-2009 |
20090055787 | Generation of Engineering Change Order (ECO) Constraints For Use In Selecting ECO Repair Techniques - Static timing and/or noise analysis are performed on a netlist of an integrated circuit, to estimate behavior of the netlist and to identify at least one violation by said behavior of a corresponding requirement thereon, such as setup time, hold time or bump height in a quiescent net. Thereafter, effect of engineering change order (ECO) to correct the violation are automatically analyzed, based on the layout, the parasitics, the timing and/or noise behavior, and the violation, followed by generation of a constraint on the behavior (called “ECO” constraint), such as a timing constraint and/or a noise constraint. Next, the ECO constraint is automatically used, e.g. in a place and route tool, to select an ECO repair technique, from several ECO repair techniques that can overcome the violation. The selected ECO repair technique is automatically applied to the layout, to generate a modified layout which does not have the violation. | 02-26-2009 |
20090055788 | Silicon Tolerance Specification Using Shapes As Design Intent Markers - Design-specific attributes of a circuit (such as timing, power, electro-migration, and signal integrity) are used to automatically identify one or more regions of one or more layers in a layout of the circuit. The automatically identified regions may be provided to a manufacturing tool in GDSII by use of overlapping shapes in, or alternatively by moving existing shapes to, a different layer/datatype pair. For example, information about the automatically identified regions may be stored using a conventional datatype (e.g. value | 02-26-2009 |
20090064067 | METHOD OF BALANCING PATH DELAY OF CLOCK TREE IN INTEGRATED CIRCUIT (IC) LAYOUT - A method of balancing the path delay of a clock tree for minimizing clock skew of the clock tree in the IC layouts is described. The method includes the following steps: (a) A design tool calculates a plurality of path delay values from the root cell to each sink via some of the inverters, wherein the maximum one of the path delay values recorded on the sinks serves as a target value, respectively; (b) The design tool compares the path delay value of each sink with the delay value of the adjacent sink from each sink to the root cell for recording the compared higher value on each inverter until the higher compared values are recorded, respectively, in the inverters and the root cell; (c) The design tool compares the path delay value on each inverter with the target value from the root cell to the sinks to determine whether to change the cell type of the inverter from a current cell type to a new cell type by selecting the new cell type from the type database; (d) The design tool adds the difference value to the original value for updating the original value recorded in this inverter; and (e) The design tool adds the difference value to the values recorded on the downstream inverters relative to the inverter for repeatedly updating the values recorded on the downstream inverters and sinks to minimize the clock skew of the clock tree. | 03-05-2009 |
20090064068 | Method and Apparatus for Evaluating the Timing Effects of Logic Block Location Changes in Integrated Circuit Design - An integrated circuit (IC) floorplan system includes an integration design system that executes IC floorplan software on a semiconductor die IC model. The IC floorplan software includes a timing tool database of the IC model. IC integrators utilize the IC floorplan software to evaluate logic block moves within the IC model. The IC floorplan software analyzes wire interconnect signal propagation time delays that result from prospective logic block moves with the IC model. The IC floorplan software reports back in real time whether or not a prospective move of a logic block from one location to another in the IC model will cause a timing failure due to a wire interconnect time delay exceeding a predetermined timing parameter. | 03-05-2009 |
20090064069 | METHOD AND SYSTEM FOR GENERATING A LAYOUT FOR AN INTEGRATED ELECTRONIC CIRCUIT - A method to provide optimization between synthesis and layout in modern integrated circuit design, the method includes the steps of:
| 03-05-2009 |
20090064070 | SEMICONDUCTOR CIRCUIT DESIGN METHOD - This disclosure concerns a semiconductor circuit design method for designing a clock wiring structure supplying a clock to a flip-flop by using a computer. The semiconductor circuit design method comprises setting the flip-flop based on circuit information on a semiconductor integrated circuit; obtaining a control signal controlling the flip-flop; calculating a first evaluation value indicating a power consumption and a magnitude of a clock skew time when clock gating is applied to the flip-flop; setting a gated clock structure clock-gating the flip-flop when the first evaluation value is higher than a first threshold; calculating a second evaluation value indicating the power consumption and a magnitude of a cell area when a low power flip-flop lower in power consumption than the flip-flop is applied to the flip-flop; and replacing the flip-flop by the lower power flip-flop when the second evaluation value is higher than a second threshold. | 03-05-2009 |
20090064071 | METHOD AND SYSTEM FOR GLOBAL COVERAGE ANALYSIS - Disclosed are methods and systems for performing coverage analysis. In one approach, the methods and systems perform coverage analysis based upon both implementation-specific design data and non-implementation-specific design data. In an approach, both gate level and RTL level information are considered to perform coverage analysis. | 03-05-2009 |
20090070719 | Logic Block Timing Estimation Using Conesize - A system for logic block timing analysis may include a controller, and storage in communication with the controller. The storage may provide delay-versus-conesize values of a logic block. The system may further include a fitting module to provide a delay-cone based upon the delay-versus-conesize values of the logic block. The system may also include a conesize parser that uses the delay-cone to provide delay values through the logic block. The conesize parser may be used to validate the design of the logic block by comparing the delay-cone with a desired cycle time. | 03-12-2009 |
20090070720 | System to Identify Timing Differences from Logic Block Changes and Associated Methods - A system to identify timing differences due to logic block changes, the system may include a controller, and storage in communication with the controller. The controller may provide delay values of a previous logic block and a current logic block. The system may also include a timing-modeler to compare the delay values of the previous logic block with the current logic block for timing analysis. The system may further include an interface that provides a report based upon the previous logic block and the current logic block comparison. | 03-12-2009 |
20090077514 | AREA AND POWER SAVING STANDARD CELL METHODOLOGY - A semiconductor integrated circuit including a circuit for adaptive power supply regulation and designed using a process that increases operating speed used for characterizing circuit operation at a slow corner. In some embodiments a slow corner voltage is set to a higher than expected level for timing analysis performed by automated design tools. | 03-19-2009 |
20090077515 | Method of Constrained Aggressor Set Selection for Crosstalk Induced Noise - A preliminary static timing analysis run is performed to calculate the delay and slew as well as timing windows for each net in the design, followed by coupling analysis for each given aggressor-victim combination, and to calculate the noise effect on the timing of victim net. Given a set of functional groups that relate the coupled aggressors to each other, the worst set of aggressors are calculated that satisfy the constraints from the functional groups, based on the calculated impact of each aggressor on the victim. Similarly the set of aggressors which contribute to the maximum amount of inductive coupling noise effect on timing are calculated. Furthermore, the coupling noise impact of the reduced set of aggressors on the given victim line and adjust the delay value calculated in the preliminary static timing analysis run. | 03-19-2009 |
20090083684 | Method for Violating the Logical Function and Timing Behavior of a Digital Circuit Decision - The present invention relates to a method for validating the correct logical function and timing behavior of a digital circuit design within a cycle-based verification environment. Said method comprises the steps of providing ( | 03-26-2009 |
20090083685 | METHOD FOR GENERATING OPTIMIZED CONSTRAINT SYSTEMS FOR RETIMABLE DIGITAL DESIGNS - A method for generating timing constraint systems, where the constrained object is a digital circuit, is provided, where the constraints are generated for the use of a digital logic optimization (synthesis) tool. The synthesis tool is used to optimize the circuit, under the applied constraints, so that the circuit exhibits certain desirable timing properties, while at the same time minimizing hardware cost and various other properties. The particular class of timing constraints generated by the disclosed invention is useful when the circuit is to be retimed after optimization. Typically, the joint use of the described invention and retiming results in improvements in the overall cost/performance tradeoff curve of the design. The invention comprises a method that comprises the following steps: (1) the flip-flops of the design are replaced with buffers having a negative delay whose magnitude is approximately the desired clock cycle time of the design; and (2) cycles in the design are broken using flip-flops having an infinite or quasi-infinite clock frequency. Following optimization by the synthesis tool, the temporary changes can be reverted, and retiming performed on the circuit. | 03-26-2009 |
20090089729 | Distorted Waveform Propagation and Crosstalk Delay Analysis Using Multiple Cell Models - A method to perform timing analysis for a complex logic cell with distorted input waveform and coupled load networks is presented. Timing arc based models are used in conjunction with CCB based current models of portions of the logic cell to compute the output signal of the logic cell. For example, an intermediary signal is generated using a first timing arc based model and an equivalent coupled network output signal is generated using a channel connected block (CCB) based current model. | 04-02-2009 |
20090100393 | METHOD AND APPARATUS FOR INCREMENTALLY COMPUTING CRITICALITY AND YIELD GRADIENT - In one embodiment, the invention is a method and apparatus for incrementally computing criticality and yield gradient. One embodiment of a method for computing a diagnostic metric for a circuit includes modeling the circuit as a timing graph, determining a chip slack for the circuit, determining a slack of at least one diagnostic entity, and computing a diagnostic metric relating to the diagnostic entity(ies) from the chip slack and the slack of the diagnostic entity(ies). | 04-16-2009 |
20090100394 | Method, Apparatus, and Computer Program Product for Automatically Waiving Non-Compute Indications for a Timing Analysis Process - In the course of unit timing, there exists the possibility for a non-compute (N/C) on a particular net in an IC chip design, which could be caused by numerous things, including but not limited to a pin being tied to power, a floating output, or invalid timing test for a given phase at a test point. A process automatically verifies that all non-computes are understood and exist for valid reasons, in order to ensure all necessary paths are being timed. The process takes a conventional Comprehensive Report output of a unit timing run and generates macro specific N/C reports for designers to review and sign off on. | 04-16-2009 |
20090100395 | Method, Apparatus, and Computer Program Product for Stale NDR Detection - Best and most recent NDR types are selected for all RLM's in a design in order to achieve timing closure. The selection employed uses two levels of filtering to examine the NDR types for each RLM, and based on the outcome of the filtering selects the most appropriate NDR type for input to the timing analysis. In one arrangement, the selection scheme is completely automated and is performed at the beginning of a timing analysis via script-driven processes. | 04-16-2009 |
20090106717 | MULTITHREADED STATIC TIMING ANALYSIS - A method and apparatus for executing multithreaded algorithm to provide static timing analysis of a chip design includes analyzing a chip design to identify various components and nodes associated with the components. A node tree is built with a plurality of nodes. The node tree identifies groups of nodes that are available in different levels. A size of node grouping for a current level is determined by looking up the node tree. Testing data for parallel processing of different size of node groupings using varied thread counts is compiled. An optimum thread count for the current level based on the size of node grouping in the node tree is identified from compiled testing data. Dynamic parallel processing of nodes in the current level is performed using the number of threads identified by the optimum thread count. An acceptable design of the chip is determined by the dynamic parallel processing. | 04-23-2009 |
20090106718 | DELAY ADJUSTING METHOD AND LSI THAT USES AIR-GAP WIRING - Provided is a method for manufacturing a semiconductor integrated circuit device which enables a timing optimization without giving additions to a manufacturing process and increasing cost and TAT. Existence of a timing constraint violation is determined, and when a timing constraint violation is detected, to dissolve the violation, a void formation inhibition zone is set up in a part or all of a spacing (inter-wiring spacing) between an optimization-target wiring which needs a further delay time of a signal and clock and an adjacent wiring adjacent to the optimization-target wiring having a spacing within a specified wiring spacing, and an insulating film is formed in a spacing (inter-wiring spacing) between the optimization-target wiring and the adjacent wiring in the void formation inhibition zone, and voids are formed in a spacing (inter-wiring spacing) between the optimization-target wiring and the adjacent wiring outside the void formation inhibition zone. | 04-23-2009 |
20090106719 | METHOD AND SYSTEM FOR ASYNCHRONOUS CHIP DESIGN - A method of designing an asynchronous integrated circuit is provided. A global clock network of a synchronous circuit is replaced with a plurality of handshaking circuits. Data validity is encoded into a communication path between a first pipeline stage and a second pipeline stage of the synchronous circuit. A control logic for the first pipeline stage is implemented using a template that contains characterization information for timing to generate an asynchronous circuit design. | 04-23-2009 |
20090106720 | TIMING ANALYSIS APPARATUS AND METHOD FOR SEMICONDUCTOR INTEGRATED CIRCUIT IN CONSIDERATION OF POWER SUPPLY AND GROUND NOISES - In a timing analysis apparatus for use in a semiconductor integrated circuit, which analyzes operation timing of a semiconductor integrated circuit having a logic gate circuit including a plurality of logic gates, a controller detects at least one of a power supply voltage and a ground voltage of a power supply, decomposes the noise waveform into frequency components, classifies the frequency components into low-frequency components lower than a predetermined threshold frequency and high-frequency components higher than the threshold frequency, calculates a static delay time of each of the logic gates due to the low-frequency components, calculates a dynamic delay time of each of the logic gates due to the high-frequency components, and determines a delay time of each of the logic gates by synthesizing the calculated respective delay times. | 04-23-2009 |
20090106721 | METHOD OF DESIGNING SEMICONDUCTOR INTEGRATED CIRCUIT IN WHICH FAULT DETECTION CAN BE EFFECTED THROUGH SCAN-IN AND SCAN-OUT - A method of designing a semiconductor integrated circuit includes steps of selecting a pair of scan registers to be connected as a scan chain and calculating a beeline distance on hardware from each output terminal of the scan register at the front stage to a scan data input terminal of the scan register at the rear stage. The method further includes steps of selecting the output terminal of the scan register at the front stage having a minimum beeline distance on the basis of the above calculation; determining to connect the selected output terminal with the scan data input terminal of the scan register at the rear stage; and forming the scan chain by connecting each pair of scan registers by using the output terminal determined in the previous step. | 04-23-2009 |
20090106722 | DESIGN AUTOMATION METHOD AND SYSTEM FOR ASSESSING TIMING BASED ON GAUSSIAN SLACK - An automated design process using a computer system includes identifying a set of timing endpoints in a circuit defined by a machine-readable file. Values of slack in the estimated arrival times for the timing endpoints are assigned. Probability distribution functions, such as Gaussian distributions, are assigned for the respective values of slack, and are combined. The combination of probability distribution functions represents a measure of circuit performance. The measure is computed for alternative implementations of the circuit, and used to identify an alternative more likely to meet timing constraints. | 04-23-2009 |
20090113365 | Automated digital circuit design tool that reduces or eliminates adverse timing constraints due to an inherent clock signal skew, and applications thereof - The present invention provides an automated digital circuit design tool that reduces or eliminates adverse timing constraints due to an inherent clock signal skew, and applications thereof. In an embodiment, an automated design tool according to the invention generates a clocking system that includes a clock signal generator, control logic, enable logic, and at least one clock gater. The clock signal generator generates a clock signal that is distributed to various logic blocks of the digital circuit using a buffered clock tree. The enable logic receives input values from the control logic and provides a control signal to the clock gater. When enabled, the clock gater allows a clock signal to pass through to multiple registers. An early clock signal is provided to register(s) in the control logic, which allows for an increased clock frequency while still meeting timing constraints. | 04-30-2009 |
20090113366 | METHOD AND MECHANISM FOR PERFORMING TIMING AWARE VIA INSERTION - A method and system to insert redundant vias while preserving timing is disclosed. The system and method preserve the timing during redundant via insertion, which utilizes incremental timing and extraction updates. A budgeting based approach and a path based approach to the method are disclosed. The budgeting approach is faster, while the path based method has a better insight of the worst slack/slew for the entire design. | 04-30-2009 |
20090119629 | SYSTEM AND METHOD FOR GENERATING AT-SPEED STRUCTURAL TESTS TO IMPROVE PROCESS AND ENVIRONMENTAL PARAMETER SPACE COVERAGE - A system for enhancing the practicability of at-speed structural testing (ASST). In one embodiment, the system includes first means for performing statistical timing analysis on a design of logic circuitry. A second means performs a criticality analysis on the logic circuitry as a function of the statistical timing analysis so as to determine a criticality probability for each node of the logic circuitry. A third means selects nodes of the logic circuitry as a function of the criticality analysis. A fourth means selects timing paths as a function of the criticality probabilities of the selected nodes. A fifth means generates an ASST pattern for each of the selected timing paths. A sixth mean is provided to perform ASST on a fabricated instantiation of the design at functional speed using the generated ASST pattern. | 05-07-2009 |
20090119630 | Arrangements for Developing Integrated Circuit Designs - In some embodiments, a method is disclosed for converging on an acceptable integrated circuit design for an integrated circuit. The method can include selecting a path, determining if the path has a timing deficiency, segmenting the path into path segments and allocating the timing deficiency across the segments according to attributes of the path segments. Segments can have attributes such as a design freeze when the design is mature or “optimum.” Allocating can include allocating the timing deficiency across path segments according to attributes such as the proportion of the length of a segmented path to the overall path length. Allocating can include allocating the timing deficiency to path segments based on attributes provided as user input. | 05-07-2009 |
20090119631 | Variability-Aware Asynchronous Scheme for High-Performance Delay Matching - A system for automatically transforming a given synchronous circuit description into an equivalent and provably correct desynchronized circuit description. Included in the automated transformation are techniques for synthesizing a variability-aware controller using a two-phase protocol, techniques for synthesizing a variability-aware controller using gated clocks and testability circuits, techniques for synthesizing a variability-aware controller optimized for performance, techniques for initializing the synthesized controller, techniques for dynamically minimizing power requirements, and techniques for interfacing the desynchronized circuit with external synchronous circuits. Also disclosed are techniques for implementing a system for automatically transforming a synchronous circuit description into an equivalent and provably correct desynchronized circuit description within the context of an electronic design automation design flow. Exemplary circuits used in the application of the aforementioned techniques are provided. Application of mathematical models and techniques used for proving equivalence between the input description and the resulting desynchronized circuit are presented and explained. | 05-07-2009 |
20090125857 | Design Structure for an Absolute Duty Cycle Measurement Circuit - A design structure for a circuit for measuring the absolute duty cycle of a signal, is provided. A non-inverted path from a signal source is selected and various DCC circuit setting indices are cycled through until a divider, coupled to the output of the DCC circuit, fails. A first minimum pulse width at which the divider fails is then determined based on the index value of the DCC circuit at the time of the failure. An inverted path from the signal source is selected and the various DCC circuit setting indices are cycled through again until the divider fails. A second minimum pulse width at which the divider fails is then determined based on the index value of the DCC circuit at the time of this second failure. The duty cycle is then calculated based on a difference of the first and second minimum pulse width values. | 05-14-2009 |
20090125858 | IC Design Flow Incorporating Optimal Assumptions of Power Supply Voltage Drops at Cells when Performing Timing Analysis - An aspect of the present invention selects a maximum voltage and a minimum voltage in respective sub-intervals of a timing window in which the output of a cell is expected to switch, and performing timing analysis based on the selected values. By using appropriate smaller sub-intervals within the timing window, more optimal physical layout of the design may be obtained. In an embodiment, the sub-intervals equal a cell delay, i.e., the delay between an input change to an output change for the corresponding cell. According to another aspect of the present invention, the sub-interval for later cells in a timing path are modified based on modified timing window of previous cells in the path, to reduce the computational requirement. | 05-14-2009 |
20090132981 | Method for Incremental, Timing-Driven, Physical-Synthesis Using Discrete Optimization - A method, data processing system and computer program product for optimizing the placement of logic gates of a subcircuit in a physical synthesis flow. A Path Smoothing utility identifies one or more movable gates based on at least one selection criteria. A set of legalized candidate locations corresponding to one or more identified movable gates is generated. A disjunctive timing graph based on the generated set of legalized candidate locations is then generated. An optimal location of one or more movable gate(s) is determined using a recursive branch-and-bound search and stored in the computing device. | 05-21-2009 |
20090132982 | METHOD FOR OPTIMIZING AN UNROUTED DESIGN TO REDUCE THE PROBABILITY OF TIMING PROBLEMS DUE TO COUPLING AND LONG WIRE ROUTES - A method and a system is described to predict effects of coupling on timing by estimating the delta delay and delta slack that can occur due to coupling on any net, for optimization to minimize the sensitivity of slack to potential coupling violations. The invention protects against other unexpected increases in effective load capacitance, such as those due to unexpectedly long wire routes. It also estimates the delay impact of a single ‘fault’ or ‘event’, such as a coupling event or unexpectedly long wires routes, including the impact of slew propagation. | 05-21-2009 |
20090132983 | Driving Values to DC Adjusted/Untimed Nets to Identify Timing Problems - An apparatus and computer program product for driving values to “don't care” (DC) adjusted/untimed nets of an integrated circuit design to thereby identify timing problems are provided. The apparatus and computer program product may be utilized, for example, with logical built-in self test (LBIST) testing of an integrated circuit in which the DC adjusted (dcadj) nets for normal functional mode of the integrated circuit may not be DC adjusted for LBIST mode. By using the apparatus and computer program product, timing related problems associated with DC adjusted/untimed nets can be made apparent either by using simulation or semi-formal/formal analysis. For example, with regard to DC adjusted/untimed nets, the apparatus and computer program product may identify any violations of these nets with regard to maintaining their DC adjusted values. Such identification of violations of DC adjusted/untimed nets may be made without interfering with the static timing analysis of timed nets. | 05-21-2009 |
20090132984 | Optimal Flow In Designing A Circuit Operable In Multiple Timing Modes - A design approach provided according to an aspect of the present invention consolidates the constraint files of respective modes into consolidated information and performs place-and-route using such consolidated information. The resource requirements may be reduced as result. Another aspect of the present invention provides a programmatic approach to consolidating timing constraint files of different timing modes into consolidated information. | 05-21-2009 |
20090138837 | System and Method for Sequential Equivalence Checking for Asynchronous Verification - A system and method for performing sequential equivalence checking for asynchronous verification are provided. A first model of the integrated circuit design is provided that has additional logic in it to reflect the possible variance in behavior of the asynchronous crossings. A second model of the integrated circuit design is provided that does not have this asynchronous behavior logic but instead correlates to the simplest synchronous model that is usually used for non-asynchronous functional verification tasks. Sequential equivalence checking is performed to verify that the two models are input/output equivalent. In order to address non-uniform arrival times of bus strands, logic is provided for identifying bus strands that have transitioning bits, determining a representative delay for these strands, comparing the representative delays for all of the bus strands to determine the maximum delay for the entire bus, and applying this maximum delay to one of the models. | 05-28-2009 |
20090138838 | METHOD AND APPARATUS FOR SUPPORTING DELAY ANALYSIS, AND COMPUTER PRODUCT - A delay distribution of a partial path that passes through a node to which a plurality of signals is input and for which an estimation in a statistical MAX is predicted to be large, that is present on a critical path having large influence on a circuit delay, and that has high possibility of improving the circuit delay, among nodes in a circuit graph is calculated by the Monte Carlo simulation instead of the block based simulation, thereby increasing speed and accuracy of delay analysis. | 05-28-2009 |
20090138839 | METHOD, APPARATUS AND PROGRAM FOR DESIGNING CIRCUITS - A circuit designing method for a semiconductor integrated circuit, the circuit having a clock control circuit and leaves to which clock signals are propagated through the clock control circuit, has detecting a clock signal producing point where a clock signal is to be produced and an operational mode setting point where an operational mode setting signal for setting an operational mode is to be imparted, using a netlist and a cell library, setting a clock signal and a name of the clock signal at the clock signal producing point, setting an operational mode setting signal suitable for a desired operational mode at the operational mode setting point, propagating the clock signal and the operational mode setting signal, and extracting signals being propagated to the leaves. | 05-28-2009 |
20090144682 | DUAL PATH STATIC TIMING ANALYSIS - A method to analyze timing in a circuit, generally including (A) simulating reception of an input signal and a clock signal at a first flip-flop, wherein (i) the input signal has a latest transition, (ii) the input signal arrives through a first path and (iii) the clock signal has an active edge, (B) calculating a value of a time difference between the latest transition and the active edge, (C) calculating a delay between the active edge and the latest transition appearing in an output signal, wherein (i) the delay is based on a model responding to the value, (ii) the model characterizes a clock-to-output delay as a function of the time difference and (iii) the characterization covering a range of values, (D) calculating an arrival time of the latest transition at a second flip-flop through a second signal path and (E) storing the arrival time in a recording medium. | 06-04-2009 |
20090144683 | AUTOMATED DEBUGGING METHOD AND SYSTEM FOR OVER-CONSTRAINED CIRCUIT VERIFICATION ENVIRONMENT - An automated debugging method and system for over-constrained circuit verification environment are described. Useful information related to circuit evaluation and/or over-constrained event is collected and provided. The information may include: clock cycles at which an over-constrained event occurs; identification of a minimum subset of constraints that will cause an over-constrained event to occur; signal ports having an associated signal that can not switch between different signal states; whether a triggering signal event has occurred during the evaluation; indicating whether constraints in the evaluation are realizable, etc. Novel approaches for detecting and obtaining the useful information also are described. | 06-04-2009 |
20090144684 | CLOCK MODEL FOR FORMAL VERIFICATION OF A DIGITAL CIRCUIT DESCRIPTION - An edge clock model is used to capture states from a logic-level simulation of a circuit description. The states are captured at clock edges, or transitions, according to an edge clock model based on a clock specification for the circuit description. The captured states and associated attributes are used in formal verification of the circuit description. This approach helps to reduce or eliminate inaccuracies and other issues with other clock models such as a phase clock model. In one embodiment, a phase clock model can be used in addition to the edge clock model. In another embodiment, the edge clock states can be used to generate states according to different clock models, such as the phase clock model. | 06-04-2009 |
20090150843 | METHOD AND APPARATUS FOR MAKING A SEMICONDUCTOR DEVICE USING HARDWARE DESCRIPTION HAVING MERGED FUNCTIONAL AND TEST LOGIC BLOCKS - A processor-implemented method for making a semiconductor device having a test logic block and a functional logic block is provided. The method includes retrieving hardware description for at least one test logic block and mapping the hardware description for the at least one test logic block to logic gates to generate at least one synthesized test logic block. The method further comprises retrieving hardware description for at least one functional logic block and mapping the hardware description for the at least one functional logic block to logic gates to generate at least one synthesized functional logic block. The method further includes merging the at least one synthesized test logic block with the at least one synthesized functional logic block when the at least one functional logic block meets at least one criterion for selection as a candidate for merger with the at least one test logic block. | 06-11-2009 |
20090150844 | CRITICAL PATH SELECTION FOR AT-SPEED TEST - A method of critical path selection provides a set of paths that initially contains no paths. A timing tool is used to identify potential critical paths of an integrated circuit design. Each potential critical path is evaluated and the potential critical path is added to the set of paths if logic devices within the potential critical path are shared by less than a predetermined number of critical paths within the set of paths. This evaluating and adding process is repeated for each of the potential critical paths until all of the potential critical paths have been evaluated. Then, the potential critical paths within the set of paths can be output. | 06-11-2009 |
20090150845 | METHOD FOR DRIVING CURRENT OF CELL LIBRARY - Embodiments relate to a cell library for an application specific integrated circuit (ASIC). Embodiments relate to a method for defining current drive capacity of a cell library, which may define an amount of various kinds of drive current in a single pin and driving current in the cell library. According to embodiments, a method may include defining a current drive capacity for the cell library, receiving a timing arc selected by an external control switch, checking a current drive level defined in the input timing arc, searching for an index table defined in the checked current drive level, and driving a current written on the searched index table. | 06-11-2009 |
20090150846 | INTELLIGENT TIMING ANALYSIS AND CONSTRAINT GENERATION GUI - A system generally including a clock structure analysis tool, a static timing analysis tool and a waveform tool is disclosed. The clock structure analysis tool may be configured to generate a simplified clock structure for a clock signal in a complex clock structure in a design of a circuit. The static timing analysis tool may be configured to generate a plurality of results for a plurality of intermediate signals in the simplified clock structure in response to a static timing analysis of the design. The waveform tool may be configured to generate a first representation in a graphical user interface format of the intermediate signals and the results. | 06-11-2009 |
20090158228 | MOMENT COMPUTATION ALGORITHMS IN VLSI SYSTEM - An improved method for interconnect delay analysis for VLSI circuits reduces a parasitic graph for moment computation by eliminating one or more nodes in the graph. the elimination process is performed based upon the degree of the nodes. By eliminating nodes in this fashion, the computation complexity is significantly reduced. With this elimination process, resistor loops and crossed loops can also be solved. The order in which the nodes are eliminated is optimized using the depth-first-search method on the parasitic graphs, further reducing the computation complexity. The method provides a consistent functional interface, applicable to different circuit model structures. In addition, the method accounts for coupling capacitance between interconnects. | 06-18-2009 |
20090164956 | REDISTRIBUTION OF CURRENT DEMAND AND REDUCTION OF POWER AND DCAP - A method to redistribute current demand is presented. The method includes a first step of determining timing arc data for one or more timing arcs of a circuit design. The method includes a second step of checking the timing arc data for delay shift target cells. The method includes a further step of swapping a delay shift target cell with a delay shift cell. | 06-25-2009 |
20090164957 | Design Structure for Glitchless Clock Multiplexer Optimized for Synchronous and Asynchronous Clocks - A design structure for a circuit for switching clock signals with logic devices using a glitchless clock multiplexer optimized for synchronous and asynchronous clocks. The design structure comprises a circuit having an asynchronous clock group and one or more synchronous clock group(s). The asynchronous group comprises a plurality of high frequency glitchless control (HFGC) blocks for asynchronous clock sources. Each synchronous group comprises a plurality of HFGC blocks for synchronous clock sources. The circuit comprises a multiplexer for receiving delayed input clock signals from HFGC blocks for asynchronous clock sources and from HFGC blocks for synchronous clock sources. A switching latency (period in which no clock pulse appears at the final output of the circuit) from a first input clock signal belonging to a synchronous group to a second input clock signal belonging to the same synchronous group is one clock cycle or less of the second input clock signal. | 06-25-2009 |
20090164958 | Method and program for designing semiconductor integrated circuits, and semiconductor integrated circuit designing apparatus - Two paths (arrival and required paths) as a target of analysis are united into a single path, and an on-chip random variation component σr about a plurality of nodes of the single path is calculated. Next, an on-chip variation component chip is calculated on the basis of the on-chip random variation component σr and an on-chip systematic variation component σs. Subsequently, a delay variation Docv is calculated on the basis of a reference delay Dbase of the entire path and the on-chip variation component σchip. | 06-25-2009 |
20090172619 | METHOD AND SYSTEM FOR IMPLEMENTING TIMING ANALYSIS AND OPTIMIZATION OF AN ELECTRONIC DESIGN BASED UPON EXTENDED REGIONS OF ANALYSIS - Operations are performed in EDA tools that operate upon partitions or discrete portions of an electronic design, in which the partitions or discrete portions of the design are expanded to account for effects to/from other areas in the design. Identification is made of the portions of the design that are external to the partitions, and depending upon the type of expected effects, would then be considered during optimization and analysis of the partitions. This is implemented by logically expanding the partition to include consideration of the external portions during timing optimization and analysis. By considering an expanded partition for timing optimization and analysis, it is possible to identify unintended problems caused by the timing optimization at an earlier stage of the design process. | 07-02-2009 |
20090172620 | TIMING ANALYZING APPARATUS, TIMING ANALYZING METHOD, AND COMPUTER-READABLE STORAGE MEDIUM ON WHICH TIMING ANALYZING PROGRAM IS RECORDED - According to one embodiment, a timing analyzing apparatus comprises an instance list creating module configured to create instance lists for each path reports, an instance list report creating module configured to create an instance list report including the instance lists, a maximally appearing instance determining module configured to determine an instance which most frequently appears, a worst slack value determining module configured to determine a slack value which is worst as a worst slack value in an instance list including the maximally appearing instance, an ECO determining module configured to determine an ECO place and ECO value based on the maximally appearing instance and the worst slack value, and a deleting module configured to delete the instance list including the maximally appearing instance. | 07-02-2009 |
20090172621 | SYSTEM AND METHOD FOR SYSTEM-ON-CHIP (SOC) PERFORMANCE ANALYSIS - A system and method of performing transaction level System on Chip (SoC) performance analysis includes obtaining a SoC description file including all intellectual property (IP) modules interconnected in a SoC via interconnects, calculating clock periods of the IP modules, calculating a greatest common divisor (GCD) of all the clock periods, receiving user-specified inputs that stimulate the SoC and generate a signal at an output of the SoC, gathering timing and interconnect statistics from the SoC, automatically generating a top level module based on the statistics, compiling the top level module and the components to generate an executable file, simulating a SoC system by running the executable file, and generating performance results from the simulated SoC system. | 07-02-2009 |
20090187868 | DESIGN OF INTEGRATED CIRCUITS LESS SUSCEPTIBLE TO DEGRADATIONS IN TRANSISTORS CAUSED DUE TO OPERATIONAL STRESS - According to an aspect of the present invention, statistical timing analysis is applied with respect to a stress degradation that occurs in fabricated integrated circuits (IC) when used for a long duration. The circuit design may be suitably modified to account for the degradations (e.g., those caused by NBTI and CHC for transistors, those caused due to electromigration in case of interconnects). As a result, the fabricated ICs may be less susceptible to such degradations. The features are extended to model complex circuit blocks and also account for different degrees of stress that different circuit blocks are subjected to, in a same age of operation. | 07-23-2009 |
20090187869 | Budgeting Electromigration-Related Reliability Among Metal Paths In The Design Of A Circuit - Apportioning unequally contributions of different metal paths of a circuit to electromigration (EM) reliability. In an embodiment, a corresponding parameter value representing a magnitude of excess current flowing in a single direction in each metal path is determined. A desired reliability measure for electromigration (EM) is apportioned among the metal paths based on computed parameter values for the corresponding metal path. A reliability analysis for the circuit is performed based on the apportioning. In an embodiment, metal paths which predominantly carry currents with an average value less than a threshold are excluded from being considered as contributors to EM degradation. | 07-23-2009 |
20090193373 | MULTIPLE VOLTAGE THRESHOLD TIMING ANALYSIS FOR A DIGITAL INTEGRATED CIRCUIT - An approach for performing multiple voltage threshold timing analysis for a digital integrated circuit is described. In one embodiment, there is a multiple voltage threshold timing analysis tool for performing a multiple voltage threshold timing analysis of a digital integrated circuit having at least one logic gate loaded by an interconnect circuit. In this embodiment, a characterization data retrieving component is configured to obtain characterization data describing driving behavior of the at least one logic gate. An interconnect circuit model retrieving component is configured to obtain a model of the interconnect circuit. A multiple voltage threshold timing analysis component is configured to derive a sequence of crossing times for the driving point voltage waveform to advance between successive voltage thresholds. The multiple voltage threshold timing analysis component also generates a voltage waveform from the derived sequence of crossing times. | 07-30-2009 |
20090193374 | METHOD OF DESIGNING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE, DESIGNING APPARATUS, AND SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - As a method for considering the adverse influence of the stresses caused form the pad, two sorts of methods are provided. As one method, while delay variation values of cells caused by an adverse influence of stresses are calculated, the calculated delay variation values are applied to the cells so as to perform a timing analysis, and the like by considering the adverse influence of the stresses. Then, in order that a flip chip type LSI is designed by employing a result of the above-described analysis in such a manner that the adverse influence of the stresses applied from the pad is not given to vias, wiring lines, and cells located under the pad, such a physical structure that no via is arranged under the pad is employed. | 07-30-2009 |
20090193375 | MANUFACTURING METHOD, MANUFACTURING PROGRAM AND MANUFACTURING SYSTEM FOR SEMICONDUCTOR DEVICE - The present of the invention provides a method of manufacturing a semiconductor device, including the steps of: acquiring information on a graphic composing a physical layout of a semiconductor integrated circuit; carrying out calculation for a transferred image in the physical layout; carrying out calculation for a signal delay based on the physical layout, and obtaining a wiring not meeting a specification having the signal delay previously set therein; and setting a portion into which a repeater is to be inserted based on at least one result of results obtained from the information on the graphic and calculation for the transferred image, respectively, with respect to the wiring not meeting the specification. | 07-30-2009 |
20090199143 | CLOCK TREE SYNTHESIS GRAPHICAL USER INTERFACE - In one embodiment, a method for performing an analysis of a synthesized clock tree can include: displaying a plurality of variation parameters and one or more analysis values on a display screen; accepting a first signal from a user input device to select one of the variation parameters; accepting a second signal from a user input device to select one or more of the analysis values; and displaying a plurality of pins from the synthesized clock tree with the selected variation parameter and the selected one or more analysis values on the display screen. | 08-06-2009 |
20090199144 | Method of designing semiconductor integrated circuit having function to adjust delay pass and apparatus for supporting design thereof - A power noise cycle is obtained from a dynamic IR drop analysis and a delay of a delay pass is a multiple of the noise cycle. Thereby, a delay increment and a delay decrement of a power noise amount (delay time×power noise amplitude) received when an internal signal of the semiconductor integrated circuit passes through a delay pass circuit are approximately the same. | 08-06-2009 |
20090199145 | Method for Accounting for Process Variation in the Design of Integrated Circuits - A method to simulate an electronic circuit includes determining process parameters and a process variation for each process parameter, and determining a value for each of a plurality of components of the circuit as a function of the process variations. | 08-06-2009 |
20090210839 | TIMING CLOSURE USING MULTIPLE TIMING RUNS WHICH DISTRIBUTE THE FREQUENCY OF IDENTIFIED FAILS PER TIMING CORNER - A method of timing closure for integrated circuit designs uses multiple timing runs which distribute the frequency of identified fails per timing corner (between starting timing corners and remaining timing corners) to maximize efficiency in timing analysis. More specifically, the method closes timing for a chosen set of starting timing corners, verifies the remaining timing corners are orthogonal to the starting timing corners, closes timing for the remaining timing corners using multi-corner analysis, and verifies that all timing corners have positive slack margin. | 08-20-2009 |
20090210840 | Optimization Method of Integrated Circuit Design for Reduction of Global Clock Load and Balancing Clock Skew - A design methodology and algorithms for the computer aided design of integrated circuits having clock distribution networks. The clustering of latch distribution tree components is combined with repositioning of such components within clock sector areas. The movement and clustering of components is such that the timing constraints are preserved. The methods is described in terms of reducing and balancing the load inside each clock sector, although the techniques may also be applied to balancing load between clock sectors. | 08-20-2009 |
20090210841 | STATIC TIMING ANALYSIS OF TEMPLATE-BASED ASYNCHRONOUS CIRCUITS - Effective timing and power characterization flows are described for asynchronous circuits. Verification can be provided for both relative-timing constraints as well as performance (e.g., throughput) targets using commercially-standard STA tools. Fully-automated scripts are developed that verify all relative timing assumptions (e.g., isochronic forks) as well as the gate-level performance of any circuit designed with these templates. Library characterization can be utilized and asynchronous logic cells not found in standard-cell libraries, such as dual-rail domino logic and dynamic C-elements with staticizers, can be characterized in terms of both their timing and power. These values are a function of both input slew and output load and are preferably captured in an industry standard format, such as the Liberty format, before being compatible with commercial STA tools. Using a characterized library, timing correctness and performance of an asynchronous circuit can be analyzed either through back-annotated simulations or preferably static analysis. | 08-20-2009 |
20090217225 | MULTI-MODE MULTI-CORNER CLOCKTREE SYNTHESIS - In one embodiment, a method for building a clock tree for an integrated circuit design is provided. The clock tree may include a clock tree root node and a plurality of clock tree nodes that couple to sink pins for circuit elements of the integrated circuit design. The clock tree nodes may be arranged to distribute the clock signal to the sink pins. In synthesizing the clock tree, the sink pins may be clustered into one or more clusters. Clock tree nodes may be placed for the clock tree to distribute the clock signal to the one or more clusters. Timing information is determined to measure the clock signal delay from the root to the sink pins in the one or more clusters based on the placed one or more clock tree nodes. Different sets of timing information may be determined based on different sets of clock tree timing variation parameters. For example, the clock tree timing variation parameters includes timing information for multiple process corners and/or multiple modes of operation. | 08-27-2009 |
20090217226 | Multiple derating factor sets for delay calculation and library generation in multi-corner STA sign-off flow - An apparatus and method to characterize a new process using an improved delay calculation. Multiple derating factors are used for different STA sign off corners that have a base corner with two pairs of off-corners. The approach of the present invention does not add any extra work in cell library characterization, while in the mean it increases the accuracy of the delay calculation and the library generation at corners other than standard corners. | 08-27-2009 |
20090222779 | METHODS AND APPARATUSES FOR GENERATING A RANDOM SEQUENCE OF COMMANDS FOR A SEMICONDUCTOR DEVICE - Methods and apparatuses for generating a random sequence of commands for a semiconductor device. The method generates random state transitions within a finite state machine model of the semiconductor device. A sequence of commands is determined which are associated to the generated random state transitions based on the finite state machine model of the semiconductor device. | 09-03-2009 |
20090222780 | HALF CYCLE COMMON PATH PESSIMISM REMOVAL METHOD - A design tool for reducing half-cycle common path pessimism includes program instructions storable on a computer readable medium. The program instructions may be executable by a processor to receive a timing report for the IC. For each source clock path and destination clock path of each half-cycle timing path, the design tool may identify common circuit elements, and determine a process, voltage, and temperature (PVT) path delay value corresponding to PVT scaling of each identified common circuit element. The design tool may sum together the PVT path delay values of each identified common circuit element to obtain a total PVT compensation value. The design tool may also generate a new total skew value by subtracting the total PVT compensation value from a total compensated skew value, and generate a corrected timing report that includes the new total skew values. | 09-03-2009 |
20090222781 | METHOD FOR DESIGNING CIRCUIT LAYOUT CAPABLE OF PROPAGATING SIGNALS SYNCHRONOUSLY WITHOUT SIGNIFICANT ALTERATION OF LAYOUT - In a circuit layout design method for designing an integrated circuit having signal lines synchronously propagating signals, delay correction cells having provisional values are inserted into the signal lines extending from respective output drivers to corresponding output pads for a set of signals to be synchronized in the designed original circuit. Based on the circuit data having the delay correction cells thus inserted, a layout is designed, based on which resistance values for those respective signal lines are calculated. The resistance value for the respective delay correction cells are corrected so as to equalize the resistance values for those signal lines, and the layout pattern is corrected based on the circuit data having the corrected delay correction cells. | 09-03-2009 |
20090235217 | METHOD TO IDENTIFY TIMING VIOLATIONS OUTSIDE OF MANUFACTURING SPECIFICATION LIMITS - A method of evaluating an integrated circuit design selects manufacturing parameters of interest which are outside of manufacturing specification limits. Then, the method runs timing tests on the integrated circuit design and successively evaluates the timing test results in an iterative process that considers the timing performance sensitivity to the selected manufacturing parameters of interest. The design is made more robust to each parameter out of manufacturing range. | 09-17-2009 |
20090235218 | TESTING PHASE ERROR OF MULTIPLE ON-DIE CLOCKS - The phase relationship between two clock signals in an integrated circuit (IC) is determined by transforming each of the clock signals into a data word, where bit transitions in the data word represent signal transitions in the clock signal, and comparing the two data words. For example, in an IC having a de-serializer as part of its input/output logic, the clocks are sequentially multiplexed into the de-serializer, which transforms the clocks into parallel-format data words. The resulting words corresponding to the first and second clock signals can then be compared to determine clock signal transition differences and thus the phase relationship between the corresponding clocks signals. | 09-17-2009 |
20090241078 | METHODS FOR CONSERVING MEMORY IN STATISTICAL STATIC TIMING ANALYSIS - A method is provided for memory conservation in statistical static timing analysis. A timing graph is created with a timing run in a statistical static timing analysis program. A plurality of nodes in the timing graph that are candidates for a partial store and constraint points are identified. Timing data is persistently stored at constraint points. The persistent timing data is retrieved from the constraint points and used to calculate intermediate timing data at the plurality of nodes during timing analysis. | 09-24-2009 |
20090241079 | METHOD AND SYSTEM FOR ACHIEVING POWER OPTIMIZATION IN A HIERARCHICAL NETLIST - The invention generally relates to integrated circuit design, and more particularly to systems and methods for providing power optimization in a hierarchical netlist. A method includes generating a hierarchical netlist of the design, wherein the design includes a plurality of macros. The method also includes determining the timing slack of each path of the design. For each pin of each one of the plurality of macros, the method includes: determining the worst timing path; determining the slack value of the worst timing path; determining the subset of macros of the plurality of macros associated with the worst timing path; determining an apportionment parameter for each one of the subset of macros; determining a distribution of the slack amongst the subset of macros based upon the respective apportionment parameters; and adjusting timing assertions for each one of the subset of macros based upon the distribution of the slack. | 09-24-2009 |
20090241080 | SETUP AND HOLD TIME CHARACTERIZATION DEVICE AND METHOD - A method of characterizing a device under test (DUT) includes determining a goal function associated with a setup and hold time for the DUT. A minimum value for the goal function is determined by iteratively adjusting setup and hold times for input data to the DUT, and determining whether the DUT performs according to specifications. The minimum goal function value will reflect minimum setup and hold time values based on weights associated with the goal function. This allows the minimum setup and hold times for the DUT to be characterized with a small number of binary searches, improving the speed of the characterization process. | 09-24-2009 |
20090241081 | REVERSE DONUT MODEL - A pruning algorithm for generating a reverse donut model (RDM) for running timing analysis for a block in an IC includes logic to reduce a hierarchical model of the IC to a single level flat model. A block from a plurality of blocks that make up the IC is identified from the single level flat model of the IC. The pruning algorithm is further used to initialize a timer and to define timing constraints associated with each of a plurality of input and output pins associated with the identified block. A RDM for the identified block is generated by identifying and including connectivity information associated with a plurality of input and output pins in an outer boundary of the identified block and at least one layer of interface connection between each of the plurality of input and output pins in the outer layer of the identified block and one or more circuit elements external to the identified block in the IC interfacing with each of the plurality of input and output pins in the identified block. The generated RDM acts as a blackbox for the identified block and is used in place of the identified block for running the timing analysis. | 09-24-2009 |
20090249270 | METHODS FOR PRACTICAL WORST TEST DEFINITION AND DEBUG DURING BLOCK BASED STATISTICAL STATIC TIMING ANALYSIS - Methods for analyzing timing of an integrated circuit using block-based static statistical timing analysis and for practical worst test definition and debug. The method includes building a timing graph, determining a slack for each of the nodes in the timing graph, and identifying a statistically worst slack for at least one of the nodes. The method further includes replacing this statistically worst slack with a proxy worst slack. | 10-01-2009 |
20090249271 | MICROCONTROLLER, CONTROL SYSTEM AND DESIGN METHOD OF MICROCONTROLLER - Two data processing units having the same function, one of which is used for a master and the other for comparison, are provided, control of a circuit unit is performed by the master, the master data processing unit and the circuit unit are operated in synchronization with a first clock signal, the second data processing unit is operated in synchronization with a second clock signal having the same cycle and different phase from the first clock signal, and processing results of both the data processing units are compared in a comparison circuit. Flip flops are disposed on a signal path from the circuit unit to the comparison data processing unit and on a signal path from the master data processing unit to the comparator, and both the first and second clock signals are used for latch clocks of the flip flops in accordance with input signals thereof. | 10-01-2009 |
20090249272 | STATISTICAL TIMING ANALYZER AND STATISTICAL TIMING ANALYSIS METHOD - A statistical timing analyzer comprises a statistical static-timing analyzing unit that performs a statistical static timing analysis of a semiconductor integrated circuit; a corner-condition determining unit that determines corner conditions of the semiconductor integrated circuit based on a result of the statistical static timing analysis; and a path-timing analyzing unit that performs a static timing analysis of the semiconductor integrated circuit based on the corner conditions. | 10-01-2009 |
20090254874 | METHODS AND SYSTEMS FOR PLACEMENT AND ROUTING - Techniques for placement of integrated circuit elements include global placement, detailed placement, timing closure, and routing. The integrated circuit is described by a netlist specifying interconnections of morphable devices. The detailed placement uses, for example, Simultaneous Dynamical Integration, wherein the morphable-devices correspond to nodes influenced by forces, including timing forces. The timing forces are derived, for example, from a timing graph; path delay; slack; and drive resistance of the elements. The timing closure uses timing-driven buffering and timing-driven resizing to reduce maximum delay and/or transition time, and/or to fix hold time. Nets having high capacitance and/or fanout, and timing critical nets are preferentially processed. Timing-driven buffering applies buffering solutions to segments of route trees, combines solutions of adjoining segments, and prunes sets of solutions. Timing-driven resizing morphably replaces selected elements with upsized versions thereof. | 10-08-2009 |
20090259979 | DESIGN TOOL AND METHOD FOR AUTOMATICALLY IDENTIFYING MINIMUM TIMING VIOLATION CORRECTIONS IN AN INTEGRATED CIRCUIT DESIGN - A design tool for automatically identifying minimum timing violation corrections in an integrated circuit (IC) design includes program instructions executable by a processor to identify locations to add a delay along each circuit path having a minimum timing violation. The tool may also sequentially try each of a plurality of circuit changes that add the delay and to evaluate a result of each circuit change until an acceptable percentage of the minimum timing violation has been corrected. In response to each circuit change, the design tool may update an internal node report, which includes a listing of circuit nodes and a maximum timing slack available at each node, by reducing a maximum slack value of each affected node by an amount of the added delay. The design tool may generate an output report that includes a listing of the circuit changes which correct the minimum timing violations. | 10-15-2009 |
20090265674 | METHODS FOR IDENTIFYING FAILING TIMING REQUIREMENTS IN A DIGITAL DESIGN - Methods for identifying failing timing requirements in a digital design. The method includes identifying at least one timing test in the digital design that has a passing slack in a base process corner and a failing slack in a different process corner. The method further includes computing a sensitivity of the failing slack to each of a plurality of variables and comparing each sensitivity to a respective sensitivity threshold. If the sensitivity of at least one of the variables is greater than the respective sensitivity threshold, then the at least one timing test is considered to fail. | 10-22-2009 |
20090271750 | TIMING CONSTRAINT MERGING IN HIERARCHICAL SOC DESIGNS - A method for propagating timing constraints from lower level design blocks to higher level design blocks includes o the steps of designing a circuit containing a plurality of design blocks. Each of the plurality of design blocks has a set of timing constraints associated therewith. A composite set of timing constraints is created for the circuit from each of the set of timing constraints associated with each of the plurality of design blocks, according to an established propagation rule set. | 10-29-2009 |
20090271751 | METHOD AND APPARATUS FOR STATISTICAL PATH SELECTION FOR AT-SPEED TESTING - In one embodiment, the invention is a method and apparatus for statistical path selection for at-speed testing. One embodiment of a method for selecting a path of an integrated circuit chip for at-speed testing includes computing a process coverage metric for a plurality of paths in the integrated circuit chip and selecting at least one path that maximizes the process coverage metric. | 10-29-2009 |
20090276743 | SYSTEM AND METHOD FOR COMPUTING PROXY SLACK DURING STATISTIC ANALYSIS OF DIGITAL INTEGRATED CIRCUITS - A method of optimizing timing of signals within an integrated circuit design using proxy slack values propagates signals through the integrated circuit design to output timing signals. For early mode timing analysis, the method sets an early proxy slack value to zero if the late slack value is less than zero. Otherwise, if the late slack value is not less than zero, the method restricts the early proxy slack value to a maximum of the early slack value and the negative of the late slack value. To the contrary, for late mode timing analysis, the method sets a late proxy slack value to zero if the early slack value is less than zero. Otherwise, if the early proxy slack value is not less than zero, the method restricts the late proxy slack value to a maximum of the late slack value and the negative of the early slack value. | 11-05-2009 |
20090276744 | OPERATION TIMING VERIFYING APPARATUS AND PROGRAM - An operation timing verifying apparatus and program for accurately verifying operation timings of a semiconductor integrated circuit in design with suppressing design time and cost. The operation timing verifying apparatus and program sets an unreal corner condition that all delay elements present a maximum delay as an operating condition, performs operation timing analysis in the operating condition, thereby extracting an operation-violating circuit path, if any, from a circuit layout, sets a real corner condition that at least one element type of delay elements from among the delay elements present a maximum delay as the operating condition and performs the operation timing analysis on only the operation-violating circuit path to determine again whether an operation violation exists therein. | 11-05-2009 |
20090276745 | DUMMY METAL INSERTION PROCESSING METHOD AND APPARATUS - A method includes: before carrying out a timing verification processing of a semiconductor circuit, preliminarily superposing and arranging a dummy pattern template representing an arrangement pattern of dummy metal, onto a layout area defined by layout data while changing an origin position of the dummy pattern template to optimize the origin position of the dummy pattern template; and upon detecting that the result of the timing verification processing has no problem, superposing and arranging the dummy pattern template onto the layout area at the origin position of the dummy pattern template, to generate the layout data after inserting the dummy metal. | 11-05-2009 |
20090276746 | Circuit analysis method, semiconductor integrated circuit manufacturing method, circuit analysis program and circuit analyzer - To perform a timing analysis at a high analysis accuracy while reducing a TAT. A circuit analyzer according to the present invention performs a timing analysis on a design target circuit after a layout change. The circuit analyzer includes a storage device in which an extraction range reference is set, an extraction range setting unit and a timing analysis unit. The extraction setting unit sets the extraction range reference including a layout-changed portion, as a parasitic element extraction target range. The timing analysis unit performs a timing analysis by using, as an analysis target, a predetermined range including a parasitic element extracted from the extraction target range. | 11-05-2009 |
20090282376 | SIMULATION SYSTEM - An extraction section extracts, in simulation of an operation of a circuit when it is assumed that a delay does not occur in a combination logic circuit, based on circuit information indicating a circuit configuration of the circuit including a first flipflop and a second flipflop to which an output signal of the first flipflop is input without passing any other flipflop therebetween, a pair of a first timing at which an output signal of the first flipflop is changed and a second timing at which an output signal of the second flipflop is changed due to the change of the output signal of the first flipflop, a pair of a first timing at which an output signal of the first flipflop is changed and a second timing at which an input signal of the second flipflop is changed due to the change of the output signal of the first flipflop, or a pair of a first timing at which an input signal of the second flipflop is changed and a second timing at which an output signal of the second flipflop is changed due to the change of the input signal of the second flipflop. An output section outputs information corresponding to a clock cycle number from the extracted first timing to the extracted second timing, based on a result of the extraction of the extraction section. | 11-12-2009 |
20090282377 | VERIFICATION SUPPORT APPARATUS, VERIFICATION SUPPORT METHOD, AND COMPUTER PRODUCT - An effective data amount and a power index of a module selected from a design target circuit are extracted from a time-series table DB for each clock cycle. Time periods during which the effective data amount is “0” and there is a high possibility of improving power consumption, are identified. It is determined whether a first simulation result from the design target circuit and a second simulation result from the design target circuit into which a control circuit has been inserted to stop the supply of a clock to the module continuously for the identified time periods coincide. Then, if the first and the second simulation results coincide, the time periods are determined as targets to which clock gating is applicable. | 11-12-2009 |
20090282378 | Semiconductor device design support apparatus and semiconductor device design support method - A semiconductor device design support apparatus comprises: an input unit ( | 11-12-2009 |
20090288050 | Statistical delay and noise calculation considering cell and interconnect variations - The electrical circuit timing method provides accurate nominal delay together with the delay sensitivities with respect to different circuit elements {e.g., cells, interconnects, etc.) and variational parameters (e.g., process variations; environmental variations). All the sensitivity computations are based on closed-form formulas; as a consequence, the method provides rapidly and at low cost high accuracy and high numerical stability. | 11-19-2009 |
20090288051 | METHODS FOR STATISTICAL SLEW PROPAGATION DURING BLOCK-BASED STATISTICAL STATIC TIMING ANALYSIS - Methods for statistical slew propagation in static statistical timing analysis. The method includes projecting a canonical approximation of an input slew over a timing path to a first corner and using the projected input slew to calculate a delay and an output slew at the first corner. The method further includes perturbing the canonical approximation of the input slew to a different corner, calculating a delay and an output slew at the different corner using the perturbed input slew canonical, and determining a sensitivity of the delay and the output slew to a plurality of parameters, simultaneous with implicit sensitivity calculations to the input slew, with finite difference calculations between the first corner and perturbed data. | 11-19-2009 |
20090288052 | METHOD AND APPARATUS FOR ANALYZING CIRCUIT - In a circuit analyzing method, coordinate points of nodes in an analysis target circuit are detected from layout data of the analysis target circuit to store in a storage unit, and a minimum area from among areas is specified by referring to a storage unit to read out the coordinate points of the nodes and by defining the areas containing all the nodes based on the read coordinate points of the nodes. A distance parameter prescribing a size of the minimum area is calculated, a variation coefficient is specified by using the distance parameter. Thus, a delay time in the analysis target circuit is calculated by using the variation coefficient. | 11-19-2009 |
20090293029 | SYSTEMATIC APPROACH FOR PERFORMING CELL REPLACEMENT IN A CIRCUIT TO MEET TIMING REQUIREMENTS - An improved, systematic approach is provided for automatically determining which cells in a circuit should be replaced to satisfy timing adjustment requirements (TAR's), and automatically replacing the cells with replacement cells to meet the TAR's. With the improved approach, there is a high likelihood that an optimal replacement scheme will be found which requires the fewest number of cells to be replaced while still satisfying all of the TAR's. | 11-26-2009 |
20090293030 | Concurrently Modeling Delays Between Points in Static Timing Analysis Operation - An apparatus, program product and method perform static timing analysis on an integrated circuit design by concurrently modeling a plurality of timing delays associated with a connection between points in the design. The delays are conveyed in multiple clock signals of a single timing run of a static timing analysis operation. Multiple paths comprising logical user defined delay segments are assigned different delays. Only one signal may be permitted to propagate along each path. | 11-26-2009 |
20090293031 | Replicating Timing Data in Static Timing Analysis Operation - An apparatus, method and program product create multiple copies of a clock signal, or phase, to analyze timing operations within a single timing run of a static timing analysis operation. At least one path comprising logical user defined delay segments and a timing point may be associated with both a common point and no delay. An original clock signal may propagate along the logical path without incurring delay until arriving back at the common point, along with the original signal. All other clocks may be ignored or prevented from propagating long the path. Multiple replicated copies may be accomplished without requiring additional hardware. | 11-26-2009 |
20090293032 | METHOD AND APPARATUS FOR CIRCUIT DESIGN AND RETIMING - Methods and apparatuses to hierarchically retime a circuit. In at least one embodiment of the present invention. a module of a circuit is designed with a plurality of different latencies to have a plurality of different minimum clock periods (e.g., through retiming at the module level). In one example, the minimum clock periods are determined from detailed timing analyses after the placement and routing for the module; and, in retiming the circuit that contains the module, a data flow graph representation of the module is constructed based on the target clock period of the circuit and the correlation between the latencies and the minimum clock periods. In at least one embodiment of the present invention, hierarchical retiming is performed in which portions of the circuit is retimed to generate results (e.g., for different latencies), which are selectively used for the retiming of the entire circuit based on the target clock period. | 11-26-2009 |
20090293033 | System and method for layout design of integrated circuit - A layout design system is provided with a storage device, a design processor, and an output device. The storage device stores interconnection-routed layout data of an integrated circuit. The design processor detects an interconnection violating a timing constraint based on the interconnection-routed layout data and modifies the interconnection-routed layout data so as to provide a space around the detected interconnection and to change a width of the detected interconnection by using the provided space. The output device outputs the modified interconnection-routed layout data. | 11-26-2009 |
20090300565 | METHOD FOR PRIORITIZING NODES FOR REROUTING AND DEVICE THEREFOR - A system and methods are disclosed to prioritize circuit nodes that interconnect the device components of an electronic device design for rerouting. The prioritized nodes can be used to focus effort on improving the quality of signal nodes in an efficient manner. Re-routable nodes are first identified by comparing the signal propagation time delay of each node in the design to an ideal propagation time delay of an ideal route of that node, and selecting the nodes that have a deviation from the ideal delay that exceeds a specified threshold. Once the set of re-routable nodes is identified, each node is then prioritized based on the propagation time delay of a complete path encompassing that node. These nodes can then be re-routed based upon their associated priority. | 12-03-2009 |
20090307645 | METHOD AND SYSTEM FOR ANALYZING CROSS-TALK COUPLING NOISE EVENTS IN BLOCK-BASED STATISTICAL STATIC TIMING - A method of performing statistical timing analysis of a logic design, including effects of signal coupling, includes performing a deterministic analysis to determine deterministic coupling information for at least one aggressor/victim net pair of the logic design. Additionally, the method includes performing a statistical timing analysis in which the deterministic coupling information for the at least one aggressor/victim net pair is combined with statistical values of the statistical timing analysis to determine a statistical effective capacitance of a victim of the aggressor/victim net pair. Furthermore, the method includes using the statistical effective capacitance to determine timing data used in the statistical timing analysis. | 12-10-2009 |
20090313592 | METHOD TO DESIGN NETWORK-ON-CHIP (NOC) - BASED COMMUNICATION SYSTEMS - A method to design a Networks on Chips (NoCs)-based communication system for connecting on-chip components in a multicore system, said system comprising several elements communicating through the communication system, said communication system comprising at least switches, said method comprising the steps of modelling the applications running on the multicore system, establishing the number and configuration of switches to connect the elements, establishing physical connectivity between the elements and the switches, for each two pairs of communicating elements: (a) a defining a communication path, (b) calculating metrics as affected by the need to render said path into physical connectivity, taking into account any previously defined physical connectivity, (c) iterating the steps a and b for a plurality of possible paths, (d) choosing the path having the optimal metrics, and (e) establishing any missing physical connectivity between the switches so that the selected optimal path occurs across physically connected switches. | 12-17-2009 |
20090313593 | Semiconductor integrated circuit design method and semiconductor integrated circuit design apparatus - A semiconductor integrated circuit design method includes referring to a best worst coefficient file which stores variation coefficients of capacitance and resistance in each of plural wiring layers under a best condition and a worst condition to form a wiring which is a critical path in a first layer with the smallest variation out of the plural wiring layers, extracting capacitance and resistance corresponding to a wiring layout of the plural wiring layers as a capacitance resistance file, referring to the capacitance resistance file and the best worst coefficient file to generate a best worst capacitance resistance file where capacitance and resistance are defined with taking into consideration the variation on the wiring in each of the plural wiring layers, and performing timing verification of the wiring which is the critical path on the basis of the best worst capacitance resistance file. | 12-17-2009 |
20090319972 | COMPUTER PROGRAM AND APPARATUS FOR EVALUATING SIGNAL PROPAGATION DELAYS - A computer executes a signal delay evaluation program to determine whether reference levels used to define slew rates of a first circuit block are different from those used for a second circuit block that receives an output signal from the first circuit block. The computer corrects an output slew rate of the output signal supplied from the first circuit block to the second circuit block, based on a difference in the reference levels that is found between the first and second circuit blocks. | 12-24-2009 |
20090327985 | HIGHLY THREADED STATIC TIMER - Various methods and apparatus for executing a multithreaded algorithm that performs a static timing analysis of an integrated circuit chip (chip) include logic for traversing the chip to identify a plurality of components (cells or nodes) within a chip circuit of the chip. A waveform graph is defined for the identified nodes. One or more virtual graphs are generated from the waveform graph. The plurality of nodes in the one or more virtual graphs are processed using multiple threads to obtain quadruplet of time domain dataset values representing the different modes of propagation for each node. A timing check is performed at an end node of the virtual graphs using the quadruplet of time domain dataset values to determine any timing violation within the chip design. | 12-31-2009 |
20090327986 | GENERATING RESPONSES TO PATTERNS STIMULATING AN ELECTRONIC CIRCUIT WITH TIMING EXCEPTION PATHS - Improved responses can be generated to scan patterns (e.g., test patterns) for an electronic circuit designs having timing exception paths by more accurately determining the unknown values that propagate to observation points in the circuit, where the response is captured. For instance, the responses are determined more accurately by analyzing the effect of sensitizing a timing exception path during each time frame associated with a scan pattern. Path sensitization can be determined based on observing whether values injected at starting points of the timing exception paths due to signal transitions and glitches propagate to their end points. The response can be updated by masking the affected end points and propagating unknown values further in the circuit to determine whether they are captured at observation points of the circuit. For instance, the methods and systems described herein may result in reduced unknowns, improved test coverage and test compression. | 12-31-2009 |
20100005435 | SYSTEM AND METHOD FOR MODELING I/O SIMULTANEOUS SWITCHING NOISE - The invention generally relates to systems and methods for modeling I/O simultaneous switching noise, and, more particularly, to systems and methods for modeling I/O simultaneous switching noise in a selected chip window area while accounting for the effect of current sharing among neighbors. A method includes determining a current sharing factor of areas of an integrated circuit (IC) chip package, and determining an offload scaling factor of the IC chip package based upon the current sharing factor and numbers of I/O devices in neighboring areas of the IC chip package. | 01-07-2010 |
20100023904 | Method and Apparatus for Generating Memory Models and Timing Database - A method and apparatus are provided for creating and using a memory timing database. A plurality of characterization memories are defined, which can be mapped to a memory resource. Each characterization memory has different memory parameters. A plurality of variants of tiling each characterization memory to the memory resource are also defined. Timing characteristics of each tiling variant of each characterization memory are stored in the memory timing database for the memory resource based on sets of input ramptimes and output loads. | 01-28-2010 |
20100031209 | Partial Timing Modeling for Gate Level Simulation - Various apparatuses, methods and systems for creating an integrated circuit and performing a gate level simulation of a circuit are disclosed herein. For example, some embodiments of the present invention provide a system for performing a gate level simulation of a circuit including a computer system, a design verification tool and an output device. The design verification tool, executable on the computer system, includes a simulator and a partial timing model generator. The partial timing model generator is operable to generate a representation of the circuit for simulation by cutting a first portion of a circuit out of a full gate level netlist for the circuit and leaving a second portion of the circuit represented by the full gate level netlist, and to overlay a simplified representation of the first portion of the circuit over the representation of the circuit. The first portion of the circuit is cut out at timing paths. The simulator is operable to perform a gate level simulation of the circuit based on the representation of the circuit. The output device is connected to the computer system and is operable to provide an indication of a result of the gate level simulation of the circuit. | 02-04-2010 |
20100037192 | DELAY PERIOD ANALYZING APPARATUS, DELAY PERIOD ANALYZING METHOD, AND DELAY PERIOD ANALYZING PROGRAM - A apparatus for analyzing a delay in path between flip-flops, including: a calculator that performs delay calculation and generates a delay calculation result on wiring and layout of logic circuits; a analyzer that performs delay analysis for each delay calculation results, and generates delay analysis results for paths by adding delay of logic elements and flip-flops, and by multiplying the sum calculated by a scattering coefficient; a sorter that stores delay analysis results for paths, thereby generating a maximum delay sorting result; a probability calculator that generates probability density functions for paths on a condition by performing processing in which a path is selected from paths in order of maximum delay on the maximum delay sorting result, and a probability density function is generated for the path selected between the flip-flops; and a value calculator that performs maximum value calculation for the probability density functions for all the paths. | 02-11-2010 |
20100037193 | METHOD OF CORRECTING PATTERN LAYOUT - A method of correcting a pattern layout includes: executing a process simulation under a plurality of conditions in which variations in a process parameter for forming a pattern corresponding to a design layout on a substrate are reflected, thereby estimating a plurality of finished patterns of the pattern; calculating dimensions of the plurality of the finished patterns; calculating a statistical amount from the calculated dimensions; comparing the statistical amount with a preset specification; calculating a correction amount when the specification is not satisfied; and correcting the design layout based on the calculated correction amount. | 02-11-2010 |
20100042962 | Structure for Couple Noise Characterization Using a Single Oscillator - A design structure for a computer-aided design system for generating a functional design model of an integrated circuit design (having nets comprising wires) determines critical parameters for coupling noise between the wires of the nets and acceptable limits for the critical parameters. Further, methods herein include designing a ring oscillator to have stages, each of the stages measuring only one of the critical parameters. This ring oscillator is then included within an integrated circuit design and associated design structure. The embodiments herein produce an integrated circuit according to this integrated circuit design and operate the ring oscillator within the integrated circuit to measure the critical parameters of the integrated circuit and produce test results. These test results are output to determine whether the test results are within the acceptable limits. | 02-18-2010 |
20100050140 | Layout Method for a Chip - A layout method is provided, adaptable to place cell on a chip. Firstly, a chip area is assigned for a floor plan. A global reservation deployment process is then performed to define a plurality of room units to be uniformly distributed on the chip area. Cells are placed on the chip based on the floor plan. The chip area is categorized into at least a high frequency region and a low frequency region according to operation frequencies of the placed cells thereon. A frequency based reservation deployment process is then performed to move one or more room units distributed in the low frequency region toward the high frequency region. A local cell replacement process, a routing and timing analysis are performed. If hotspots are induced, room units around the hotspots are redistributed, and then the steps of local cell replacement, routing and timing analysis are repeated. | 02-25-2010 |
20100050141 | TIMING ANALYZING APPARATUS, TIMING ANALYZING METHOD AND PROGRAM THEREOF - A timing analyzing apparatus according to an exemplary aspect of the invention includes, a storage apparatus which stores a global clock list including information on clock paths inside and outside a partial area of an electronic circuit, and a post layout processing area netlist which is a netlist of the partial area after layout processing of circuits therein is executed; and a timing analyzing unit which calculates the clock skew between two points on the circuits in the partial area, neglecting the clock delay of a common part outside thereof of two clock paths from the clock source, located outside thereof in the electronic circuit, to the two points (CRPR calculation), to judge whether the delay of a clock path and a signal path of the electronic circuit satisfies timing constraints using the calculated clock skew. | 02-25-2010 |
20100050142 | SPECIAL ENGINEERING CHANGE ORDER CELLS - A method for correcting a plurality of violations in a circuit design and new cells used in the method are disclosed. The method generally includes the steps of (A) implementing a first engineering change order in the circuit design to correct a first of the violations, (B) implementing a second engineering change order with a special cell to correct a second of the violations, the special cell having a plurality of interfaces available for a signal path associated with the second violation, each of the interfaces having a characteristic appropriate to correct the second violation, each of the characteristics having a different performance and (C) routing the signal path to one of the interfaces to fix the second violation. | 02-25-2010 |
20100070941 | Achieving Clock Timing Closure in Designing an Integrated Circuit - Achieving clock timing closure in designing an integrated circuit involves virtually synthesizing a clock network for the integrated circuit design to generate virtual clock buffering in the clock network before a point in the design flow at which the clock network is actually synthesized and committed to a netlist. Timing violations are determined for clock gates generated by the virtual clock buffering. Clock gating transforms are evaluated for the clock gates having the timing violations, based on recalculated clock and data path delays, to incrementally virtually synthesize the clock network. The clock gating transforms that result in the best timing gains are committed to the netlist. The clock network is then actually synthesized for the integrated circuit design, and design changes, due to the actual clock network synthesis, are committed to the netlist. | 03-18-2010 |
20100077368 | Method for Bounded Transactional Timing Analysis - A portion of a gate-level netlist representing an integrated circuit design is selected for optimization. A timing window representing the selected portion is made comprising one or more copies of the selected portion. A checkpoint is created for the timing window and stored in a transaction history. One or more changes are then made to the timing window and stored in the transaction history. The changed elements are marked as dirty and stored in the transaction history. After the one or more changes have been made, the timing window is queried for current timing conditions and compared with the checkpoint. If the one or more changes are an improvement, the one or more changes are committed by replicating the one or more changes to the portion of the gate-level netlist. If the one or more changes are not an improvement, the timing window may be restored to the checkpoint. | 03-25-2010 |
20100083202 | METHOD AND SYSTEM FOR PERFORMING IMPROVED TIMING WINDOW ANALYSIS - A method, system, and computer program product are disclosed for performing crosstalk analysis using first-order parameterized analysis modeling. The approach can be used to factor in the effect of process variations within the definition of timing windows. This approach allows one to bypass the simplistic assumptions related to best-case/worst-case analysis using timing windows, and provide a realistic picture of the impact of timing windows on noise analysis. The timing windows can be viewed in terms of the individual process parameter. The process parameters could be real process parameters, or virtual/computed components based on the actual process parameters. The process parameters can be used to compute overlap of timing windows for performing noise analysis. | 04-01-2010 |
20100083203 | Modeling System-Level Effects of Soft Errors - Mechanisms for modeling system level effects of soft errors are provided. Mechanisms are provided for integrating device-level and component-level soft error rate (SER) analysis mechanisms with micro-architecture level performance analysis tools during a concept phase of the IC design to thereby generate a SER analysis tool. A first SER profile for the IC design is generated by applying the SER analysis tool to the IC design. At a later phase of the IC design, detailed information about SER vulnerabilities of logic and storage elements within the IC design are obtained and the first SER profile is refined based on the detailed information about SER vulnerabilities to thereby generate a second SER profile for the IC design. Modifications to the IC design are made at one or more phases of the IC design based on one of the first SER profile or the second SER profile. | 04-01-2010 |
20100083204 | VERIFICATION SUPPORT APPARATUS, VERIFICATION SUPPORT METHOD, AND COMPUTER PRODUCT - A computer-readable recording medium stores therein a verification support program that causes a computer to execute receiving a hardware description of a sequential circuit to be verified and a timing specification that indicates a timing constraint in the hardware description; converting the hardware description into a control flow graph that expresses a flow of control in the sequential circuit; indentifying, from the control flow graph and as a combination of conditional branch descriptions having a hierarchical relation, conditional branch descriptions that are connected in parallel; extracting, from among identified combinations of conditional branch descriptions, a combination having a potential to satisfy specified conditions; creating a simulation program that, at a timing satisfying the timing specification, causes the conditional branch descriptions included in the extracted combination to satisfy the specified conditions; and outputting, as assertion information of the sequential circuit, the simulation program created at the creating. | 04-01-2010 |
20100083205 | TIMING ANALYZING SYSTEM FOR CLOCK DELAY - A timing analyzing system includes an RC extracting section configured to generate an SPEF (Standard Parasitic Exchange Format) file which contains resistance and capacitance components of wirings; a delay calculating section configured to generate an SDF (Standard Delay Format) file based on the SPEF file; and a clock mesh calculating section configured to generate a corrected circuit model by simplifying a netlist on a clock path to pass through a clock mesh structure from an input stage. A timing analysis section is configured to perform timing analysis of a semiconductor integrated circuit of an analysis target based on the corrected circuit model. | 04-01-2010 |
20100083206 | Clock signal providing circuit designing method, information processing apparatus and computer-readable information recording medium - A clock signal providing circuit designing method for designing a clock signal providing circuit includes grouping circuit elements into a plurality of circuit groups each including a plurality of circuit elements, calculating an evaluation index value for each of the plurality of circuit groups and summing up calculated evaluation index values to obtain a first sum total, exchanging or moving provisionally at least one circuit element between the plurality of circuit groups, calculating an evaluation index value for each of the plurality of circuit groups and summing up calculated evaluation index values to obtain a second sum total, determining whether the second sum total decreases from the first sum total, fixing the circuit element provisionally exchanged or moved when the second sum total decreases from the first sum total and cancelling the circuit element provisionally exchanged or moved when the second sum total increases from the first sum total. | 04-01-2010 |
20100095259 | Circuit Timing Analysis Incorporating the Effects of Temperature Inversion - Methods and apparatus for increasing the accuracy of timing characterization of a circuit including one or more cells in a cell library are provided. One method includes the steps of: performing cell library timing characterization for each of the cells in the circuit for at least first and second prescribed temperatures, the first and second temperatures corresponding to first and second PVT corners, respectively, in the cell library; calculating respective cell delays for the one or more cells in the circuit, the cell delay calculation being a function of temperature for each instance of the one or more cells; and incorporating the cell delay calculation into the timing characterization for each of the cells in the circuit to thereby increase the accuracy of the timing characterization. | 04-15-2010 |
20100095260 | Reducing Path Delay Sensitivity to Temperature Variation in Timing-Critical Paths - A method for reducing path delay sensitivity to temperature variation in a circuit is provided. The method includes the steps of: identifying at least one timing-critical path in the circuit, the path including a plurality of circuit cells coupled between an input and an output of the path; determining a temperature slope coefficient of the path; when the slope coefficient is negative, increasing the slope coefficient by controlling at least one characteristic of at least one of the cells in the path; and when the slope coefficient is positive, decreasing the slope coefficient by controlling at least one characteristic of at least one of the cells in the path. | 04-15-2010 |
20100095261 | Timing analysis apparatus and timing analysis method - A apparatus includes: an acquisition section that acquires information on a plurality of paths which let signals propagate in the integrated circuit in descending order of propagation time; a path capability distribution calculation section that calculates, based on the acquired information on the plurality of paths, path capability distribution; an integrated circuit capability distribution calculation section that performs a statistical operation based on the path capability distribution and on first integrated circuit capability distribution, and determines the result of the statistical operation as second integrated circuit capability distribution; and an evaluation section that calculates a parameter representing a difference between the first integrated circuit capability distribution and the second integrated circuit capability distribution, and repeats the process of the acquisition section, the process of the path capability distribution calculation section, and the process of the integrated circuit capability distribution calculation section until the parameter satisfies a predetermined condition. | 04-15-2010 |
20100115482 | Method for Specifying and Validating Untimed Nets - In accordance with an aspect of the present invention, the method for specifying a portion of a circuit design to be treated as untimed by static timing analysis is performed on the RTL design by means of an attribute annotation. The process is operable to map through to the Physical Design by correlating latches and chip-level nets. This allows the testing process to become closed-loop. Design and simulation time is also greatly reduced due to the accessibility of RTL design. | 05-06-2010 |
20100122228 | METHOD AND SYSTEM FOR CONDUCTING DESIGN EXPLORATIONS OF AN INTEGRATED CIRCUIT - Method and system for conducting design explorations of an integrated circuit are disclosed. In one embodiment, the method includes obtaining a design description of the integrated circuit that includes a virtual design block, creating a representative netlist for representing the virtual design block, where the representative netlist includes one or more soft design models, and each soft design model comprises one or more template cells for modeling a portion of the integrated circuit. The method further includes defining physical attributes for the one or more soft design models in accordance with area requirements of the virtual design block, where the one or more soft design models are described with flexible shape and pin locations, performing design explorations of the integrated circuit using the one or more soft design models and their corresponding template cells, and generating a representative implementation of the integrated circuit using results of the design explorations. | 05-13-2010 |
20100131910 | Simulating Scan Tests with Reduced Resources - An aspect of the present invention replaces memory elements in a scan chain with corresponding new (memory) elements, with each new element having two paths to provide the corresponding data output. One of the two paths is operable to connect the data value to the combinational logic only during a capture phase of said test mode, and the second path is operable to connect the data value to the next element in the chain during a shift phase of said test mode. As a result, unneeded transitions/evaluations in the combinational logic are avoided during shift time, thereby reducing the resource requirements in the corresponding duration. However, the further processes (including various design phases and fabrication) are continued based on the original data (i.e., without the new elements) such that unneeded delays are avoided during the eventual operation in functional mode of the various fabricated IC units. | 05-27-2010 |
20100131911 | Method and system for High Speed and Low Memory Footprint Static Timing Analysis - The invention provides a method and system for performing Static Timing Analysis on SoC (System on a Chip) designs. The invention solves a longstanding problem with timing analysis of designs, namely, the ability to multi-thread the design under analysis. The invention provides for slicing a design into levels, further decomposing each level into gates, and the multi-threaded processing of gates so that the solution of large design analysis is generated significantly faster than current approaches. Further, the invention provides that only one level exists in the RAM at any time. Once the arrival time on the level is computed, the data is saved to disk immediately. Because the memory footprint is sub-linear to the size of the design, entire system-on-a chip designs may be nm on inexpensive, off-the-shelf hardware. | 05-27-2010 |
20100138801 | System and Method for Detecting a Defect - A system and a method for detecting a defect, capable of extracting a defect occurring depending on finishing accuracy required for circuit operation are provided. The system includes a timing analyzer for extracting a critical path in which a high accuracy is required for a signal transmission operation as compared with other portions based on circuit design data, a critical path extractor for comparing the circuit design data with layout design data on a pattern and for extracting graphical data including the critical path extracted by the timing analyzer, an inspection recipe creator for deciding a portion to be inspected, based on coordinate information on the graphical data including the critical path extracted by the critical path extractor, and an SEM defect review apparatus for acquiring an image of the decided portion to be inspected on a wafer according to an inspection recipe created by the inspection recipe creator. | 06-03-2010 |
20100146467 | Circuit verification method for verifying circuit with timing information and logic information in library cell - A circuit verification method propagates a fixed logic value from a black-box circuit block without logic information to a subsequent-stage circuit, by taking into consideration timing information. | 06-10-2010 |
20100146468 | SYSTEM AND METHOD FOR DESIGNING MULTIPLE CLOCK DOMAIN CIRCUITS - A Hardware Description Language (HDL) utilizing a Term Rewriting System (TRS) is provided that simplifies handling of clocks, and signaling between various clock domains of a multi-clock domain circuit specification. A specific clock data type is supplied for use with clock signals. Using the clock data type, and other requirements of a circuit specification, clock domain crossing between domains of clocks of the same clock family is handled implicitly. For clock domain crossing between clock domains driven by clocks of different clock families, a “hardware approach” and a “linguistic approach” are provided. A “hardware approach” provides facilities to explicitly specify a synchronizer, using, in part, TRS rules. A “linguistic approach” allows a designer to abstracts the instantiation of synchronizers and instead specify a circuit specification in terms of differently clocked interfaces. | 06-10-2010 |
20100153895 | TIMING ERROR SAMPLING GENERATOR, CRITICAL PATH MONITOR FOR HOLD AND SETUP VIOLATIONS OF AN INTEGRATED CIRCUIT AND A METHOD OF TIMING TESTING - A timing error sampling generator, a path monitor, an IC, a method of performing timing tests and a library of cells. In one embodiment, the timing error sampling generator includes: (1) a hold delay element having an input and an output and configured to provide a hold violation delayed signal at said output by providing a first predetermined delay to a clock signal received at said input, said first predetermined delay corresponding to a hold violation time for a path to be monitored and (2) a hold logic element having a first input coupled to said input of said hold delay element, a second input coupled to said output of said hold delay element and an output at which said hold logic element is configured to respond to said first and second inputs to provide a clock hold signal when logic levels at said first and second inputs are at a same level. | 06-17-2010 |
20100153896 | REAL-TIME CRITICAL PATH MARGIN VIOLATION DETECTOR, A METHOD OF MONITORING A PATH AND AN IC INCORPORATING THE DETECTOR OR METHOD - A margin violation detector for detecting margin violations of critical paths, a method of monitoring data paths and an IC. In one embodiment, the margin violation detector includes: (1) a monitor flip-flop having a monitor input couplable to a critical path input of a capture flip-flop of a critical path, (2) an exclusive OR gate having a first input couplable to an output of the capture flip-flop and a second input couplable to an output of the monitor flip-flop and (3) a violation detect flip-flop having a detection input couplable to an output of the exclusive OR gate. | 06-17-2010 |
20100169856 | TRANSPARENT TEST METHOD AND SCAN FLIP-FLOP - Logic blocks for IC designs (including gate-array, standard cell, or logic array designs) provide Design-for-Test-enabled flip-flops (DFT-enabled FFs) that inherently insure compliance with DFT rules associated with scan shifting. Test scan-chains are configured by daisy-chaining instances of the logic block in a transparent (invisible) manner to user-designed application circuits, which can be designed without any user-inserted test structures or other regard for DFT considerations. User asynchronous set and reset inputs and all Stuck-At faults on all user pins on these DFT-enabled FFs are observable via capture and scan-out. A first type of these DFT-enabled FFs features addressable control to partition test the application circuit. A second type of these DFT-enabled FFs features integral capture buffering that eliminates the need for partition test, simplifying control logic and reducing the number of test vectors needed. | 07-01-2010 |
20100175037 | METHOD, APPARATUS, AND PROGRAM FOR CORRECTING HOLD ERROR - A hold error correction method for complicated large scale integration in a semiconductor is provided. Based on timing analyses, hold error path start point information including a set of a hold error amount at a start point and a minimum value in set-up margins for all data paths starting from the start point, and hold error path end point information including a set of a hold error amount at an end point and a minimum value in set-up margins for all data paths reaching the end point, in association with a failed hold error path, is obtained. The hold error path is classified based on whether the hold error is correctable according to the obtained information. The correctable hold error path is grouped based on a certain criterion. Finally, which of the start point and the end point a delay buffer is inserted into is determined per group. | 07-08-2010 |
20100180242 | Method and system for efficient validation of clock skews during hierarchical static timing analysis - A method and a system for validating clock skews during a hierarchical static timing analysis of a chip or multi-chip package. Each pair of clock inputs of a hierarchical module bounds the allowable clock skew, creating new relative constraints on clock input arrival times propagated to those clock inputs. One embodiment is based on asserted arrival times and a maximum of computed slack values at said clock inputs, while a second embodiment is based on asserted arrival times and a minimum of downstream test slack values. The method further converts module clock assertions into a set of relative timing constraints to allow a hierarchical timing sign-off even in circumstances where absolute timing arrivals are not totally known at the time of module analysis. | 07-15-2010 |
20100180243 | Method of Performing Timing Analysis on Integrated Circuit Chips with Consideration of Process Variations - A method for verifying whether a circuit meets timing constraints by performing an incremental static timing analysis in which slack is represented by a distribution that includes sensitivities to various process variables. The slack at an endpoint is computed by propagating the arrival times and required arrival times of paths leading up to the endpoint. The computation of arrival and required arrival times needs the computation of delays of individual gate and wire segments in each path that leads to the endpoint. The mixed mode adds a deterministic timing to the statistical timing (DSTA+SSTA). | 07-15-2010 |
20100180244 | Method For Efficiently Checkpointing And Restarting Static Timing Analysis Of An Integrated Circuit Chip - A method for loading checkpoint timing in an environment where the boundary arrival times, slews, required arrival times, or loads differ from the checkpoint run. A timing checkpoint file generated for one or more hierarchical modules, during which each input is assigned a unique phase tag. The association of unique phase tags allows subsequent restart analyses to efficiently adjust the checkpoint timing in relation to the restart timing environment. In the restart run, one or more such checkpoint files is read, during which an initial propagation of arrival, required arrivals and slew times are performed, followed by a local re-update based on adjusted arrival times and the required arrival times. Finally, if multiple hierarchical modules are updated, a global recalculation of timing values is performed based on a slack change threshold in order to determine whether any new timing failures have been introduced. | 07-15-2010 |
20100180245 | METHODS AND PRODUCTS FOR DETERMINING AND VISUALIZIN IC BEHAVIOR | 07-15-2010 |
20100180246 | RANDOM GENERATION OF PLD CONFIGURATIONS TO COMPENSATE FOR DELAY VARIABILITY - A method of generating a user circuit implementation for programming a PLD comprising generating a set of user circuit implementation configuration candidates, measuring one or more characteristics of the PLD in a measurement phase and selecting a user circuit implementation from the set of candidates based on a measured characteristic. | 07-15-2010 |
20100192116 | MINTERM TRACING AND REPORTING - Disclosed are a method, a system and a computer program product for determining and reporting minterms to aid in implementing an engineering change order (ECO). A Minterm Tracing and Reporting (MTR) utility, which executes on a computer system, receives two or more timing points of an optimized netlist, where one or more of the two or more timing points are received from one or more of a user, a memory medium, and/or a network. For example, a timing point is a primary input, a primary output, or a latch point. After receiving the two or more timing points of the optimized netlist, the MTR utility determines two or more minterms of the optimized netlist. In determining the minterms, from one timing point to a next timing point: a polarity at the timing point may be determined, and a forward trace from the timing point to the next timing point is performed to determine the two or more minterms of the optimized netlist. In the forward trace from the timing point to the next timing point, the MTR utility determines two or more logical cones and one or more intersections of the logical cones. The MTR utility reports (e.g., communicates) each of the determined minterms, the determined polarities, and the one or more intersections of logical cones to one or more of a computer-executable application, a network, a memory medium, and/or a display. | 07-29-2010 |
20100192117 | ARCHITECTURE AND METHOD FOR COMPENSATING FOR DISPARATE SIGNAL RISE AND FALL TIMES BY USING POLARITY SELECTION TO IMPROVE TIMING AND POWER IN AN INTEGRATED CIRCUIT - A method for reducing delay in an integrated circuit by compensating for differences in rise and fall delay times comprises creating a timing graph; computing minimum delay tuples for nodes in the timing graph; if there is not at least one feasible delay tuple, determining a longest path and computing minimum delay tuples for the longest path; changing polarities on the longest path to reduce delays; updating the timing graph by transferring new polarity and delay values; performing timing analysis to determine a new longest path if the new longest path is shorter than the prior longest path, accepting a resulting polarity selection and computing minimum delay tuples for the longest path; if the new longest path is not shorter than the prior longest path, accepting a resulting polarity selection and implementing changes in a user-program bitstream. | 07-29-2010 |
20100199243 | METHOD AND SYSTEM FOR POINT-TO-POINT FAST DELAY ESTIMATION FOR VLSI CIRCUITS - The present disclosure is directed to a method for estimating an interconnect delay for a source-to-sink path of a net within a Very Large Scale Integration (VLSI) circuit, the source-to-sink path connecting a source and a sink in the net. The method may comprise estimating a total wire capacitance; calculating a delay contribution based on delay of the source-to-sink path and delay of a plurality of off-path sinks; and estimating the interconnect delay for the source-to-sink path based on the delay contribution. | 08-05-2010 |
20100199244 | Formal Verification Of Clock Domain Crossings - Methods and apparatus for performing automated formal clock domain crossing verification on a device are detailed. In various implementations of the invention, a device may be analyzed, wherein the clock domain crossing boundaries are identified. Subsequently, a formal clock domain crossing verification method may be applied to the identified clock domain crossing boundaries, resulting in clock domain crossing assertions being identified. After which the identified assertions may be promoted for post clock domain crossing analysis. With various implementations of the invention, a formal clock domain crossing method is provided, wherein the device components near an identified clock domain crossing are extracted. Assertions may then be synthesized and verified based upon the extracted components. Various implementations of the invention provide for clock domain crossing verification to be performed iteratively, wherein a larger and larger selection of the device is extracted during formal verification. Additionally, various implementations of the present invention provide that the clock domain crossing verification operate on the fly during a device verification procedure. With further implementations, a bit-blasted approach to clock domain crossing verification may be provided during formal verification. | 08-05-2010 |
20100199245 | Non-Linear Receiver Model For Gate-Level Delay Calculation - A characterized cell library for EDA tools includes receiver model data that provides two or more capacitance values for a given receiver modeling situation (signal type and operating conditions). The receiver model can then use different capacitance values to generate different portions of the model receiver signal, thereby enabling more accurate matching of actual receiver signal timing characteristics. For example, a two-capacitance receiver model can be generated by using the first capacitance value to match the delay characteristics of an actual receiver, and by using the second capacitance (in light of the use of the first capacitance) to match the slew characteristics of that actual receiver. Because typical EDA timing analyses focus mainly on delay and slew (and not the detailed profile of circuit signals), a two-capacitance receiver model can provide a high degree of accuracy without significantly increasing cell library size and computational complexity. | 08-05-2010 |
20100211922 | Method of Performing Statistical Timing Abstraction for Hierarchical Timing Analysis of VLSI circuits - A method for performing a hierarchical statistical timing analysis of an integrated circuit (IC) chip design by abstracting one or more macros of the design. The method includes performing a statistical static timing analysis of at least one macro; performing a statistical abstraction of the macro to obtain a statistical abstract model of the macro timing characteristics; applying the statistical abstract model as the timing model for each occurrence of the macro leading to a simplified IC chip design; and performing a hierarchical statistical timing analysis of the simplified chip design. The method achieves a context aware statistical abstraction, where a generated statistical abstract model is instantiated for each macro of the chip during statistical static timing analysis at the chip level, providing a compressed and pruned statistical timing abstraction and reducing the model-size during the statistical abstraction. | 08-19-2010 |
20100218152 | VARIATION AWARE VICTIM AND AGGRESSOR TIMING OVERLAP DETECTION BY PESSIMISM REDUCTION BASED ON RELATIVE POSITIONS OF TIMING WINDOWS - A computer is programmed to identify a number of groups of timing windows, each group including a victim timing window and one (or more) aggressor timing window(s), respectively for a victim net and one (or more) aggressor nets in an IC design. The computer automatically slides (i.e. shifts in time) the victim and aggressor timing windows as a group for each die, i.e. by a specific amount that is identical for all timing windows of an instance of a coupled stage in a die, but differs for other instances of the same coupled stage in other dies. Crosstalk analysis is then performed, using time-shifted timing windows which result from sliding, to identify overlapping victim and aggressor nets, followed by variation aware delay calculations to identify timing violations and timing critical nets, followed by revision of the IC design, which is eventually fabricated in a wafer of semiconductor material. | 08-26-2010 |
20100218153 | SUPPORTING PROGRAM, DESIGN SUPPORTING DEVICE AND DESIGN SUPPORTING METHOD - A design supporting method includes partitioning a partition path of circuit information into partitioned paths based on a given condition, calculating a variation value of each of the partitioned paths based on variation values on a delay of a cell included in the corresponding partitioned path, calculating a partition propagation delay time of each of the partitioned paths based on the variation value of the corresponding partitioned path, and calculating a source propagation delay time of the source path by merging the propagation delay time of each of the partitioned paths. | 08-26-2010 |
20100223584 | Logic Design Verification Techniques for Liveness Checking With Retiming - A technique for verification of a retimed logic design using liveness checking includes assigning a liveness gate to a liveness property for an original netlist and assigning a fairness gate to a fairness constraint for the original netlist. In this case, the fairness gate is associated with the liveness gate and is asserted for at least one time-step during any valid behavioral loop associated with the liveness gate. The original netlist is retimed, using a retiming engine, to provide a retimed netlist. The liveness and fairness gates of the retimed netlist are retimed such that a lag of the fairness gate is no greater than a lag of the liveness gate. Verification analysis is then performed on the retimed netlist. Finally, when the verification analysis yields a valid counter-example trace for the retimed netlist, a liveness violation for the original netlist is returned. | 09-02-2010 |
20100229136 | CROSSTALK TIME-DELAY ANALYSIS USING RANDOM VARIABLES - Embodiments of a computer system, a method, an integrated circuit and a computer-program product (i.e., software) for use with the computer system are described. These devices and techniques may be used to calculate the total time delay in a signal path due to crosstalk from a group of crosstalk aggressors that are associated with a group of signal paths. In order to properly account for statistical behaviors in the switching times and directions of the switching patterns in the group of signal paths, the time-delay contribution from each of these crosstalk aggressors may be modeled as a corresponding statistical random variable. Because the number of crosstalk aggressors are usually much larger than the number of stages in the signal path, the calculated total path delay may be less pessimistic. Furthermore, in order to detect potential timing violations, the time-delay contributions from additional dominant crosstalk aggressors can be modeled using non-statistical worst-case deterministic values. | 09-09-2010 |
20100229137 | System and Method for Performance Modeling of Integrated Circuits - A system and method for performance modeling of integrated circuits is provided. A method for performing timing analysis on an integrated circuit is provided, the integrated circuit having a timing path. The method includes computing a number of non-common timing path elements in the timing path, assigning a timing de-rate factor to the timing path based on the number of non-common timing path elements, and computing a timing analysis on the integrated circuit using the assigned timing de-rate factor. | 09-09-2010 |
20100229138 | Circuit design supporting apparatus and circuit design supporting method - A circuit design supporting apparatus includes: an observation portion specifying section configured to specify a first portion with a high improvement effect of analysis easiness in failure analysis of an integrated circuit as an observation portion; and an element substitution performing section configured to substitute an element arranged in the observation portion by an analysis target element to which a failure analysis apparatus can appropriately conduct the failure analysis based on a data of the observation portion. The element substitution performing section includes a timing analyzing section configured to perform timing analysis of the integrated circuit shown by a netlist; a delay calculating section configured to calculate a delay in the integrated circuit based on a result of the timing analysis by said timing analyzing section and said netlist; a substitution element determining section configured to specify a fine element, which is arranged in said observation portion, of elements of the integrated circuit as a substitution candidate element; and an element substituting section configured to update said netlist to form a new netlist. | 09-09-2010 |
20100229139 | SYSTEM AND METHOD FOR DESIGNING SEMICONDUCTOR INTEGRATED CIRCUIT - An extraction unit extracts a metal pattern constituting a semiconductor integrated circuit from layout data. A setting unit sets up a region including the metal pattern extracted by the extraction unit. An evaluation unit calculates the metal coverage rate of the region and to evaluate whether the metal coverage rate is equal to or more than a predetermined value. An insertion unit inserts a dummy metal pattern into the region when the metal coverage rate is evaluated as a value smaller than the predetermined value by the evaluation unit. | 09-09-2010 |
20100235798 | METHOD FOR PREDICTING AND DEBUGGING EMI CHARACTERISTICS IN IC SYSTEM AND RELATED MACHINE-READABLE MEDIUM - A method for predicting and debugging electromagnetic interference (EMI) characteristics of an integrated circuit (IC) system includes the following steps: selecting a frequency domain range according to transformed raw data of the IC system to generate a blocking frequency analysis result, wherein the transformed raw data are transformed by a time-frequency waveform transformation; setting criteria data; comparing the blocking frequency analysis result with the criteria data to generate at least one comparison result; and generating a pass analysis report when a processing unit determines that each comparison result is passed; otherwise, executing an EMI design time-frequency analysis. | 09-16-2010 |
20100242006 | METHOD OF TIMING CRITICALITY CALCULATION FOR STATISTICAL TIMING OPTIMIZATION OF VLSI CIRCUIT - Provided is a method of optimizing statistical timing of an integration circuit, the method including applying subtle changes of mean arrival times with respect to each of nodes in a timing graph of an integrated circuit to ADD operations and MAX operations of a block-based statistical static timing analysis (SSTA) method and approximating the corresponding operations; generating Jacobian matrixes between each node by using matrix components including differential coefficients calculated during linear approximation of the operations; calculating changed arrival time values of the circuit by propagating the Jacobian matrixes from a virtual sink node to a virtual source node; and calculating timing yield criticalities, which are variances of timing yield of the circuit due to subtle changes of mean arrival times with respect to each node, based on values obtained by the propagation. Accordingly, timing yield criticality is calculated based on linear approximation of ADD operations and MAX operations of statistical static timing analysis (SSTA), and thus the calculation complexity is linear with respect to the total number of nodes, and critical nodes significantly affecting the timing yield of a circuit can be extracted more accurately. | 09-23-2010 |
20100242007 | CELL-LIBRARY-FOR-STATISTICAL-TIMING-ANALYSIS CREATING APPARATUS AND STATISTICAL-TIMING ANALYZING APPARATUS - A cell-library-for-statistical-timing-analysis creating apparatus includes: a unit that groups cells into groups of cells each having the same topology; a unit that selects representative cells from the respective grouped cell group; a unit that sets one or more kinds of signal transition time information based on output signal transition time and input signal transition time; a unit that calculates, for each of the representative cells, an output load capacitance and input signal transition time for each of kinds of signal transition time information; a unit that executes circuit simulation using the output load capacitance and the input signal transition time and calculates a delay variation amount; a unit that calculates delay variation information based on a delay average and the delay variation amount; and a unit that outputs association for each of the representative cells between the signal transition time information and the delay variation information. | 09-23-2010 |
20100242008 | METHOD FOR DUMMY METAL AND DUMMY VIA INSERTION - A method for dummy metal and dummy via insertion is provided. In one embodiment, dummy metals are inserted using a place and route tool, where the place and route tool has timing-awareness. Then, dummy vias arrays are inserted inside an overlap area of dummy metals using a design-rule-checking utility. Fine-grained dummy vias arrays are inserted in available space far away from main patterns. The dummy-patterns resulting from the inserted dummy vias are compressed using the design-rule-checking utility to reduce the size of a graphic data system file generated from the integrated circuit design. The dummy vias can be inserted with relaxed via spacing rules. The dummy metals are inserted with a constant line-end spacing between them for better process control and the maximum length of the dummy metal can be limited for smaller coupling effects. The dummy vias can have various sizes and a square or rectangular shape. | 09-23-2010 |
20100251197 | METHOD, SYSTEM AND APPLICATION FOR SEQUENTIAL COFACTOR-BASED ANALYSIS OF NETLISTS - Methods, systems and computer products are provided for reducing the design size of an integrated circuit while preserving the behavior of the design with respect to verification results. A multiplexer is inserted at the gate being analyzed, and the multiplexer selector is controlled to provide a predetermined output for one frame at the point being analyzed. It is then determined whether the circuit remains equivalent during application of the predetermined output in order to decide whether the gate being analyzed is a candidate for replacement. | 09-30-2010 |
20100262941 | Automated Timing Optimization - A method for reducing a timing violation in a negative slack path from an integrated circuit design, by identifying the negative slack path in the integrated circuit design with a processor, and then identifying positive slack paths by determining timing slack for the paths that are disposed before and after the negative slack path. A prediction is made as to whether margin can be obtained from the positive slack paths by performing additional timing optimization on the positive slack paths, and it is determined whether that margin is sufficient to reduce the timing violation to at least a desired level. If the margin is sufficient, then additional timing optimization is performed on the positive slack paths, and the margin is used to manipulate the clock skew and reduce the timing violation on the negative slack path. | 10-14-2010 |
20100262942 | METHODS FOR ANALYZING AND ADJUSTING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR SYSTEM - Using fabrication-time variation predicting means that predicts this fact, the variation is predicted beforehand at the design stage prior to fabrication and is stored in variation prediction storage means. Rather than measuring a delay, testing an operation is performed (by a pass/fail determination) by actual-speed logic operation testing means for checking, after fabrication, whether a flip-flop (FF) operates at a specified operation frequency. As a result, the variation is estimated using the non-operation flip-flop (FF) information and the predicted value of the variation from the fabrication-time variation predicting means, and a delay value which corrects for the variation is inserted into a fabricated semiconductor integrated circuit by post-fabrication delay insertion position/value determining means using the variation value that has been estimated. | 10-14-2010 |
20100269080 | COMPUTER-AIDED DESIGN SYSTEM AND METHOD FOR SIMULATING PCB SPECIFICATIONS - A computer-aided design system and method are provided. The computer-aided design method reads PCB design data from a storage system, obtains a plurality of circuit signals from the PCB design data, and groups the differential signals into a plurality of differential signal pairs. The computer-aided design method further sets a signal design standard for each of the differential signal pairs according to the electrical characteristics of the differential signal pair, and compiles each of the signal design standards into an instruction set. In addition, the computer-aided design method generates a PCB design specification by integrating each of the instruction sets and the PCB design data, and stores the PCB design specification into the storage system. | 10-21-2010 |
20100275172 | RULE CHECK SYSTEM, DESIGN RULE CHECK METHOD AND DESIGN RULE CHECK PROGRAM - A design rule check system includes: a design rule check unit that performs a design rule checks on wiring information which indicates a wiring pattern of a net on the basis of a design rule which includes a constraint condition of a wiring pattern; and a screening processing unit which generates information about an error for each clock frequency of each net based on a result of the design rule check and outputs the information to an indicating device. | 10-28-2010 |
20100281444 | MULTIPLE-POWER-DOMAIN STATIC TIMING ANALYSIS - Embodiments of a computer system, a method, an integrated circuit and a computer-program product (i.e., software) for use with the computer system are described. These devices and techniques may be used to perform STA for circuits that include multiple power domains. Power-domain crossing information and optionally the delay in each power domain can be propagated during the full circuit graph-based STA to accurately perform STA without enumerating all paths. Some embodiments can use a tag-based engine to track power-domain crossing(s) during graph-based STA. If a power-domain is crossed in a path, pessimism may be added to the cumulative delay at the end point of the path. For those paths that do not cross a power domain, pessimism may be removed from the cumulative delay at their end points. In some embodiments, pessimism may be removed from the cumulative delay at end points for paths that cross power domains. | 11-04-2010 |
20100281445 | EFFICIENT EXHAUSTIVE PATH-BASED STATIC TIMING ANALYSIS USING A FAST ESTIMATION TECHNIQUE - One embodiment of the present invention provides a system that performs an efficient path-based static timing analysis (STA) in a circuit design. During operation, the system identifies a set of paths within the circuit design, wherein each path includes one or more segments. For a path in the set of paths, the system determines if at least one segment in the path is shared with a different path which was previously computed by performing a path-based STA, wherein the at least one segment in the different path is associated with previously computed path-based timing information. If so, the system then performs an estimation of a path-based delay for the path based at least on the path-based timing information associated with the shared segment in the different path. Otherwise, the system computes a path-based delay for the path by performing a path-based STA on the path. | 11-04-2010 |
20100281446 | Integrated Circuit Design using DFM-Enhanced Architecture - Integrated circuit libraries include a first standard cell having a first left boundary and a first right boundary, and a second standard cell having a second left boundary and a second right boundary. The first standard cell and the second standard cell are of a same cell variant. A first active region in the first standard cell has a different length of diffusion than a second active region in the second standard cell. The first active region and the second active region are corresponding active regions represented by a same component of a same circuit diagram representing both the first standard cell and the second standard cell. | 11-04-2010 |
20100281447 | METHOD FOR DETECTING CONTRADICTORY TIMING CONSTRAINT CONFLICTS - The present invention discloses a method and apparatus for detecting timing constraint conflicts, the method comprising: receiving a timing constraint file; taking all test points in the timing constraint file as nodes, determining directed edges between the nodes and weights of the directed edges according to timing constraints relevant to the test points in the timing constraint file to establish a directed graph; searching for all directed cycles of the directed graph; and for each directed cycle, if the sum of the weights of the directed edges constituting the directed cycle satisfies a required condition, determining that a timing constraint conflict exists among the test points and the timing constraints constituting the directed cycle. The method and apparatus can automatically detect timing constraint conflicts with one hundred percent to reduce design turnaround time and engineer resources in ASIC projects. | 11-04-2010 |
20100281448 | LOW-POWER FPGA CIRCUITS AND METHODS - Field Programmable Logic Arrays (FPGAs) are described which utilize multiple power supply voltages to reduce both dynamic power and leakage power without sacrificing speed or substantially increasing device area. Power reduction mechanisms are described for numerous portions of the FPGA, including logic blocks, routing circuits, connection blocks, switch blocks, configuration memory cells, and so forth. Embodiments describe circuits and methods for implementing multiple supplies as sources of V | 11-04-2010 |
20100287516 | METHODS AND SYSTEM FOR SELECTING GATE SIZES, REPEATER LOCATIONS, AND REPEATER SIZES OF AN INTEGRATED CIRCUIT - A method for selecting gate sizes for a logic network of an integrated circuit, wherein the logic network is defined by a plurality of logic paths that includes nodes, gates and interconnect, includes assigning, at one or more computers, gate sizes to gates adjacent to timing path end nodes of the logic network, determining an n-tuple of performance/loading parameters for each of the assigned gate sizes based on gate and interconnect delay models, and determining whether two or more logic paths share a descendant gate. Two or more logic paths that share a descendent gate are coupled. The method also includes grouping the n-tuples of parameters of coupled logic paths into bins based on gate sizes of the shared descendent gate, recursively propagating, node by node, the bins of n-tuples of parameters along the coupled logic paths, detecting whether any of the bins of n-tuples of parameters are suboptimal for all of the coupled logic paths based on a comparison of the n-tuples of parameters in bin-pairs, and eliminating all n-tuples of parameters of the suboptimal bins along the coupled logic paths to prune gate sizes associated with the suboptimal bins. | 11-11-2010 |
20100287517 | Statistical Static Timing Analysis in Non-Linear Regions - A method is described for simulating the f-sigma timing path delay of an integrated circuit design when local transistor variations determine the stochastic delay. This is achieved by determining an estimated delay time for a first timing path using non-linear operating point analysis of local variations (NLOPALV). An operating point is calculated for each cell that is included in a timing path in the integrated circuit design. The f-sigma operating point of a cell-arc is a point on the cell-arc delay function (CADF). An f-sigma delay value is determined for each cell using the selected operating point on the CADF of the cell. The determined delay values of the plurality of cells in the timing path may then be combined to predict the estimated delay for the entire timing path. The method may be extended to deal with slew rate, predict hold time statistics, prune paths, and deal with convergent paths. | 11-11-2010 |
20100293514 | DESIGN-DRIVEN METAL CRITICAL DIMENSION (CD) BIASING - A method of designing an integrated circuit (“IC”) is provided that includes placing an IC design, where the IC design includes a first element, a second element, and a path coupling the first and second elements, and routing the IC design. Further, the method includes obtaining at least one of resistivity data and capacitance data related to the path, and obtaining timing data related to the path. The method also includes using at least one of the resistivity data, the capacitance data, and the timing data to determine a critical dimension (“CD”) bias to be applied to the path, and modifying the IC design, where modifying includes applying the CD bias to the path. | 11-18-2010 |
20100299644 | TIMING ADJUSTMENT DEVICE AND METHOD THEREOF - A timing adjustment device includes a plurality of receive circuits that receive an input signal based on mutually different timings, a determination circuit that determines a first transition and a second transition of the input signal based on a received result by receive circuits, among the plurality of receive circuits, that receive the input signal with adjacent timings among different timings of the plurality of receive circuits, and an adjustment circuit that adjusts the receiving timing of the input signal so that the receiving timing of the input signal becomes close to a central timing of a period according to the first transition and the second transition. | 11-25-2010 |
20100299645 | DESIGN SUPPORT COMPUTER PRODUCT, APPARATUS, AND METHOD - A computer-readable recording medium stores a design support program causing a computer to perform: detecting a data path and a clock path corresponding to the data path making up a partial circuit in a circuit-under-design; selecting an object cell from cells on the data path and the clock path detected in the detecting; replacing the object cell selected in the selecting with a cell having a function substantially identical to and characteristics different from the object cell; acquiring a plurality of types of characteristic information related to the partial circuit based on the data path and the clock path after the object cell is replaced in the replacing; determining whether the types of the characteristic information acquired in the acquiring is in violation of restrictions; and outputting a determination result determined in the determining. | 11-25-2010 |
20100306723 | Order Independent Method of Performing Statistical N-Way Maximum/Minimum Operation for Non-Gaussian and Non-linear Distributions - A method and system to improve the performance of an integrated circuit (IC) chip by removing timing violations detected by performing a statistical timing analysis, given distributions of process and environmental sources of variation. The distributions are quantized using a minimum distortion criterion into discrete values. For each timing node of the IC circuit, a discrete minimum and maximum operation is performed on the timing parameters using a subset of combinations of the discrete values. The results of the discrete minimum and maximum operation are then de-quantized and propagated to a subsequent timing node and edge thereof. The process continues until one or more primary inputs and outputs of the IC chip are reached. The design of the IC chip is modified by removing all the timing violations identified. | 12-02-2010 |
20100306724 | METHOD OF INCREMENTAL STATISTICAL STATIC TIMING ANALYSIS BASED ON TIMING YIELD - Provided is a method of incremental SSTA (statistical static timing analysis) of a digital circuit, the method including a first step in which, when a gate is replaced in the digital circuit, delay propagation is performed from a node of a replaced gate to a virtual sink node based on SSTA; a second step in which, if a changed value of a gate timing yield at each gate which propagates delay toward the virtual sink node is smaller than a predetermined threshold value, delay propagation with respect to a fanout gate of the corresponding gate is stopped; and a third step in which, when a delay with respect to the node of the replaced gate is propagated to the virtual sink node, a new timing yield is calculated at the virtual sink node. | 12-02-2010 |