Entries |
Document | Title | Date |
20080227292 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device includes preparing a semiconductor wafer, forming a semiconductor function element on the semiconductor wafer, drying the semiconductor wafer after forming the semiconductor function element by using an isopropyl alcohol vapor, heating the semiconductor wafer after drying the semiconductor wafer, and performing an RA cleaning on the semiconductor wafer after heating the semiconductor wafer by using a fuming nitric acid. | 09-18-2008 |
20080242084 | METHOD FOR PLANARIZING AN INSULATION LAYER IN A SEMICONDUCTOR DEVICE CAPABLE OF OMITTING A MASK PROCESS AND AN ETCHING PROCESS - In a method for planarizing an insulation layer in a semiconductor device, an insulation layer is formed over a semiconductor substrate having a cell region and a peripheral region. The cell region is higher than the peripheral region due to a capacitor formed in the cell region. A metal layer is formed over the insulation layer. The metal layer is chemical mechanical polished to expose the insulation layer portion in the cell region. The exposed insulation layer portion in the cell region is chemical mechanical polishing to planarize the insulation layer, and the planarized insulation layer and the remaining metal layer are chemical mechanical polishing to remove the metal layer remained in the peripheral region. The method for planarizing an insulation layer does not require a separate photosensitive layer forming process or a dry etching process. | 10-02-2008 |
20080248645 | METHOD TO CREATE A METAL PATTERN USING A DAMASCENE-LIKE PROCESS - A method of forming a metal pattern on a dielectric layer that comprises forming at least one trench in a dielectric layer formed from a photosensitive, insulative material. A conformed metal layer is formed over the dielectric layer and into the at least one trench and a photoresist layer is formed over the metal layer. The photoresist layer may be deposited so that a photoresist material fills the at least one trench and forms a thinner coating on portions of the metal layer surrounding the at least one trench. At least a portion of the photoresist layer is selectively removed. For instance, portions of the photoresist layer surrounding the at least one trench may be removed while a portion of the photoresist layer remains therein. At least a portion of the metal layer is selectively removed, such as portions of the metal layer surrounding the at least one trench. The photoresist layer remaining in the trench may subsequently be removed. Intermediate semiconductor device structures are also disclosed. | 10-09-2008 |
20080299769 | SEMICONDUCTOR FABRICATION METHOD SUITABLE FOR MEMS - A method includes depositing a layer of a sacrificial material in a first region above a substrate. The first region of the substrate is separate from a second region of the substrate, where a corrosion resistant film is to be provided above the second region. The corrosion resistant film is deposited, so that a first portion of the corrosion resistant film is above the sacrificial material in the first region, and a second portion of the corrosion resistant film is above the second region. The first portion of the corrosion resistant film is removed by chemical mechanical polishing. The sacrificial material is removed from the first region using an etching process that selectively etches the sacrificial material, but not the corrosion resistant film. | 12-04-2008 |
20080318420 | TWO STEP CHEMICAL MECHANICAL POLISH - In one embodiment, a method includes providing two structures with a spacing therebetween over a semiconductor substrate, providing a conformal first layer over the two structures and within the space therebetween, depositing a conformal protective layer over the first layer, planarizing the protective layer until a top surface of the first layer is exposed, and planarizing the first layer and the protective layer until a top surface of the two structures is exposed and a portion of the protective layer is between the two structures. | 12-25-2008 |
20080318421 | METHODS OF FORMING FILMS OF A SEMICONDUCTOR DEVICE - There is provided a method of forming a film of a semiconductor device. The method includes a step of adsorbing a liquefied metal ion source on the substrate; rinsing the substrate to remove any liquefied metal ion source that is not adsorbed to the substrate; depositing a metal layer on the substrate by reducing the liquefied metal ion source that is adsorbed on the substrate with a liquefied reducing agent; and rinsing the substrate to remove the remaining liquefied reducing agent and any reaction residual. | 12-25-2008 |
20090004855 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method of fabricating a semiconductor device, the method includes forming gate patterns on a substrate, recessing the substrate between the gate patterns, thereby forming a first resulting structure including recesses, forming a gate spacer layer on an entire surface of the first resulting structure including the gate patterns, etching the gate spacer layer at a bottom of the recess, and forming a plug on the recess, thereby forming a second resulting structure including the plug. | 01-01-2009 |
20090029547 | NOVEL LADDER POLY ETCHING BACK PROCESS FOR WORD LINE POLY PLANARIZATION - A method is disclosed for etching a polysilicon material in a manner that prevents formation of an abnormal polysilicon profile. The method includes providing a substrate with a word line and depositing a polysilicon layer over said substrate and word line. An organic bottom antireflective coating (BARC) layer is then deposited over said polysilicon layer. A ladder etch is performed to remove the BARC layer and a portion of the polysilicon layer. The ladder etch consists of a series of etch cycles, with each cycle including a breakthrough etch and a soft landing etch. The breakthrough and soft landing etches are performed using different etchant gases, and at different source and bias powers, pressures, gas flow rates, and periods of time. The ladder etch results in a smooth polysilicon surface without abrupt steps. | 01-29-2009 |
20090029548 | METHOD FOR REMOVING POLYMER RESIDUE FROM METAL LINES OF SEMICONDUCTOR DEVICE - It is possible to substantially remove a polymer residue from metal lines formed over a semiconductor device without damage to the metal lines. The disclosed method includes forming a metal layer over a lower layer. A photoresist film is formed over the metal layer, and then patterned. The metal layer is selectively etched, using the patterned photoresist film as an etch barrier, to form metal lines. A substantial portion of the photoresist film left on the metal lines is removed, leaving a polymer residue. Ultraviolet rays are irradiated onto the metal lines to degrade the polymer residue, and the residue is rinsed away. | 01-29-2009 |
20090035939 | FABRICATION METHOD TO MINIMIZE BALLAST LAYER DEFECTS - A method for minimizing fabrication defects in ballast contact to a conductor in monolithically integrated semiconductor devices includes forming a sloping sidewall ( | 02-05-2009 |
20090061624 | METHOD OF FABRICATING INTEGRATED CIRCUIT WITH SMALL PITCH - A method of manufacturing an integrated circuit with a small pitch comprises providing a second material layer patterned to form at least two features with an opening between the features. The second material layer is formed over a first material layer and the first material layer is over a substrate. The method also comprises providing a first oxide layer to form a first sidewall surrounding each of the features, and providing a second oxide layer over the first sidewalls and the first material layer. A second sidewall is formed surrounding each of the features. The method further comprises providing a conductive layer over the second oxide layer and removing the conductive layer, the second sidewalls and the first material underneath the second sidewalls. | 03-05-2009 |
20090061625 | LCD DRIVER IC AND METHOD FOR MANUFACTURING THE SAME - An LCD driver IC and a method for manufacturing the same. In one example embodiment, an LCD driver IC includes first and second main poly patterns formed separately from each other, a connection poly pattern connecting the main poly patterns, and a salicide blocking (SAB) pattern formed on the main poly patterns to block the main poly patterns. | 03-05-2009 |
20090061626 | Method of manunfacturing semiconductor device - Disclosed is a method for manufacturing a semiconductor device comprising forming a hydrophobic interlayer insulating film having a relative dielectric constant of 3.5 or less above a semiconductor substrate, forming a recess in the interlayer insulating film, depositing a conductive material above the interlayer insulating film having the recess to form a conductive layer, selectively removing the conductive material deposited above the interlayer insulating film by polishing to expose a surface of the interlayer insulating film while leaving the conductive material in the recess, and subjecting the surface of the interlayer insulating film having the recess filled with the conductive material to pressure washing using a resin member and an alkaline washing liquid containing an inorganic alkali and exhibiting a pH of more than 9. | 03-05-2009 |
20090197407 | Process for Manufacturing Rounded Polysilicon Electrodes on Semiconductor Components - A polysilicon layer provided for a polysilicon electrode ( | 08-06-2009 |
20090203209 | Semiconductor device and method of manufacturing the same - A semiconductor device which is capable of avoiding an increase in pattern ratio and allowing wiring dummy patterns to improve global steps developed by CMP upon insertion of the dummy patterns which are different from an actual wiring pattern. The semiconductor device has a configuration wherein a gate wiring pattern is formed on a semiconductor substrate, a plurality of dummy patterns are provided therearound, and a BPSG oxide film which is flattened by CMP is formed on the gate wiring pattern and the dummy patterns as an interlayer insulating film. In the semiconductor device, the dummy patterns are formed so as to include pattern non-forming regions such as slits. | 08-13-2009 |
20090203210 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A method of forming a metal interconnection that has a favorable cross-sectional shape is provided without the fear of side etching, even in a sparse arrangement of metal interconnections. The method, the following structure is employed. A region for placing a dummy metal interconnection is provided close to a region in which a metal interconnection is formed. A trench is formed in the dummy metal interconnection region and a resist pattern for the metal interconnection is then formed, giving the resist above the trench a large surface area per unit area. The metal interconnection is subsequently formed by dry etching in which an organic component from the resist above the trench forms a solid sidewall protection film, permitting anisotropic etching. The metal interconnection can thus have a favorable cross-sectional shape. | 08-13-2009 |
20090227108 | Patterning method in semiconductor manufacturing process - A patterning method in a semiconductor manufacturing process includes the following steps. A base is provided. A target layer and a lining layer are sequentially formed on the surface of the base. The lining layer is patterned to form a plurality of rectangular blocks. A sidewall spacer material layer is formed on the rectangular blocks and the target layer. Part of the sidewall spacer material layer is removed to form a sidewall spacer on the side wall of each of the plurality of rectangular blocks. The plurality of rectangular blocks is removed, and the sidewall spacer is used as a hard sheltering mask to etch and remove part of the target layer. The overlay accuracy is improved and the dimension of the electronic elements can be reduced so that a lot of two-dimension structures can be manufactured on the wafer substrate. | 09-10-2009 |
20090263967 | Method of forming noble metal layer using ozone reaction gas - A noble metal layer is formed using ozone (O | 10-22-2009 |
20090263968 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A method of fabricating a semiconductor device includes: forming a conductive film over a semiconductor wafer; forming a mask film over the conductive film; removing a portion of the mask film covering at least a peripheral portion of the semiconductor wafer such that a portion of the mask film covering a device forming region of the semiconductor wafer remains; and removing an exposed portion of the conductive film with use of the remaining portion of the mask film as a mask. | 10-22-2009 |
20090263969 | HIDDEN PLATING TRACES - A strengthened semiconductor die substrate and package are disclosed. The substrate may include contact fingers formed with nonlinear edges. Providing a nonlinear contour to the contact finger edges reduces the mechanical stress exerted on the semiconductor die which would otherwise occur with straight edges to the contact fingers. The substrate may additionally or alternatively include plating traces extending at an angle from the contact fingers. Extending at an angle, at least the ends of the plating traces at the edge of the substrate are covered beneath a lid in which the semiconductor package is encased. Thus, when in use with a host device, contact between the ends of the plating traces beneath the lid and contact pins of the host device is avoided. | 10-22-2009 |
20090269924 | Method for Forming Fine Pattern by Spacer Patterning Technology - In a method for forming a fine pattern, a target layer to be patterned is formed on a semiconductor substrate and a polysilicon layer is formed on the target layer. A partition is then formed on the polysilicon layer with an amorphous carbon layer pattern. A spacer is attached to a sidewall of the partition. Thereafter, the spacer is divided into bar patterns by selectively removing the partition. A polysilicon layer pattern is formed by selectively etching a portion of the poly silicon layer exposed by the divided bar patterns and then a target layer pattern is formed by selectively etching a portion of the target layer exposed by the polysilicon layer pattern. | 10-29-2009 |
20090280648 | METHOD AND APPARATUS FOR 3D INTERCONNECT - The present invention discloses methods for depositing a material, particularly a conductive material, in cavities of a substrate and forming bonding contacts or pads thereon. An intracavity structure may be utilized in conjunction with embodiments of the present invention to provide efficient filling of diverse cavities within the substrate. Also provided are embodiments for interconnection structures using filled cavities, along with electrically conductive or reactive structures which may include capacitors fabricated within a substrate. | 11-12-2009 |
20090291555 | Method and Apparatus for Using Flex Circuit Technology to Create a Reference Electrode Channel - A method of creating a sensor that may include applying a first conductive material on a first portion of a substrate to form a reference electrode and depositing a first mask over the substrate, the first mask having an opening that exposes the reference electrode and a second portion of the substrate. The method may also include depositing a second conductive material into the opening in the first mask, the second conductive material being in direct contact with the reference electrode and depositing a second mask over the second conductive material, the second mask having an opening over the second portion of the substrate, the opening exposing a portion of the second conductive material which forms a working surface to receive a fluid of interest. | 11-26-2009 |
20090305503 | Manufacturing Method of Semiconductor Device - A conductive film containing aluminum or an aluminum alloy with a thickness equal to or greater than 1 μm and equal to or less than 10 μm is etched by wet-etching to be a predetermined thickness, and then etched by dry-etching, whereby side-etching of the conductive film can be suppressed and thickness reduction of a mask can be suppressed. The suppression of side-etching of the conductive film and the suppression of thickness reduction of the mask enable a conductive film containing aluminum or an aluminum alloy even with a large thickness equal to or greater than 1 μm and equal to or less than 10 μm to be etched such that the gradient of the edge portion of the conductive film can be steep, a predetermined thickness of the conductive film can be obtained, and shape difference from a mask pattern can be suppressed. | 12-10-2009 |
20100003819 | DESIGN LAYOUT DATA CREATING METHOD, COMPUTER PROGRAM PRODUCT, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A design layout data creating method includes creating design layout data of a semiconductor device such that patterns formed on a wafer when patterns corresponding to the design layout data are formed on the wafer have a pattern coverage ratio within a predetermined range in a wafer surface and total peripheral length of the patterns formed on the wafer when the patterns corresponding to the design layout are formed on the wafer is pattern peripheral length within a predetermined range. | 01-07-2010 |
20100009534 | METHOD FOR PATTERNING A SEMICONDUCTOR DEVICE - A method for patterning a semiconductor device can include forming a conductive layer over a semiconductor substrate; alternatively forming positive photoresists and negative photoresists over the conductive layer, forming a plurality of first conductive lines by selectively removing a portion of the conductive layer using the positive photoresist and the negative photoresist as masks; forming an oxide film over the semiconductor substrate including the first conductive lines and the conductive layer; performing a planarization process over the oxide film using the uppermost surface of the first conductive line as a target; removing the plurality of first conductive lines using the oxide film as a mask; forming a plurality if trenches in the semiconductor substrate and removing a portion of the oxide film to expose the uppermost surface of the conductive layer; and then forming a plurality of second conductive lines by removing the exposed conductive layer using the oxide film as a mask. | 01-14-2010 |
20100015803 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING DUAL DAMASCENE PROCESS - A method for fabricating a semiconductor device using a dual damascene process is provided. The method includes forming a dielectric layer over a conductive layer, forming a via hole exposing the conducting layer by selectively etching the dielectric layer, projecting a portion of the dielectric layer at an edge of the via hole by selectively etching the dielectric layer to a first depth, and forming a trench by selectively etching the dielectric layer to a second depth, wherein the trench is overlapped with the via hole to form a dual damascene pattern. | 01-21-2010 |
20100041232 | Adjustable dummy fill - A method of placing a dummy fill layer on a substrate is disclosed (FIG. | 02-18-2010 |
20100055900 | MASK AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING THE SAME - A mask for forming a metal line and a via contact, and a method for fabricating a semiconductor device using the same, minimizes misalignment. The mask includes a first mask region having a dark tone for light shading, a second mask region having a half tone, being disposed within the first mask region to form the metal line, and a third mask region having a clear tone, being disposed within the second mask region to form the via contact. | 03-04-2010 |
20100055901 | LASER MATERIAL REMOVAL METHODS AND APPARATUS - Embodiments of the present invention generally provide methods and apparatus for material removal using lasers in the fabrication of solar cells. In one embodiment, an apparatus is provided that precisely removes portions of a dielectric layer deposited on a solar cell substrate according to a desired pattern and deposits a conductive layer over the patterned dielectric layer. In one embodiment, the apparatus also removes portions of the conductive layer in a desired pattern. In certain embodiments, methods for removing a portion of a material via a laser without damaging the underlying substrate are provided. In one embodiment, the intensity profile of the beam is adjusted so that the difference between the maximum and minimum intensity within a spot formed on a substrate surface is reduced to an optimum range. In one example, the substrate is positioned such that the peak intensity at the center versus the periphery of the substrate is lowered. In one embodiment, the pulse energy is improved to provide thermal stress and physical lift-off of a desired portion of a dielectric layer. | 03-04-2010 |
20100062599 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes the steps of forming a P-type region on a surface of a semiconductor substrate, forming at least one Al electrode on the P-type region, forming an interlayer film in contact with the at least one Al electrode, the interlayer film being of a material which is less reactive with Si than is Al, and forming a semi-insulating film on the interlayer film, the semi-insulating film containing Si. | 03-11-2010 |
20100075497 | Non-Plating Line Plating Method Using Current Transmitted From Ball Side - A non-plating line (NPL) plating method is provided. The NPL plating method is featured in that at first it forms a circuit layer on a bump side only, and therefore a plating current can be transmitted via a plating metal layer on a ball side to the circuit layer (enclosed by an insulation layer, e.g., a solder resist or a photoresist) on the bump side, and thus forming a protection layer, e.g., plating gold, on the plating metal layer on the circuit layer and the ball side. In such a way, the plating gold is formed after the insulation layer, so that there won't be any plating gold existed beneath the insulation layer of the bump side (connected with dies). Hence, the insulation layer can be prevented from dropping off from the protection layer, i.e., the plating gold, and thus the reliability of the products can be improved. | 03-25-2010 |
20100105206 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A manufacturing method of a semiconductor device of which cost can be suppressed by using a nanoimprinting method is provided. In the invention, a gate insulating film, a conductive film, and a resist are formed in sequence over a semiconductor film and a resist is hardened while pressing a mold formed with a pattern to the resist. Therefore, the pattern is transferred to the resist, the surface of the resist to which the pattern is transferred is ashed until a part of the conductive film is exposed, the resist having the ashed surface is used a mask, and the conductive film is etched. | 04-29-2010 |
20100112811 | METHOD FOR PATTERNING A METAL GATE - The present disclosure provides a method for fabricating a semiconductor device. The method includes forming first, second, third, and fourth gate structures on a semiconductor substrate, each gate structure having a dummy gate, removing the dummy gate from the first, second, third, and fourth gate structures, thereby forming first, second, third, and fourth trenches, respectively, forming a metal layer to partially fill in the first, second, third, and fourth trenches, forming a first photoresist layer over the first, second, and third trenches, etching a portion of the metal layer in the fourth trench, removing the first photoresist layer, forming a second photoresist layer over the second and third trenches, etching the metal layer in the first trench and the remaining portion of the metal layer in the fourth trench, and removing the second photoresist layer. | 05-06-2010 |
20100112812 | Photomask quality estimation system and method for use in manufacturing of semiconductor device, and method for manufacturing the semiconductor device - A photomask quality estimation system comprises a measuring unit, a latitude computation unit and an estimation unit. The measuring unit measures the mask characteristic of each of a plurality of chip patterns formed on a mask substrate. The latitude computation unit computes the exposure latitude of each chip pattern based on the mask characteristic. The estimation unit estimates the quality of each chip pattern based on the exposure latitude. | 05-06-2010 |
20100120246 | Methods Of Forming Electrically Insulative Materials, Methods Of Forming Low k Dielectric Regions, And Methods Of Forming Semiconductor Constructions - Some embodiments include methods of forming low k dielectric regions between electrically conductive lines. A construction may be formed to have a plurality of spaced apart electrically conductive lines, and to have sacrificial material between the electrically conductive lines. The sacrificial material may be removed. Subsequently, electrically insulative material may be deposited over and between the lines. The deposition of the insulative material may occur under conditions in which bread-loafing of the insulative material creates bridges of the insulative material across gas-filled gaps between the lines. The gas-filled gaps may be considered to correspond to low k dielectric regions between the electrically conductive lines. In some embodiments the sacrificial material may be carbon. In some embodiments, the deposited insulative material may be a low k dielectric material, and in other embodiments the deposited insulative material may not be a low k dielectric material. | 05-13-2010 |
20100136784 | SELF ALIGNED DOUBLE PATTERNING FLOW WITH NON-SACRIFICIAL FEATURES - Embodiments of the present invention pertain to methods of forming features on a substrate using a self-aligned double patterning (SADP) process. A conformal layer of non-sacrificial material is formed over features of sacrificial structural material patterned near the optical resolution of a photolithography system using a high-resolution photomask. An anisotropic etch of the non-sacrificial layer leaves non-sacrificial ribs above a substrate. A gapfill layer deposited thereon may be etched or polished back to form alternating fill and non-sacrificial features. No hard mask is needed to form the non-sacrificial ribs, reducing the number of processing steps involved. | 06-03-2010 |
20100136785 | DIRECT PATTERNING METHOD FOR MANUFACTURING A METAL LAYER OF A SEMICONDUCTOR DEVICE - A direct patterning method for manufacturing a metal layer of a semiconductor device is provided. The claimed method reduces the materials and hours required by prior methods such as the thin film depositing method for a substrate, and the photolithographic method for manufacturing a transistor. | 06-03-2010 |
20100136786 | PROCESS FOR MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - In order to provide an anticorrosive technique for metal wirings formed by a chemical mechanical polishing (CMP) method, a process for manufacturing a semiconductor integrated circuit device according to the invention comprises the steps of: forming a metal layer of Cu (or a Cu alloy containing Cu as a main component) over the major face of a wafer and then planarizing the metal layer by a chemical mechanical polishing (CMP) method to form metal wirings; anticorroding the planarized major face of the wafer to form a hydrophobic protective film over the surfaces of the metal wirings; immersing the anticorroded major face of the wafer or keeping the same in a wet state so that it may not become dry; and post-cleaning the major face, kept in the wet state, of the wafer. | 06-03-2010 |
20100144140 | METHODS FOR DEPOSITING TUNGSTEN FILMS HAVING LOW RESISTIVITY FOR GAPFILL APPLICATIONS - Methods of filling gaps or recessed features on substrates are provided. According to various embodiments, the methods involve bulk deposition of tungsten to partially fill the feature followed by a removing a top portion of the deposited tungsten. In particular embodiments, the top portion is removed by exposing the substrate to activated fluorine species. By selectively removing sharp and protruding peaks of the deposited tungsten grains, the removal operation polishes the tungsten along the feature sidewall. Multiple deposition-removal cycles can be used to close the feature. The filled feature is less prone to coring during CMP. | 06-10-2010 |
20100159695 | METHOD OF FABRICATING DISPLAY DEVICE - Disclosed is a method of fabricating a display device. The method includes providing a substrate in which a display region and a pad region formed around the display region are defined, forming a conductive layer on the substrate, forming a mask pattern by rolling a roller on the conductive layer, and patterning the conductive layer using the mask pattern to form a line in the display region and a pad in the pad region. The pad is formed of a pattern having a second width corresponding to a first width of the line. | 06-24-2010 |
20100167535 | CLEANING AGENT FOR SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE USING THE CLEANING AGENT - A cleaning agent used after chemical mechanical polishing of a semiconductor device, the cleaning agent including a polycarboxylic acid and diethylenetriamine pentaacetic acid, the semiconductor device including a copper diffusion barrier film and copper wiring on an interlayer dielectric film, and the dielectric film containing SiOC and having a dielectric constant of 3.0 or less. | 07-01-2010 |
20100167536 | METHOD FOR REMOVING HARDENED POLYMER RESIDUE - A method for efficiently removing hardened polymer residues generated in the process of forming metal lines. The method includes forming a metal layer over a lower film, forming a sacrificial protective film over the metal layer, forming a photosensitive pattern over the sacrificial protective film, forming a metal line by selectively etching the sacrificial protective film and the metal layer using the photosensitive pattern as a mask such that a residual sacrificial protective film is formed over the metal line, and then removing the residual sacrificial protective film from the metal line. | 07-01-2010 |
20100184287 | Method of Forming Patterns of Semiconductor Device - A method of forming patterns of a semiconductor device includes forming a hard mask layer and a first sacrificial layer over a first region and a second region of a semiconductor substrate, etching the first sacrificial layer to form a first sacrificial pattern having a first width in the first region and second sacrificial patterns having a second width in the second region, wherein the second width is narrower than the first width, forming a first spacer surrounding sidewalls of the first sacrificial pattern and a second spacer surrounding sidewalls of the second sacrificial patterns, removing the first and the second sacrificial patterns; and etching the first and second spacers. | 07-22-2010 |
20100221913 | SEMICONDUCTOR MANUFACTURING METHOD FOR INTER-LAYER INSULATING FILM - Provided is a technology capable of improving the reliability of a semiconductor device using a SiOC film as an interlayer film. In the invention, by forming an interlayer film from a SiOC film having a Si—CH | 09-02-2010 |
20100240215 | Multi-Sacrificial Layer and Method - MEMS devices and methods for utilizing sacrificial layers are provided. An embodiment comprises forming a first sacrificial layer and a second sacrificial layer over a substrate, wherein the second sacrificial layer acts as an adhesion layer. Once formed, the first sacrificial layer and the second sacrificial layer are patterned such that the second sacrificial layer is undercut to form a step between the first sacrificial layer and the second sacrificial layer. A top capacitor electrode is formed over the second sacrificial layer, and the first sacrificial layer and the second sacrificial layer are removed in order to free the top capacitor electrode. | 09-23-2010 |
20100248475 | METHOD OF FABRICATING A SEMICONDUCTOR DEVICE - A method of fabricating a semiconductor device is disclosed. In one embodiment, the method includes providing at least one semiconductor chip including an electrically conductive layer. A voltage is applied to an electrode. The electrode is moved over the electrically conductive layer for growing a metal layer onto the electrically conductive layer. | 09-30-2010 |
20100248476 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device may include, but is not limited to, the following processes. A conductive film is formed over a semiconductor substrate. First and second photo resist patterns are formed on the conductive film. A space is located between the first and second photo resist patterns. An insulating mask is formed by using catalytic reaction so as to cover surfaces of the first and second photo resist patterns. The insulating mask protects the surfaces of the first and second photo resist patterns. A part of the conductive film is etched by using the insulating mask on the first and second photo resist patterns as an etching mask. | 09-30-2010 |
20100267233 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A metal member layer on a silicon member layer is patterned. A sidewall film is formed on a surface of the metal member layer. The silicon member layer is patterned to form a structure including the silicon member layer and the metal member layer, the surface of which is covered with the sidewall film. After the surface of the structure is cleaned, a water-repellent protective film is formed on the surface of the structure before the surface of the structure is dried. | 10-21-2010 |
20110008962 | METHOD FOR FABRICATING A MULTILAYER MICROSTRUCTURE WITH BALANCING RESIDUAL STRESS CAPABILITY - A method for fabricating a multilayer microstructure with balancing residual stress capability includes forming a multilayer microstructure on a substrate and conducting a step of isotropic plasma etching. The multilayer microstructure includes a first metal layer, a second metal layer, a metal via layer and an insulating layer. The first metal layer and the second metal layer are patterned and aligned symmetrically so as to form etching through holes. The metal via layer surrounds each etching through hole. The insulating layer fills each etching through hole and is disposed between the substrate and the first metal layer. The step of isotropic chemical plasma etching removes the insulating layer in each etching through hole and the insulating layer between the substrate and the metal layer so as to form a suspended multilayer microstructure on the substrate. | 01-13-2011 |
20110014788 | Display panel structure and manufacture method thereof - A display panel structure having a circuit element disposed thereon and method of manufacture are provided. The display panel includes a substrate and the circuit element disposed on the substrate. The circuit element has a first interface layer and a first conductive layer. Both the first interface layer and the first conductive layer have cooper materials. The material which makes the first interface layer includes a reactant or a compound of the material which makes the first conductive layer. The method for manufacturing includes the following steps: forming a first interface layer on the substrate; forming a first conductive layer on the first interface layer; and etching the first conductive and interface layers to form a pattern. The existence of the first interface reduces the penetration of the first conductive layer on the substrate and improves the adhesive force between the first conductive layer and the substrate. | 01-20-2011 |
20110053372 | Low Temperature Surface Preparation for Removal of Organometallic Polymers in the Manufacture of Integrated Circuits - A method of removing photoresist from a surface during the manufacture of an integrated circuit. Organometallic polymers and monomers are formed during the etch of a hard mask material defining the locations of a metal-bearing film, such as tantalum nitride, when photoresist is used to mask the hard mask etch. These organometallic polymers and monomers as formed are not fully cross-linked. A liquid phase solution of sulfuric acid and hydrogen peroxide used to remove the photoresist also removes these not-fully-cross-linked organometallic polymers and monomers, thus preventing the formation of stubborn contaminants during subsequent high temperature processing. | 03-03-2011 |
20110053373 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A first insulating film, a second insulating film, a first resist pattern is formed on a semiconductor substrate, the second insulating film is etched to form a second-insulating-film pattern, a third insulating film is deposited over the second-insulating-film pattern to form a third-insulating-film pattern, the first insulating film is etched to form a first-insulating-film pattern, a fourth insulating film and a second resist pattern is formed over the first-insulating-film pattern, fourth insulating film is etched to form a fourth-insulating-film pattern, a fifth insulating film is deposited over the fourth-insulating-film pattern to form a fifth-insulating-film pattern, line parts of first-insulating-film pattern is etched to form a first-insulating-film pattern for wiring, a wiring film is formed over the first-insulating-film pattern for wiring, the wiring film is removed until the first-insulating-film pattern for wiring is exposed to form a wiring pattern. | 03-03-2011 |
20110124193 | CUSTOMIZED PATTERNING MODULATION AND OPTIMIZATION - The present disclosure provides one embodiment of an integrated circuit (IC) design method. The method includes providing an IC design layout of a circuit; applying an electrical patterning (ePatterning) modification to the IC design layout according to an electrical parameter of the circuit and an optical parameter of IC design layout; and thereafter fabricating a mask according to the IC design layout. | 05-26-2011 |
20110136340 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A method of fabricating a semiconductor device facilitates the forming of a conductive pattern of features having different widths. A conductive layer is formed on a substrate, and a mask layer is formed on the conductive layer. First spaced apart patterns are formed on the mask layer and a second pattern including first and second parallel portion is formed beside the first patterns on the mask layer. First auxiliary masks are formed over ends of the first patterns, respectively, and a second auxiliary mask is formed over the second pattern as spanning the first and second portions of the second pattern. The mask layer is then etched to form first mask patterns below the first patterns and a second mask pattern below the second pattern. The first and second patterns and the first and second auxiliary masks are removed. The conductive layer is then etched using the first and second mask patterns as an etch mask. | 06-09-2011 |
20110143537 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE AND SYNCHRONOUS PULSE PLASMA ETCHING EQUIPMENT FOR THE SAME - Provided are a method of fabricating a semiconductor device and synchronous pulse plasma etching equipment for the same. The method includes outputting a first radio frequency (RF) power and a control signal and outputting a second RF power. The first RF power is pulse-width modulated to have a first frequency and a first duty ratio, and is applied to a first electrode in a plasma etching chamber. The control signal includes information on a phase of the first RF power. The second RF power is pulse-width modulated to have the first frequency and a second duty ratio smaller than the first duty ratio, is applied to a corresponding second electrode among second electrodes in the plasma etching chamber, and is supplied for a time section in which the first RF power is supplied. | 06-16-2011 |
20110159685 | Methods Of Forming Electrically Insulative Materials, Methods Of Forming Low k Dielectric Regions, And Methods Of Forming Semiconductor Constructions - Some embodiments include methods of forming low k dielectric regions between electrically conductive lines. A construction may be formed to have a plurality of spaced apart electrically conductive lines, and to have sacrificial material between the electrically conductive lines. The sacrificial material may be removed. Subsequently, electrically insulative material may be deposited over and between the lines. The deposition of the insulative material may occur under conditions in which bread-loafing of the insulative material creates bridges of the insulative material across gas-filled gaps between the lines. The gas-filled gaps may be considered to correspond to low k dielectric regions between the electrically conductive lines. In some embodiments the sacrificial material may be carbon. In some embodiments, the deposited insulative material may be a low k dielectric material, and in other embodiments the deposited insulative material may not be a low k dielectric material. | 06-30-2011 |
20110263121 | MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE CONTAINING STACKED SEMICONDUCTOR CHIPS - An adhesive film is formed on an electrode film, and a coating film is formed thereon. Nickel, chrome, molybdenum, tungsten, aluminum or an alloy of them is used as a constituent material of the adhesive film. Gold, silver, platinum or an alloy of them is used as a constituent material of the coating film. | 10-27-2011 |
20110306207 | METHOD OF FABRICATING METAL-BEARING INTEGRATED CIRCUIT STRUCTURES HAVING LOW DEFECT DENSITY - A method of fabricating metal-bearing structures in an integrated circuit such as metal-polysilicon capacitors using conductive metal compounds. Defects due to organometallic polymers formed during the etch of a hard mask material are minimized by using a process that includes a plasma etch for the hard mask that achieves a predominantly chemical character using a fluorine-based etch chemistry. Using a low-temperature liquid-phase strip of the hard mask photoresist instead of an ash prevents further cross-linking of polymers formed during the plasma etch. Etching the metal-bearing material using a hot fully-concentrated mixture of ammonium hydroxide and hydrogen peroxide allows short etch times that are particularly shortened for tantalum nitride films deposited with a nitrogen concentration of about 30 percent or greater. | 12-15-2011 |
20120009785 | Depositing Tungsten Into High Aspect Ratio Features - Methods and apparatuses for filling high aspect ratio features with tungsten-containing materials are provided. The method involves providing a partially fabricated semiconductor substrate and depositing a tungsten-containing layer on the substrate surface to partially fill one or more high aspect ratio features. The method continues with selective removal of a portion of the deposited layer such that more material is removed near the feature opening than inside the feature. In certain embodiments, removal may be performed at mass-transport limited conditions with less etchant available inside the feature than near its opening. Etchant species are activated before being introduced into the processing chamber and/or while inside the chamber. In specific embodiments, recombination of the activated species is substantially limited and/or controlled during removal, e.g., operation is performed at less than about 250° C. and/or less than about 5 Torr. | 01-12-2012 |
20120009786 | PLASMA PROCESSING METHOD AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A plasma processing method in which performing a plasma etching on metal layers formed on a substrate is conducted to form a pattern having the metal layers in a stacked structure, and then a deposit containing a metal that forms the metal layers and being deposited on a sidewall portion of the pattern is removed, the method includes: forming a protective layer by forming an oxide or chloride of the metal on sidewall portions of the metal layers; removing the deposit by applying a plasma of a gas containing fluorine atoms; and reducing the oxide or chloride of the metal by applying a plasma containing hydrogen after forming the protective layer and removing the deposit. | 01-12-2012 |
20120028464 | APPARATUS AND METHOD FOR CONFORMAL MASK MANUFACTURING - A manufacturing process technology creates a pattern on a first layer using a focused ion beam process. The pattern is transferred to a second layer, which may act as a traditional etch stop layer. The pattern can be formed on the second layer without irradiation by light through a reticle and without wet chemical developing, thereby enabling conformal coverage and very fine critical feature control. Both dark field patterns and light field patterns are disclosed, which may enable reduced or minimal exposure by the focused ion beam. | 02-02-2012 |
20120070984 | METHOD FOR FORMING ELECTRODE STRUCTURE - In a method for forming an electrode structure in a display device, e.g. a source, drain or gate electrode or a pixel electrode, a photoactive conductive layer, which includes conductive material containing photoactive material, is formed above a substrate of the display device. The photoactive conductive layer is then patterned with a photo-mask and partially removed without the presence of a photo-resist to form the electrode structure. | 03-22-2012 |
20120115328 | ELECTROFORMING TECHNIQUE FOR MASK FORMATION - A method for making a mask for semiconductor manufacturing. The method includes providing a base layer, forming a conductive layer on the base layer, and forming a photoresist layer on the conductive layer. Additionally, the method includes exposing selectively the photoresist layer to an energy illumination, developing the photoresist layer by removing a first portion of the photoresist layer, and depositing a metal layer by an electroforming process. The electroforming process includes submerging the conductive layer into a chemical bath, and applying a deposition voltage across a negative electrode and a positive electrode. Moreover, the method includes removing a second portion of the photoresist layer, and removing a first portion of the conductive layer. | 05-10-2012 |
20120164828 | HIDDEN PLATING TRACES - A strengthened semiconductor die substrate and package are disclosed. The substrate may include contact fingers formed with nonlinear edges. Providing a nonlinear contour to the contact finger edges reduces the mechanical stress exerted on the semiconductor die which would otherwise occur with straight edges to the contact fingers. The substrate may additionally or alternatively include plating traces extending at an angle from the contact fingers. Extending at an angle, at least the ends of the plating traces at the edge of the substrate are covered beneath a lid in which the semiconductor package is encased. Thus, when in use with a host device, contact between the ends of the plating traces beneath the lid and contact pins of the host device is avoided. | 06-28-2012 |
20120184099 | LASER REMOVAL OF CONDUCTIVE SEED LAYERS - A method for making conductive traces and interconnects on a surface of a substrate includes, for an embodiment, forming a dielectric or polymer layer on the surface of the substrate, forming a seed layer of an electrically conductive material on the dielectric or polymer layer, patterning a photoresist on the seed layer, forming the conductive traces on the patterned photoresist and seed layer, removing the photoresist from the substrate, and irradiating the surface of the substrate with a fluence of laser light effective to ablate the seed layer from areas of the substrate surface exclusive of the conductive traces. | 07-19-2012 |
20120190194 | SELECTIVE POLYMER GROWTH ON A SEMICONDUCTOR SUBSTRATE - Method and systems provide growth of polymer structures at a high rate in a selective manner. In various embodiments, the method or system can expose the growth site to a polymer source and growing a polymer tube at a rate of at least 80 micrometer per hour at the growth site. The method or system can provide selectivity by providing a growth site on a substrate by patterning a metal, such as copper, that provides a seed site for the polymer. Non-selected sites can be coated with a polymer growth inhibitor, such as polyimide or silicon nitride. | 07-26-2012 |
20120270395 | METHOD FOR FABRICATING METAL PATTERN IN SEMICONDUCTOR DEVICE - A method for fabricating a metal pattern in a semiconductor device includes forming a metal layer over a substrate, forming a hard mask layer over the metal layer, forming a sacrifice pattern over the hard mask layer, forming a spacer pattern on sidewalks of the sacrifice pattern, removing the sacrifice pattern, forming a hard mask pattern by etching the hard mask layer using the spacer pattern as an etch barrier, forming an etching protection layer over the hard mask pattern and on sidewalks of the hard mask pattern, and forming the metal pattern by performing primary and secondary etching processes on the metal layer using the etching protection layer as an etch barrier. | 10-25-2012 |
20120282772 | METHOD OF MANUFACTURING A SEMICONDUCTOR COMPONENT - A method of manufacturing a semiconductor component includes the steps of manufacturing of a wafer, applying structures of components on the wafer to form a wafer assembly, applying a metal coating on the wafer, removing the metal coating in non-contact areas of the components, applying surrounds on the edge areas of the components, arranging the wafer on a foil held by a clamping ring, separating the components of the wafer compound carried by the foil from one another, arranging a covering mask on the areas of the separated components carried by the foil which are not to be coated, applying a metal coating on the separate components covered with the mask, removal of the mask, and removal of the components from the foil and further processing the separate components wherein that applying a metal coating on the separate components covered by the mask takes place by means of thermal spraying. | 11-08-2012 |
20120295440 | LASER MATERIAL REMOVAL METHODS AND APPARATUS - Embodiments of the present invention generally provide methods and apparatus for material removal using lasers in the fabrication of solar cells. In one embodiment, an apparatus is provided that removes portions of a dielectric layer deposited on a solar cell substrate according to a desired pattern. In certain embodiments, methods for removing a portion of a material via a laser without damaging the underlying substrate are provided. In one embodiment, the intensity profile of the beam is adjusted so that the difference between the maximum and minimum intensity within a spot formed on a substrate surface is reduced to an optimum range. In one example, the substrate is positioned such that the peak intensity at the center versus the periphery of the substrate is lowered. In one embodiment, the pulse energy is improved to provide thermal stress and physical lift-off of a desired portion of a dielectric layer. | 11-22-2012 |
20120302059 | ALIGNMENT TO MULTIPLE LAYERS - A method of aligning a new pattern to more than one previously defined pattern during the manufacture of an integrated circuit. A method of aligning a photolighography pattern reticle to a first previously defined pattern in a first direction and also aligning the photolithography pattern reticle to a second previously defined pattern in a second direction. A method of aligning a photolighography pattern reticle to two previously defined patterns in the same direction. | 11-29-2012 |
20120309192 | SEMICONDUCTOR PROCESS - A semiconductor process is provided. A mask layer is formed on a substrate and has a first opening exposing a portion of the substrate. Using the mask layer as a mask, a dry etching process is performed on the substrate to form a second opening therein. The second opening has a bottom portion and a side wall extending upwards and outwards from the bottom portion, wherein the bottom portion is exposed by the first opening and the side wall is covered by the mask layer. Using the mask layer as a mask, a vertical ion implantation process is performed on the bottom portion. A conversion process is performed, so as to form converting layers on the side wall and the bottom portion of the second opening, wherein a thickness of the converting layer on the side wall is larger than a thickness of the converting layer on the bottom portion. | 12-06-2012 |
20130023117 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS - A method of manufacturing a semiconductor device includes: preparing a wafer member, the wafer member including a wafer, a conductive layer formed on a surface of the wafer and a negative photoresist formed on the conductive layer; applying a light blocking material so as to cover at least a part of an outer edge of the wafer member from an upper surface of the negative photoresist to a side surface of the negative photoresist; exposing the negative photoresist to exposure light; removing the light blocking material; and developing the negative photoresist. | 01-24-2013 |
20130029488 | Single Liner Process to Achieve Dual Stress - Methods for imparting a dual stress property in a stress liner layer of a semiconductor device. The methods include depositing a metal layer over a compressive stress liner layer, applying a masking agent to a portion of the metal layer to produce a masked and unmasked region of the metal layer, etching the unmasked region of the metal layer to remove the metal layer in the unmasked region to thereby expose a corresponding portion of the compressive stress liner layer, removing the mask to expose the metal layer from the masked region, and irradiating the compressive stress liner layer to impart a tensile stress property to the exposed portion of the compressive stress liner layer. Methods are also provided for imparting a compressive-neutral dual stress property in a stress liner layer, as well as for imparting a neutral-tensile dual stress property in a stress liner layer. | 01-31-2013 |
20130040458 | APPARATUS AND METHOD FOR CONFORMAL MASK MANUFACTURING - A manufacturing process technology creates a pattern on a first layer using a focused ion beam process. The pattern is transferred to a second layer, which may act as a traditional etch stop layer. The pattern can be formed on the second layer without irradiation by light through a reticle and without wet chemical developing, thereby enabling conformal coverage and very fine critical feature control. Both dark field patterns and light field patterns are disclosed, which may enable reduced or minimal exposure by the focused ion beam. | 02-14-2013 |
20130078804 | METHOD FOR FABRICATING INTEGRATED DEVICES WITH REDUCTED PLASMA DAMAGE - A method for fabricating an integrated device with reduced plasma damage is disclosed, including providing a substrate, forming a structural layer on the substrate, forming a photoresist layer on the structural layer, and performing an etching process to the structural layer, wherein the photoresist layer is conductive to reduce plasma damage during the etching process. | 03-28-2013 |
20130095655 | Methods Of Forming Circuit Structures Within Openings And Methods Of Forming Conductive Lines Across At Least A Portion Of A Substrate - A method of forming circuit structures within openings includes forming pairs of spaced projections that project elevationally relative to a support material on opposing sides of respective openings formed into the support material. At least two of the spaced projections of different of the pairs are received between immediately adjacent of the openings. Conductive metal is formed elevationally over the projections and into and overfilling the openings. The metal is of a composition different from that of at least elevationally outermost portions of the projections. The metal is removed from being elevationally over the projections and at least some of the metal between the projections is removed. Other embodiments and aspects are disclosed. | 04-18-2013 |
20130115770 | ETCHING COMPOSITION, METHOD OF FORMING A METAL PATTERN AND METHOD OF MANUFACTURING A DISPLAY SUBSTRATE - An etching composition for a copper-containing layer includes about 0.1% to about 30% by weight of ammonium persulfate, about 0.1% to about 10% by weight of a sulfate, about 0.01% to about 5% by weight of an acetate and about 55% to about 99.79% by weight of water. The etching composition having improved stability during storage and an increased capacity for etching | 05-09-2013 |
20130122703 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device includes forming an etch target layer including an insulation layer and a metal layer over a substrate, forming a hard mask layer pattern over the etch target layer, forming a protective layer pattern which includes a region having a shape of an overhang formed in an upper portion of the hard mask layer pattern, etching the insulation layer of the etch target layer by using the first region as an etch barrier, and etching the metal layer of the etch target layer by using the second region as an etch barrier. | 05-16-2013 |
20130149861 | CONTACT FOR MEMORY CELL - A contact for memory cells and integrated circuits having a conductive layer supported by the sidewall of a dielectric mesa, memory cells incorporating such a contact, and methods of forming such structures. | 06-13-2013 |
20130260557 | PROCESS FOR SEMICONDUCTOR CIRCUIT - A semiconductor process for forming specific pattern features comprising the steps of forming a target layer, a hard mask layer and a plurality of equally spaced-apart core bodies on a substrate, forming spacers on sidewalls of the core bodies, removing the core bodies so that the spacers are spaced-apart on the hard mask layer, using spacers as a mask to pattern the hard mask layer, removing the hard mask bodies outside of a predetermined region, forming photoresists on several outermost hard mask bodies of the predetermined region, and using the photoresists and remaining hard mask bodies as a mask to pattern the target layer. | 10-03-2013 |
20140087558 | Methods of Forming Memory Cells; and Methods of Forming Vertical Structures - Some embodiments include methods of forming memory. A series of photoresist features may be formed over a gate stack, and a placeholder may be formed at an end of said series. The placeholder may be spaced from the end of said series by a gap. A layer may be formed over and between the photoresist features, over the placeholder, and within said gap. The layer may be anisotropically etched into a plurality of first vertical structures along edges of the photoresist features, and into a second vertical structure along an edge of the placeholder. A mask may be formed over the second vertical structure. Subsequently, the first vertical structures may be used to pattern string gates while the mask is used to pattern a select gate. Some embodiments include methods of forming conductive runners, and some embodiments may include semiconductor constructions. | 03-27-2014 |
20140094031 | METHOD FOR GENERATING MASK DATA AND METHOD FOR MANUFACTURING INTEGRATED CIRCUIT DEVICE - According to one embodiment, a method for generating mask data is configured to form a circuit pattern on a substrate using a directed self-assembly material. The method includes extracting a first region, setting a second region and setting a third region. The first region does not existing in the circuit pattern and existing in an initial pattern. The initial pattern includes a plurality of interconnect patterns extending in a first direction. The second region is formed by elongating the first region in a second direction intersecting the first direction. The second region straddles the first region in the second direction. The third region includes at least one of the second regions. The directed self-assembly material is disposed in the third region. | 04-03-2014 |
20140099787 | SEMICONDUCTOR DEVICE PROCESSING WITH REDUCED WIRING PUDDLE FORMATION - A method of forming an interconnect structure for a semiconductor device includes forming a lower antireflective coating layer over a dielectric layer; forming an organic planarizing layer on the lower antireflective coating layer; transferring a wiring pattern through the organic planarizing layer; transferring the wiring pattern through the lower antireflective coating layer; and transferring the wiring pattern through the dielectric layer, wherein unpatterned portions of the lower antireflective coating layer serve as an etch stop layer so as to prevent any bubble defects present in the organic planarizing layer from being transferred to the dielectric layer. | 04-10-2014 |
20140099788 | METHOD FOR APPLYING AN IMAGE OF AN ELECTRICALLY CONDUCTIVE MATERIAL ONTO A RECORDING MEDIUM AND DEVICE FOR EJECTING DROPLETS OF AN ELECTRICALLY CONDUCTIVE FLUID - The invention relates to a method for applying an image of an electrically conductive material onto a recording medium. In the method, the recording medium is heated and the electrically conductive material is jetted onto the recording medium. The invention further relates to a device for ejecting droplets of an electrically conductive fluid onto a recording medium. | 04-10-2014 |
20140113449 | Nanoelectromechanical Logic Devices - Nanoelectromechanical logic devices can include a plurality of flexible bridges having control and logic electrodes. Voltages applied to control electrodes can be used to control flexing of the bridges. The logic electrodes can provide logical functions of the applied voltages. | 04-24-2014 |
20140120719 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - The present invention relates to a method of manufacturing a semiconductor device for improving the spacer mask. In the present invention, a barrier layer and a sacrificial layer are formed, and the portions of the upper part of the spacer whose left and right sides differ greatly are ground away to leave the portion similar to a rectangle at the bottom of the spacer, which is used as the mask to perform the subsequent spacer masking technology. Thus the undesirable influences to the subsequent etching caused by the asymmetric profile of the spacer can be reduced as much as possible. | 05-01-2014 |
20140120720 | Method of protecting sidewall surfaces of a semiconductor device - One or more embodiments relate to a method of making a semiconductor structure, comprising: forming a opening partially through a semiconductor substrate, the opening including an upper portion and a lower portion; forming a first dielectric layer over a sidewall surface of the upper portion, wherein the first dielectric layer does not overlie a sidewall surface of the lower portion; and forming a conductive material over a sidewall surface of the first dielectric layer, the conductive material not being in direct contact with a sidewall surface of the lower portion. | 05-01-2014 |
20140120721 | METHOD OF MANUFACTURING AN ELECTRONIC COMPONENT - A method of manufacturing an electric component disclosed. A first electrically conducting layer including a first electrode of the electric component is formed on a substrate. An interlayer of a dielectric material is formed on the first electrically conducting layer, the dielectric material including an electrically insulating material. A further layer of a dielectric material is deposited on the interlayer of dielectric material, the further layer including a photo-patternable electrically insulating material. Both the further layer and said interlayer are structured, wherein the further layer of the dielectric material is used as a mask for the interlayer. A second electrically conducting layer including a second electrode of the electric component is then formed. | 05-01-2014 |
20140193974 | MULTI-PATTERNING METHOD AND DEVICE FORMED BY THE METHOD - A multi-patterning method includes: patterning at least two first openings in a hard mask layer over a substrate using a first mask; forming spacers within two of the at least two first openings, each spacer having a spacer opening therein for patterning a respective first circuit pattern over the substrate, wherein each spacer defines a pattern-free region adjacent to a respective one of the at least two first circuit patterns, and patterning a second circuit pattern in the hard mask layer using a second mask. The second circuit pattern is located between and excluded from the pattern free regions adjacent the at least two first circuit patterns. | 07-10-2014 |
20140273445 | METHOD FOR PROCESSING A CARRIER - A method for processing a carrier may include: forming a plurality of structure elements at least one of over and in a carrier, wherein at least two adjacent structure elements of the plurality of structure elements have a first distance between each other; depositing a first layer over the plurality of structure elements having a thickness which equals the first distance between the at least two adjacent structure elements; forming at least one additional layer over the first layer, wherein the at least one additional layer covers an exposed surface of the first layer; removing a portion of the at least one additional layer to expose the first layer partially; and partially removing the first layer, wherein at least one sidewall of the at least two adjacent structure elements is partially exposed. | 09-18-2014 |
20140273446 | Method of Patterning a Feature of a Semiconductor Device - A method including forming a first pattern having a first and second feature is described. A masking layer is formed over the first and second features. An opening is patterned in the masking layer. The opening can extend over at least one of the first and second features. The patterned opening is then used to form a third feature (filled trench) between the first and second features. A second pattern is then formed that includes a fourth feature and fifth feature each having an edge defined by the third feature. The first, second, fourth and fifth features may then be used to pattern an underlying layer over the semiconductor substrate. | 09-18-2014 |
20140349481 | Air-Gap Formation in Interconnect Structures - A structure includes a substrate, and a first metal line and a second metal line over the substrate, with a space therebetween. A first air gap is on a sidewall of the first metal line and in the space, wherein an edge of the first metal line is exposed to the first air gap. A second air gap is on a sidewall of the second metal line and in the space, wherein an edge of the second metal line is exposed to the second air gap. A dielectric material is disposed in the space and between the first and the second air gaps. | 11-27-2014 |
20140357078 | METHODS OF FORMING CONDUCTIVE STRUCTURES USING A SACRIFICIAL MATERIAL DURING AN ETCHING PROCESS THAT IS PERFORMED TO REMOVE A METAL HARD MASK - One illustrative method disclosed herein includes forming at least one layer of insulating material above a conductive structure, forming a patterned hard mask comprised of metal above the layer of insulating material, performing at least one etching process to define a cavity in the layer of insulating material that exposes at least a portion of a conductive structure, forming a layer of sacrificial material that covers the exposed portion of the conductive structure, with the layer of sacrificial material in position, performing at least one second etching process to remove the patterned hard mask while leaving the layer of sacrificial material in position within the cavity, and removing the layer of sacrificial material positioned within the cavity. | 12-04-2014 |
20140357079 | METHODS OF FORMING CONDUCTIVE STRUCTURES USING A SACRIFICIAL MATERIAL DURING A METAL HARD MASK REMOVAL PROCESS - One illustrative method disclosed herein includes forming at least one layer of insulating material above a conductive structure, forming a patterned hard mask comprised of metal above the layer of insulating material, performing at least one etching process to define a cavity in the layer of insulating material, forming a layer of sacrificial material so as to overfill the cavity, performing at least one planarization process to remove a portion of the layer of sacrificial material and the patterned hard mask while leaving a remaining portion of the layer of sacrificial material within the cavity, and removing the remaining portion of the layer of sacrificial material positioned within the cavity. | 12-04-2014 |
20150031203 | METHOD FOR PROCESSING A WORKPIECE - A method for processing a workpiece may include: providing a workpiece including a first region and a second region; forming a porous metal layer over the first region and the second region; wherein the first region and the second region are configured such that an adhesive force between the second region and the porous metal layer is lower than an adhesive force between the first region and the porous metal layer. | 01-29-2015 |
20150044869 | METHOD TO IMPROVE SEMICONDUCTOR SURFACES AND POLISHING - A method of forming a semiconductor device is disclosed. The method including providing a substrate with at least one insulating layer disposed thereon, the at least one insulating layer including a trench; forming at least one liner layer on the at least one insulating layer; forming a nucleation layer on the at least one liner layer; forming a first metal film on a surface of the nucleation layer; etching the first metal film; and depositing a second metal film on the etched surface of the first metal film, the second metal film substantially forming an overburden above the trench. | 02-12-2015 |
20150050807 | TUNGSTEN DEPOSITION WITH TUNGSTEN HEXAFLUORIDE (WF6) ETCHBACK - Implementations described herein generally relate to methods for forming tungsten materials on substrates using vapor deposition processes. The method comprises positioning a substrate having a feature formed therein in a substrate processing chamber, depositing a first film of a bulk tungsten layer by introducing a continuous flow of a hydrogen containing gas and a tungsten halide compound to the processing chamber to deposit the first tungsten film over the feature, etching the first film of the bulk tungsten layer using a plasma treatment to remove a portion of the first film by exposing the first film to a continuous flow of the tungsten halide compound and an activated treatment gas and depositing a second film of the bulk tungsten layer by introducing a continuous flow of the hydrogen containing gas and the tungsten halide compound to the processing chamber to deposit the second tungsten film over the first tungsten film. | 02-19-2015 |
20150072522 | ABRASIVE PARTICLE, POLISHING SLURRY, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME - Provided are an abrasive particle including auxiliary particles formed on a surface of a mother particle, a polishing slurry prepared by mixing the abrasive particles with a polishing accelerating agent and a pH adjusting agent, and a method of manufacturing a semiconductor device in which an insulating layer is polished by the polishing slurry while using a conductive layer as a polishing stop layer. | 03-12-2015 |
20150072523 | Methods of Forming Diodes - Some embodiments include methods of forming diodes in which a first electrode is formed to have a pedestal extending upwardly from a base. At least one layer is deposited along an undulating topography that extends across the pedestal and base, and a second electrode is formed over the least one layer. The first electrode, at least one layer, and second electrode together form a structure that conducts current between the first and second electrodes when voltage of one polarity is applied to the structure, and that inhibits current flow between the first and second electrodes when voltage having a polarity opposite to said one polarity is applied to the structure. Some embodiments include diodes having a first electrode that contains two or more projections extending upwardly from a base, having at least one layer over the first electrode, and having a second electrode over the at least one layer. | 03-12-2015 |
20150079786 | METHOD AND SOLUTION FOR CLEANING METAL RESIDUE - A solution for processing devices is provided, comprising an activator comprising at least one of pyridine, pyrole, pyrrolidine, pyrimidine, N,N-dimethylformamide, tetraethylamine chloride, 4 pyridinethiol, or other organic compounds with a single N with a lone pair electron activator and an etchant comprising at least one of thionly chloride, Cl | 03-19-2015 |
20150087148 | ETCHANT COMPOSITION AND METHODS OF FABRICATING METAL WIRING AND THIN FILM TRANSISTOR SUBSTRATE USING THE SAME - An etchant composition including 0.5 wt % to 20 wt % of a persulfate, 0.01 wt % to 1 wt % of a fluorine compound, 1 wt % to 10 wt % of an inorganic acid, 0.01 wt % to 2 wt % of an azole-based compound, 0.1 wt % to 5 wt % of a chlorine compound, 0.05 wt % to 3 wt % of a copper salt, 0.01 wt % to 5 wt % of an antioxidant or a salt thereof, based on a total weight of the etchant composition, and water in an amount sufficient for the total weight of the etchant composition to be equal to 100 wt % is disclosed. The etchant composition is suitable for use in forming a metal wiring by etching a metal layer including copper or in fabricating a thin film transistor substrate for a display apparatus. | 03-26-2015 |
20150111379 | PHOTOLITHOGRAPHIC, THICKNESS NON-UNIFORMITY, COMPENSATION FEATURES FOR OPTICAL PHOTOLITHOGRAPHIC SEMICONDUCTOR STRUCTURE FORMATION - A semiconductor structure having a substrate; an active device formed in an active semiconductor region of the substrate, the active device having a control electrode for controlling a flow of carriers through the active semiconductor region between a pair of electrical contacts; and a photolithographic, thickness non-uniformity, compensation feature, disposed on the surface substrate off of the active semiconductor region. In one embodiment the feature comprises pads on the surface of the substrate and off of the active semiconductor region. | 04-23-2015 |
20150140811 | Spacer-Damage-Free Etching - A method of patterning a semiconductor device is disclosed. A tri-layer photoresist is formed over a plurality of patterned features. The tri-layer photoresist includes a bottom layer, a middle layer disposed over the bottom layer, and a top layer disposed over the middle layer, the top layer containing a photo-sensitive material. The top layer is patterned via a photolithography process, the patterned top layer including an opening. The opening is extended into the bottom layer by etching the bottom layer and continuously forming a protective layer on etched surfaces of the bottom layer and on exposed surfaces of the patterned features. The bottom layer is removed. At least some portions of the protective layer remain on the exposed surfaces of the patterned features after the bottom layer is removed. | 05-21-2015 |
20150140812 | METHODS FOR DRY ETCHING COBALT METAL USING FLUORINE RADICALS - Embodiments of methods for etching cobalt metal using fluorine radicals are provided herein. In some embodiments, a method of etching a cobalt layer in a substrate processing chamber includes: forming a plasma from a process gas comprising a fluorine-containing gas; and exposing the cobalt layer to fluorine radicals from the plasma while maintaining the cobalt layer at a temperature of about | 05-21-2015 |
20150348840 | METHODS OF FILLING HIGH ASPECT RATIO FEATURES WITH FLUORINE FREE TUNGSTEN - Provided herein are methods and apparatus for depositing and etching tungsten. The methods involve using tungsten chlorides (WCl | 12-03-2015 |
20150357234 | METHOD FOR PROVIDING A SELF-ALIGNED PAD PROTECTION IN A SEMICONDUCTOR DEVICE - According to one embodiment, a method for processing a semiconductor device is provided including forming a final metal layer forming a passivation layer over the final metal layer and structuring the passivation layer and the final metal layer to form a patterned metal layer and a patterned passivation layer, wherein the patterned metal layer includes a pad region covered by the patterned passivation layer. | 12-10-2015 |
20160047053 | ETCHING SOLUTION, ETCHING SOLUTION KIT, ETCHING METHOD USING SAME, AND METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE PRODUCT - There is provided an etching solution of a semiconductor substrate that includes a first layer containing germanium (Ge) and a second layer containing a specific metal element other than germanium (Ge), the etching solution selectively removing the second layer and including an organic alkali compound. | 02-18-2016 |
20160053385 | ETCHING METHOD, ETCHING SOLUTION USED IN SAME, AND PRODUCTION METHOD FOR SEMICONDUCTOR SUBSTRATE PRODUCT - There is provided an etching method of a semiconductor substrate that includes a first layer containing germanium (Ge) and a second layer containing at least one specific metal element selected from nickel platinum (NiPt), titanium (Ti), nickel (Ni), and cobalt (Co), the method including: bringing an etching solution which contains a non-halogen acidic compound into contact with the second layer and selectively removing the second layer. | 02-25-2016 |
20160053386 | ETCHING SOLUTION AND ETCHING SOLUTION KIT, ETCHING METHOD USING SAME, AND PRODUCTION METHOD FOR SEMICONDUCTOR SUBSTRATE PRODUCT - There is provided an etching solution of a semiconductor substrate that includes a first layer containing germanium (Ge) and a second layer containing a specific metal element other than germanium (Ge), the etching solution selectively removing the second layer and including following specific acid compound | 02-25-2016 |
20160086819 | CMP POLISHING SOLUTION AND POLISHING METHOD USING SAME - A CMP polishing liquid for polishing a ruthenium-based metal, comprising polishing particles, an acid component, an oxidizing agent, and water, wherein the acid component contains at least one selected from the group consisting of inorganic acids, monocarboxylic acids, carboxylic acids having a plurality of carboxyl groups and having no hydroxyl group, and salts thereof, the polishing particles have a negative zeta potential in the CMP polishing liquid, and the pH of the CMP polishing liquid is less than 7.0. | 03-24-2016 |
20160087071 | Methods of Forming Diodes - Some embodiments include methods of forming diodes in which a first electrode is formed to have a pedestal extending upwardly from a base. At least one layer is deposited along an undulating topography that extends across the pedestal and base, and a second electrode is formed over the least one layer. The first electrode, at least one layer, and second electrode together form a structure that conducts current between the first and second electrodes when voltage of one polarity is applied to the structure, and that inhibits current flow between the first and second electrodes when voltage having a polarity opposite to said one polarity is applied to the structure. Some embodiments include diodes having a first electrode that contains two or more projections extending upwardly from a base, having at least one layer over the first electrode, and having a second electrode over the at least one layer. | 03-24-2016 |
20160172457 | METHODS OF FABRICATING SILICON NANOWIRES AND DEVICES CONTAINING SILICON NANOWIRES | 06-16-2016 |