Entries |
Document | Title | Date |
20080211041 | Micro electrical mechanical system device - A micro electrical mechanical system device includes a frame portion having an upper surface with a rectangular shape; a functional element; a beam portion extending from one of sides of the frame portion toward an opposite one and having a first side surface, a second side surface opposite to the first side surface, and upper and lower surfaces between the first and second side surfaces; and a movable portion supported on the beam portion inside the frame portion to be movable. The beam portion includes a constricted portion formed in the first side surface and the second side surface along the functional element, and having a main surface and two side surfaces facing each other. The movable portion includes a center portion having four corner portions and protruding portions extending from the corner portions and away from the frame portion and the beam portion. | 09-04-2008 |
20080211042 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE - To provide a method for manufacturing a semiconductor device and a semiconductor device manufactured by the method. In the method, a movable portion formed on a semiconductor substrate can be released by etching an insulation layer in a shorter time and more readily controlling the etching amount in a section direction of the insulation layer. | 09-04-2008 |
20080211043 | METHOD AND SYSTEM FOR FLIP CHIP PACKAGING OF MICRO-MIRROR DEVICES - A package for a micro-electromechanical device includes a substrate adapted to support the micro-electromechanical device. The micro-electromechanical device is electrically coupled to a plurality of electrodes. The package also includes a thermally conductive structure coupled to the substrate, an electrical contact layer having a plurality of traces in electrical communication with the plurality of electrodes, and an interposer structure coupled to the substrate. The interposer structure includes a continuous annular region defining a recessed region bounded by a bond surface. The package further includes a transparent cover coupled to the interposer structure and sealing the micro-electromechanical device in the recessed region to isolate the micro-electromechanical device in a controlled environment. | 09-04-2008 |
20080211044 | Micro-electro-mechanical systems device - According to an aspect of an embodiment, a micro-electro-mechanical systems (MEMS) device comprises a substrate, a MEMS and a movable absorber. | 09-04-2008 |
20080224241 | ELECTRONIC DEVICE, RESONATOR, OSCILLATOR AND METHOD FOR MANUFACTURING ELECTRONIC DEVICE - An electronic device includes a substrate, a functional structural body formed on the substrate and a covering structure for defining a cavity part having the functional structural body disposed therein, wherein the covering structure is provided with a side wall provided on the substrate and comprising an interlayer insulating layer surrounding the cavity part and a wiring layer; a first covering layer covering an upper portion of the cavity part and having an opening penetrating through the cavity part and composed of a laminated structure including a corrosion-resistant layer; and a second covering layer for closing the opening. | 09-18-2008 |
20080230856 | INTERMEDIATE PROBE STRUCTURES FOR ATOMIC FORCE MICROSCOPY - An intermediate probe structure for atomic force microscopy is disclosed. The probe structure comprises a semiconductor substrate with one or more moulds formed on a surface of one side of the substrate. The probe structure further comprises one or more probe configurations formed on the one side of the semiconductor substrate, wherein each probe configuration comprises a contact region and at least one set of a probe tip and a cantilever. The probe structure further comprises one or more holders attached to each of the contact regions, wherein the surface area of each contact region is smaller in size than the surface area of the holder which is attached to the contact region. | 09-25-2008 |
20080230857 | SENSOR CHIP AND SUBSTRATE ASSEMBLY FOR MEMS DEVICE - A sensor chip and substrate assembly for use in a MEMS device includes a substrate and a sensor chip. The substrate has a top surface, a bottom surface opposite to the top surface, and a passage obliquely penetrating through the top surface and the bottom surface. The sensor chip is mounted on the top surface of the substrate and provided with a sensing zone facing the passage of the substrate. The oblique passage provides a buffering effect to prevent damage to the sensor chip when the quantity of the physical property sending from the detected object increases sharply. | 09-25-2008 |
20080237755 | Seek-scan probe (SSP) memory including mechanism for track correction - An apparatus comprising a substrate having one or more anchors formed thereon; a movable platform suspended by one or more tether beams from the one or more anchors; an actuator coupled to the movable platform; and a micro-electro-mechanical (MEMS) probe having a proximal end, a distal end and a longitudinal axis extending between the proximal end and the distal end, wherein the proximal end is coupled to the movable platform and the distal end can be actuated in a direction substantially normal to a surface of the substrate. A process comprising forming one or more anchors on a substrate; suspending a movable platform by one or more tether beams coupled to the one or more anchors; coupling an actuator to the movable platform; and coupling a micro-electro-mechanical (MEMS) probe to the movable platform, the MEMS probe having a proximal end, a distal end and a longitudinal axis extending between the proximal end and the distal end, wherein the proximal end is coupled to the movable platform and the distal end can be actuated in a direction substantially normal to a surface of the substrate. | 10-02-2008 |
20080237756 | Microelectromechanical systems, and methods for encapsualting and fabricating same - There are many inventions described and illustrated herein. In one aspect, the present invention is directed to a MEMS device, and technique of fabricating or manufacturing a MEMS device, having mechanical structures encapsulated in a chamber prior to final packaging. The material that encapsulates the mechanical structures, when deposited, includes one or more of the following attributes: low tensile stress, good step coverage, maintains its integrity when subjected to subsequent processing, does not significantly and/or adversely impact the performance characteristics of the mechanical structures in the chamber (if coated with the material during deposition), and/or facilitates integration with high-performance integrated circuits. In one embodiment, the material that encapsulates the mechanical structures is, for example, silicon (polycrystalline, amorphous or porous, whether doped or undoped), silicon carbide, silicon-germanium, germanium, or gallium-arsenide. | 10-02-2008 |
20080237757 | MICRO MOVABLE DEVICE, WAFER, AND METHOD OF MANUFACTURING WAFER - A micro movable device is made by processing a material substrate of a multilayer structure including a first layer, a second layer having a finely rough region on its surface on the side of the first layer, and an intermediate layer provided between the first and the second layer. The micro movable device includes a first structure formed in the first layer and a second structure formed in the second layer. The second structure includes a portion opposing the first structure via a gap and having a finely rough region on the side of the first structure, and being relatively displaceable with respect to the first structure. | 10-02-2008 |
20080272446 | Packaged MEMS device assembly - A packaged micro-electromechanical systems (MEMS) device assembly includes a MEMS device, a substrate within which the MEMS device is disposed, and a lid disposed over the substrate. The assembly may include one or more first cavities within the lid having a predetermined volume satisfying packaging specifications for the packaged MEMS device assembly. The assembly may include one or more second cavities within the lid and one or more corresponding overflow areas within the lid, where each second cavity contains a material and each corresponding overflow area is adapted to catch overflow of the material. The assembly may include one or more third cavities within the lid and one or more channels within one of the substrate and the lid to fluidically connect the MEMS device to the third cavities. | 11-06-2008 |
20080272447 | METHOD FOR MANUFACTURING A MICRO-ELECTRO-MECHANICAL DEVICE, IN PARTICULAR AN OPTICAL MICROSWITCH, AND MICRO-ELECTRO-MECHANICAL DEVICE THUS OBTAINED - A method for manufacturing a micro-electro-mechanical device, which has supporting parts and operative parts, includes providing a first semiconductor wafer, having a first layer of semiconductor material and a second layer of semiconductor material arranged on top of the first layer, forming first supporting parts and first operative parts of the device in the second layer, forming temporary anchors in the first layer, and bonding the first wafer to a second wafer, with the second layer facing the second wafer. After bonding the first wafer and the second wafer together, second supporting parts and second operative parts of said device are formed in the first layer. The temporary anchors are removed from the first layer to free the operative parts formed therein. | 11-06-2008 |
20080277747 | MEMS device support structure for sensor packaging - A sensor device and a method of forming comprises a die pad receives a MEMS device. The MEMS device has a first coefficient of thermal expansion (CTE). The die pad is made of a material having a second CTE compliant with the first CTE. The sensor device includes a support structure with a CTE not compliant with the first and second CTE. The support structure has a cylindrical port that protrudes from a base and is coupled to the die pad. The cylindrical port has a height and wall thickness which minimize forces felt by the die pad and MEMS device when the support structure undergoes thermal expansion or contraction. The base and cylindrical port can have different or similar outer diameters. The die pad has an aperture which communicates with an aperture of the MEMS device, whereby the die pad aperture has a smaller diameter than the MEMS aperture. | 11-13-2008 |
20080283942 | PACKAGE AND PACKAGING ASSEMBLY OF MICROELECTROMECHANICAL SYSYEM MICROPHONE - A package of a MEMS microphone is suitable for being mounted on a printed circuit board. The package includes a substrate, at least one MEMS microphone, and a conductive sealing element. The MEMS microphone is arranged on the substrate, and electrically connected to a conductive layer on a bottom surface of the substrate. The conductive sealing element is arranged on the substrate and around the MEMS microphone for connecting the printed circuit board, and constructs an acoustic housing with the printed circuit board and the substrate. The acoustic housing has at least one acoustic hole passing through the substrate. The acoustic hole has a metal layer on the inner wall thereof for connecting the conductive layer on the bottom surface of the substrate to another conductive layer on the top surface of the substrate. | 11-20-2008 |
20080283943 | Electronic Device Comprising a Mems Element - The device ( | 11-20-2008 |
20080315332 | Micromechanical Component and Manufacturing Method - A micromechanical component has a substrate, a first intermediate layer which is situated thereupon, and a first layer which is situated thereupon and is structured down to the first intermediate layer. A second intermediate layer is situated above the first layer. A second layer is situated on the former, at least one movable micromechanical structure being structured into the second layer. The second intermediate layer is removed in a sacrificial zone beneath the movable micromechanical structure and the first intermediate layer is partially removed in zones beneath the first layer. The movable micromechanical structure is provided with at least one stop surface on a bottom face, this stop surface being contactable with a zone of the first layer which is supported by the first intermediate layer by deflection of the movable micromechanical structure. A method for producing such a micromechanical component is also described. | 12-25-2008 |
20080315333 | SUBSTRATE-LEVEL ASSEMBLY FOR AN INTEGRATED DEVICE, MANUFACTURING PROCESS THEREOF AND RELATED INTEGRATED DEVICE - A substrate-level assembly having a device substrate of semiconductor material with a top face and housing a first integrated device, including a buried cavity formed within the device substrate, and with a membrane suspended over the buried cavity in the proximity of the top face. A capping substrate is coupled to the device substrate above the top face so as to cover the first integrated device in such a manner that a first empty space is provided above the membrane. Electrical-contact elements electrically connect the integrated device with the outside of the substrate-level assembly. In one embodiment, the device substrate integrates at least a further integrated device provided with a respective membrane, and a further empty space, fluidically isolated from the first empty space, is provided over the respective membrane of the further integrated device. | 12-25-2008 |
20080315334 | Packaged chip devices with atomic layer deposition protective films - A low-temperature inorganic dielectric ALD film (e.g., Al | 12-25-2008 |
20090001486 | Forming a cantilever assembly for verticle and lateral movement - In one embodiment, the present invention includes a method for forming a sacrificial oxide layer on a base layer of a microelectromechanical systems (MEMS) probe, patterning the sacrificial oxide layer to provide a first trench pattern having a substantially rectangular form and a second trench pattern having a substantially rectangular portion and a lateral portion extending from the substantially rectangular portion, and depositing a conductive layer on the patterned sacrificial oxide layer to fill the first and second trench patterns to form a support structure for the MEMS probe and a cantilever portion of the MEMS probe. Other embodiments are described and claimed. | 01-01-2009 |
20090001487 | PACKAGED DEVICE AND METHOD OF MANUFACTURING THE SAME - A packaged device includes a package having an inner surface defining a closed internal space, a device chip fixed to the package in the internal space, and a parylene film covering at least a part of the inner surface of the package and/or at least a part of a surface of the device chip. | 01-01-2009 |
20090008728 | Semiconductor device and manufacturing method of the same - A semiconductor device includes: a sensor element having a plate shape with a surface and including a sensor structure disposed in a surface portion of the sensor element; and a plate-shaped cap element bonded to the surface of the sensor element. The cap element has a wiring pattern portion facing the sensor element. The wiring pattern portion connects an outer periphery of the surface of the sensor element and the sensor structure so that the sensor structure is electrically coupled with an external element via the outer periphery. The sensor element does not have a complicated multi-layered structure, so that the sensor element is simplified. Further, the dimensions of the device are reduced. | 01-08-2009 |
20090014819 | Micromechanical Component, Method for Fabrication and Use - A micromechanical component that can be produced in an integrated thin-film method is disclosed, which component can be produced and patterned on the surface of a substrate as multilayer construction. At least two metal layers that are separated from the substrate and with respect to one another by interlayers are provided for the multilayer construction. Electrically conductive connecting structures provide for an electrical contact of the metal layers among one another and with a circuit arrangement arranged in the substrate. The freely vibrating membrane that can be used for an inertia sensor, a microphone or an electrostatic switch can be provided with matching and passivation layers on all surfaces in order to improve its mechanical properties, said layers being concomitantly deposited and patterned during the layer producing process or during the construction of the multilayer construction. Titanium nitride layers are advantageously used for this. | 01-15-2009 |
20090026560 | SENSOR PACKAGE - A sensor package is disclosed. One embodiment provides a sensor device having a carrier, a semiconductor sensor mounted on the carrier and an active surface. Contact elements are electrically connecting the carrier with the semiconductor sensor. A protective layer made of an inorganic material covers at least the active surface and the contact elements. | 01-29-2009 |
20090039449 | FINGERPRINT SENSING DEVICE HAVING FLEXIBLE PRINTED CIRCUIT BOARD SERVING AS SIGNAL TRANSMISSION STRUCTURE AND THE METHOD OF MANUFACTURING THE SAME - A fingerprint sensing device includes a chip substrate, a plurality of first connecting pads and a flexible printed circuit board. The chip substrate has a plurality of fingerprint sensing cells. The first connecting pads are respectively disposed on the fingerprint sensing cells and exposed from a top surface of the chip substrate. The flexible printed circuit board is disposed above the chip substrate and has a plurality of signal transmission structures exposed from a bottom surface of the flexible printed circuit board. The fingerprint sensing cells are respectively electrically connected to the signal transmission structures, and a top surface of the flexible printed circuit board serves as a contact surface for a finger so that sensed fingerprint signals of the finger are transmitted to the fingerprint sensing cells through the signal transmission structures. A method of manufacturing the fingerprint sensing device is also disclosed. | 02-12-2009 |
20090045473 | Devices having horizontally-disposed nanofabric articles and methods of making the same - New devices having horizontally-disposed nanofabric articles and methods of making same are described. A discrete electro-mechanical device includes a structure having an electrically-conductive trace. A defined patch of nanotube fabric is disposed in spaced relation to the trace; and the defined patch of nanotube fabric is electromechanically deflectable between a first and second state. In the first state, the nanotube article is in spaced relation relative to the trace, and in the second state the nanotube article is in contact with the trace. A low resistance signal path is in electrical communication with the defined patch of nanofabric. Under certain embodiments, the structure includes a defined gap into which the electrically conductive trace is disposed. The defined gap has a defined width, and the defined patch of nanotube fabric spans the gap and has a longitudinal extent that is slightly longer than the defined width of the gap. | 02-19-2009 |
20090050988 | MEMS APPARATUS AND METHOD OF MANUFACTURING THE SAME - A MEMS apparatus includes a MEMS unit formed on a semiconductor substrate and a cover provided with a pore and serving to seal the MEMS unit. The pore is sealed with a sealing material shaped in a sphere or a hemisphere. | 02-26-2009 |
20090050989 | Semiconductor device - A semiconductor device of the present invention includes a semiconductor substrate, a semiconductor element formed in the semiconductor substrate, a surface layer formed on the semiconductor substrate, and a capacitance type sensor formed on the surface layer. The surface layer has a planar portion whose surface is planar. The capacitance type sensor includes a lower thin film parallelly opposed to the surface of the planar portion and an upper thin film opposed to the lower thin film at a prescribed interval on the side opposite to the surface layer. | 02-26-2009 |
20090050990 | SEMICONDUCTOR SENSOR DEVICE AND METHOD FOR MANUFACTURING SAME - Provided is a semiconductor sensor device which is manufactured by an MEMS technology wherein machining technology and/or material technology is combined with semiconductor technology for detecting and measuring various physical quantities. In the semiconductor sensor device, cracks which generate in a cap chip and a molding resin are eliminated and air-tightness between a semiconductor sensor chip and the cap chip is ensured. The cracks due to vibration applied when being cut can be eliminated by having the circumference side surface of the cap chip as a wet-etched surface. Furthermore, insulation is ensured by coating the cap chip side surface with an insulating protection film. | 02-26-2009 |
20090057792 | CHARGE BIASED MEM RESONATOR - A resonator has a vibrating element ( | 03-05-2009 |
20090065882 | Semiconductor device, lead frame, and microphone package therefor - A semiconductor device is constituted of a mold sheet for mounting a sensor chip and a cover having a box-like shape, both of which are combined together so as to form a cavity therebetween. The mold sheet includes a stage having a rectangular shape in a plan view, a plurality of cutouts formed in the periphery of the stage, and a plurality of lead terminals arranged inside of the cutouts. The lead terminals include a plurality of connection portions electrically connected to the sensor chip and a plurality of support leads which are externally extended from the periphery of the stage. The stage and the lead terminals are sealed with a mold resin, by which they are electrically insulated from each other. The recesses of the support leads are sealed with the insulating resin mold relative to the surface of the mold sheet so as to mount the opening end of the cover. | 03-12-2009 |
20090072333 | SENSOR ARRAY HAVING A SUBSTRATE AND A HOUSING, AND METHOD FOR MANUFACTURING A SENSOR ARRAY - In a sensor array having a substrate and a housing, and in a method for manufacturing a sensor array are proposed, the housing substantially completely surrounds the substrate in a first substrate area, the housing is provided in a second substrate area at least partly open via an opening, and the second substrate area is provided protruding from the housing in the area of the opening. | 03-19-2009 |
20090072334 | Semiconductor device, pre-mold package, and manufacturing method therefor - A pre-mold package of a semiconductor device is constituted of a lead frame, a mold resin having a box-like shape constituted of a side wall and a bottom for mounting at least one semiconductor chip, and a cover composed of a conductive material. The lead frame includes a shield plate embedded in the bottom of the mold resin, a plurality of arms, and a plurality of external terminals that are exposed on the backside of the bottom of the mold resin. The arms are embedded in the side wall so that the distal ends thereof are exposed on the upper end of the side wall and are electrically connected to the cover. Instead of the arms, a plurality of internal terminals is included in the lead frame so that the distal ends thereof are exposed on the upper surfaces of racks formed inside of the mold resin. | 03-19-2009 |
20090079017 | Semiconductor device having multiple substrates - A semiconductor device includes a first substrate including first, second and third layers; and a second substrate including fourth, fifth and sixth layers. The first substrate provides an electric device. The second substrate provides a physical quantity sensor. The first layer of the first substrate and the fourth layer of the second substrate are shields for protecting the electric device and the physical quantity sensor. The device is protected from outside disturbance without adding an additional shield. | 03-26-2009 |
20090090987 | Mems element, mems device and mems element manufacturing method - An MEMS element (A | 04-09-2009 |
20090096040 | Sensor geometry for improved package stress isolation - The sensor geometry for improved package stress isolation is disclosed. A counterbore on the backing plate improves stress isolation properties of the sensor. The counterbore thins the wall of the backing plate maintaining the contact area with the package. The depth and diameter of the counterbore can be adjusted to find geometry for allowing the backing plate to absorb more package stresses. Thinning the wall of the backing plate make it less rigid and allows the backing plate to absorb more of the stresses produced at the interface with the package. The counterbore also keeps a large surface area at the bottom of the backing plate creating a strong bond with the package. | 04-16-2009 |
20090101997 | Micromechanical Capacitive Pressure Transducer and Production Method - The present invention describes a method for producing a micromechanical capacitive pressure transducer and a micromechanical component produced by this method. First, a first electrode is produced in a doped semiconductor substrate. | 04-23-2009 |
20090108381 | Low temperature bi-CMOS compatible process for MEMS RF resonators and filters - A method of formation of a microelectromechanical system (MEMS) resonator or filter which is compatible with integration with any analog, digital, or mixed-signal integrated circuit (IC) process, after or concurrently with the formation of the metal interconnect layers in those processes, by virtue of its materials of composition, processing steps, and temperature of fabrication is presented. The MEMS resonator or filter incorporates a lower metal level, which forms the electrodes of the MEMS resonator or filter, that may be shared with any or none of the existing metal interconnect levels on the IC. It further incorporates a resonating member that is comprised of at least one metal layer for electrical connection and electrostatic actuation, and at least one dielectric layer for structural purposes. The gap between the electrodes and the resonating member is created by the deposition and subsequent removal of a sacrificial layer comprised of a carbon-based material. The method of removal of the sacrificial material is by an oxygen plasma or an anneal in an oxygen containing ambient. A method of vacuum encapsulation of the MEMS resonator or filter is provided through means of a cavity containing the MEMS device, filled with additional sacrificial material, and sealed. Access vias are created through the membrane sealing the cavity; the sacrificial material is removed as stated previously, and the vias are re-sealed in a vacuum coating process. | 04-30-2009 |
20090115005 | Semiconductor IC and manufacturing method of the same - There are disclosed a semiconductor IC whose constitution can be miniaturized to facilitate manufacturing and improve a production efficiency, and a manufacturing method of the semiconductor IC. The manufacturing method of the semiconductor IC includes: forming a wiring line and a circuit element at a front surface of a silicon substrate; forming a concave portion to store a vibration element in a back surface of the silicon substrate by reactive ion etching; forming through holes which pass through the front surface of the silicon substrate and the concave portion in the back surface of the silicon substrate; forming electrode pads on the through holes on the side of the concave portion; storing the vibration element in the concave portion to connect the electrode pads to the vibration element by bump adhesion or adhesion using a conductive adhesive; and sealing the vibration element with a cover. | 05-07-2009 |
20090115006 | SOI substrate and semiconductor acceleration sensor using the same - According to the present invention, a SOI substrate includes a first silicon substrate having first and second surfaces; a second silicon substrate having first and second surfaces; and a first insulating layer formed between first surface of the first silicon substrate and the first surface of the second silicon substrates. The first surface of the first silicon substrate is partly depressed to form a thin-layer region thereat. The first insulating layer is formed at least in the thin-layer region. | 05-07-2009 |
20090115007 | MEMES PACKAGE STRUCTURE - A package structure including a chip, a lid, a substrate, a plurality of wires, an encapsulant, and a moisture resistive layer is provided. The chip has an active area where at least one MEMS device is disposed. The lid is covered on the chip, and the substrate is used to carry the chip and the lid. The plurality of wires is electrically connected between the substrate and the chip. The encapsulant is sealed around the lid and exposes an upper surface of the lid. The moisture resistive layer is covered on the encapsulant to enhance the airtightness and the moisture resistance of the encapsulant. | 05-07-2009 |
20090115008 | MANUFACTURING METHOD OF AN ELECTRONIC DEVICE INCLUDING OVERMOLDED MEMS DEVICES - A method manufactures an electronic device comprising a MEMS device overmolded in a protective casing. The MEMS device includes an active surface wherein a portion of the MEMS device is integrated, and is sensitive, through a membrane, to chemical/physical variations of a fluid. Prior to the molding step, at least one resin layer is formed on at least one region overlying the active surface in correspondence with the membrane. After, at least one portion of at least one resin layer is removed from at least one region, so that in the region an opening is formed, through which the MEMS device is activated from the outside of the protective casing. | 05-07-2009 |
20090115009 | Multibit electro-mechanical memory device and manufacturing method thereof - Provided are a multibit electro-mechanical memory device and a method of manufacturing the same. The device may include at least one bit line in a first direction on a substrate; at least one gate line and at least one lower word line in parallel by a given interval and in a second direction intersecting the first direction on the at least one bit line; at least one contact pad adjacent to the at least one gate line on the at least one bit line; and at least one cantilever electrode coupled to the at least one contact pad, configured to float with a void above and beneath the at least one cantilever electrode and configured to curve in a third direction vertical to the first and second directions. | 05-07-2009 |
20090127638 | ELECTRICAL DEVICE AND METHOD - An electrical device and method is disclosed. One embodiment provides a substrate, a sensor chip disposed completely above a plane section of a surface of the substrate. A structurally homogeneous material layer is disposed above the substrate and the sensor chip. A cavity is formed between the substrate and the material layer. The sensor chip is disposed inside the cavity. | 05-21-2009 |
20090127639 | SEMICONDUCTOR APPARATUS - A semiconductor apparatus includes: a first chip including a MEMS device which has a structure supported in midair therein, and having first pads and a first joining region electrically connected to the MEMS device on a top face thereof; a second chip including a circuit having a semiconductor device electrically connected to the MEMS device therein, and having second pads and a second joining region electrically connected to the semiconductor device on a top face thereof, the second chip being disposed in opposition to the first chip so as to oppose the second pads and the second joining region respectively to the first pads and the first joining region; electrical connection parts which electrically connect the first pads to the second pads, respectively; and joining parts provided between the first joining region and the second joining region opposed to the first joining region to join the first chip and the second chip to each other. | 05-21-2009 |
20090134481 | Molded Sensor Package and Assembly Method - A method of forming a molded sensor includes providing a sensor assembly having a sensor, and a cap coupled to a portion of the sensor, the cap having an opening and forming an interior area. The method also includes blocking the opening in the cap, and molding a moldable material around a portion of the sensor assembly and a portion of a base such that the moldable material is coupled to the sensor assembly and the base, the interior area being substantially free of the moldable material. | 05-28-2009 |
20090134482 | Power semiconductor module having a substrate and a pressure device - A power semiconductor module having a substrate, a housing and a pressure device. The substrate further includes a body formed of an insulating material and structured conductor tracks which are arranged thereon and have load and auxiliary potentials. The substrate also includes recesses in the region of the structured conductor tracks in at least two areas that are not covered by a power semiconductor component. Furthermore, the pressure device has latching lugs, which are disposed in the recesses and are arranged therein in a form-fitting and/or frictional manner, at least two points on the side of the pressure device which faces the substrate. | 05-28-2009 |
20090140355 | SEMICONDUCTOR PRESSURE SENSOR AND ITS FABRICATION METHOD - A semiconductor pressure sensor comprises a silicon support substrate ( | 06-04-2009 |
20090140356 | INTEGRATED SENSOR AND CIRCUITRY AND PROCESS THEREFOR - A micromachined sensor having a capacitive sensing structure. The sensor includes a first substrate with first and second conductive layers separated by a buried insulator layer, and a member defined by the first and second conductive layers and the buried insulator layer. A first set of elements defined with the first conductive layer is connected to the member and includes first and second elements that are electrically isolated from each other by the buried insulator layer. A second set of elements is defined with the first conductive layer and capacitively coupled with the first set of elements. A second substrate is bonded to the first substrate so that the member and the first set of elements are movably supported above the second substrate. The second set of elements is anchored to the second substrate, and the first and second sets of elements are physically interconnected through the second substrate. | 06-04-2009 |
20090146226 | MECHANICAL MEMORY TARNSISTOR - A mechanical memory transistor includes a substrate having formed thereon a source region and a drain region. An oxide is formed upon a portion of the source region and upon a portion of the drain region. A pull up electrode is positioned above the substrate such that a gap is formed between the pull up electrode and the substrate. A movable gate has a first position and a second position. The movable gate is located in the gap between the pull up electrode and the substrate. The movable gate is in contact with the pull up electrode when the movable gate is in a first position and is in contact with the oxide to form a gate region when the movable gate is in the second position. The movable gate, in conjunction with the source region and the drain region and when the movable gate is in the second position, form a transistor that can be utilized as a non-volatile memory element. | 06-11-2009 |
20090146227 | CAPACITIVE SENSOR AND MANUFACTURING METHOD THEREFOR - A capacitive sensor according to the present invention includes a semiconductor substrate, a fixed electrode serving as a first electrode formed on a surface of or in the semiconductor substrate, a structure formed on the semiconductor substrate to have a vibratable second electrode that is formed to be spaced from and opposed to the semiconductor substrate and from the fixed electrode serving as the first electrode, a sealing member serving as a first sealing member formed on the semiconductor substrate to be spaced from the structure, to cover the structure, and to have a through hole serving as a first through hole, and a movable electrode serving as a vibratable third electrode formed on the sealing member to block up the through hole, and to be spaced from and opposed to the movable electrode. | 06-11-2009 |
20090146228 | Microminiature moving device - A microminiature moving device has disposed on a single-crystal silicon substrate movable elements such as a movable rod and a movable comb electrode that are displaceable in parallel to the substrate surface and stationary parts that are fixedly secured to the single-crystal silicon substrate with an insulating layer sandwiched between. Depressions are formed in the surface regions of the single-crystal silicon substrate where no stationary parts are present and the movable parts are positioned above the depressions. The depressions form gaps large enough to prevent foreign bodies from causing shorts and malfunctioning of the movable parts. | 06-11-2009 |
20090146229 | SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - Embodiments relate to a semiconductor device and a method for fabricating the same. According to embodiments, a semiconductor device may include a metal film spaced from a semiconductor substrate at a predetermined interval and in which a plurality of etching holes are formed. A bottom metal pattern disposed on and/or over a space between the semiconductor substrate and metal film and top metal pattern formed on and/or over the bottom metal pattern may be provided. A pillar may be formed on and/or over the semiconductor substrate and may support one side of a low surface of the bottom metal pattern. A pad may be formed on and/or over the semiconductor substrate, and an air layer corresponding to the bottom metal pattern may be inserted therein. According to embodiments, a pyro-electric switch transistor using a bi-metal with different coefficients of thermal expansion may be provided. | 06-11-2009 |
20090152653 | Surface mount multi-axis electronics package for micro-electrical mechanical systems(MEMS) devices - A surface mount multi-axis cavity package for micro-electrical mechanical systems (MEMS) devices includes a substantially cubical housing having a plurality of sides and at least one internal cavity. A first plurality of solder pads are positioned on at least one side of the housing and a second plurality of solder pads are positioned on a bottom of the housing. A MEMS sensor is then mounted within the at least one internal cavity in any axis for increasing the versatility of the MEMS device. | 06-18-2009 |
20090152654 | MICROMECHANICAL SYSTEM - A micromechanical system includes a substrate, a first planar electrode, a second planar electrode, and a third planar electrode. The second planar electrode is movably positioned at a distance above the first planar electrode and the third planar electrode is positioned at a distance above the second electrode. | 06-18-2009 |
20090159996 | Method Of Producing Microsprings Having Nanowire Tip Structures - A stress-engineered microspring is formed generally in the plane of a substrate. A nanowire (or equivalently, a nanotube) is formed at the tip thereof, also in the plane of the substrate. Once formed, the length of the nanowire may be defined, for example photolithographically. A sacrificial layer underlying the microspring may then be removed, allowing the engineered stresses in the microspring to cause the structure to bend out of plane, elevating the nanowire off the substrate and out of plane. Use of the nanowire as a contact is thereby provided. The nanowire may be clamped at the tip of the microspring for added robustness. The nanowire may be coated during the formation process to provide additional functionality of the final device. | 06-25-2009 |
20090159997 | WAFER LEVEL PACKAGE STRUCTURE AND PRODUCTION METHOD THEREFOR - A wafer level package structure, in which a plurality of compact sensor devices with small variations in sensor characteristics are formed, and a method of producing the same are provided. This package structure has a semiconductor wafer having plural sensor units, and a package wafer bonded to the semiconductor wafer. The semiconductor wafer has a first metal layer formed with respect to each of the sensor units. The package wafer has a bonding metal layer at a position facing the first metal layer. Since a bonding portion between the semiconductor wafer and the package wafer is formed at room temperature by a direct bonding between activated surfaces of the first metal layer and the bonding metal layer, it is possible to prevent that variations in sensor characteristics occur due to residual stress at the bonding portion. | 06-25-2009 |
20090166771 | DEVICE COMPRISING A SENSOR MODULE | 07-02-2009 |
20090166772 | MICRO-ELECTRO-MECHANICAL SYSTEMS (MEMS) DEVICE AND PROCESS FOR FABRICATING THE SAME - A micro-electro-mechanical systems (MEMS) device includes a back-plate substrate, having an intended region formed with a plurality of perforating holes. A first structural dielectric layer, disposed on the back-plate substrate, wherein the dielectric layer having an opening above the intended region. An etching stop layer, disposed over the first structural dielectric layer. A second structural dielectric layer, formed over the back-plate substrate. The etching stop layer and the second structural dielectric layer form at least a part of a micro-machine diaphragm, and cover over the opening of the first structural dielectric layer to form a chamber between the micro-machine diaphragm and the back-plate substrate. | 07-02-2009 |
20090174014 | Micromechanical Actuators Comprising Semiconductors on a Group III Nitride Basis - A semiconductor actuator includes a substrate base, a bending structure which is connected to the substrate base and can be deflected at least partially relative to the substrate base. The bending structure has semiconductor compounds on the basis of nitrides of main group III elements and at least two electrical supply contacts which impress an electrical current in or for applying an electrical voltage to the bending structure. At least two of the supply contacts are disposed at a spacing from each other respectively on the bending structure and/or integrated in the latter. | 07-09-2009 |
20090189230 | METHOD AND SYSTEM FOR PACKAGING MEMS DEVICES WITH INCORPORATED GETTER - Methods and systems for packaging MEMS devices such as interferometric modulator arrays are disclosed. One embodiment of a MEMS device package structure includes a seal with a chemically reactant getter. Another embodiment of a MEMS device package comprises a primary seal with a getter, and a secondary seal proximate an outer periphery of the primary seal. Yet another embodiment of a MEMS device package comprises a getter positioned inside the MEMS device package and proximate an inner periphery of the package seal. | 07-30-2009 |
20090194827 | Semiconductor Device Having Element Portion and Method of Producing the Same - A semiconductor device includes a semiconductor substrate, an element portion provided in the semiconductor substrate, and a connecting portion connected to the semiconductor substrate electrically, in which the connecting portion is formed of a conductive material in order to perform an electrical connection to an outside. The connecting portion is directly in contact with a surface of the semiconductor substrate such that the connecting portion and the semiconductor substrate are connected electrically. | 08-06-2009 |
20090194828 | METHOD FOR MEMS THRESHOLD SENSOR PACKAGING - Apparatus, methods, and systems for bonding a cover wafer to a MEMS threshold sensors located on a silicon disc. The cover wafer is trenched to form a region when bonded to the silicon wafer that produces a gap over the contact bond pads of the MEMS threshold sensor. The method includes a series of cuts that remove part of the cover wafer over the trenches to permit additional cuts that may avoid the contact bond pads of the MEMS threshold sensor. In addition the glass frit provides for isolation of the sensor with a hermetic seal. The cavity between the MEMS threshold sensor and the cover wafer may be injected with a gas such as nitrogen to influence the properties of the MEMS threshold sensor. The MEMS threshold sensor may be utilized to sense a threshold for pressure, temperature or acceleration. | 08-06-2009 |
20090194829 | MEMS Packaging Including Integrated Circuit Dies - MEMS packaging schemes having a system-on-package (SOP) configuration and a system-on-board (SOB) configuration are provided. The MEMS package comprises one or more MEMS dies, a cap section having one or more integrated circuit (IC) dies, and a packaging substrate or a printed circuit board (PCB) arranged in a stacking manner. Vertical connectors, such as through-silicon-vias (TSVs), are formed to provide short electrical connections between the various components. The MEMS packaging schemes enable higher integration density, reduced MEMS package footprints, reduced RC delays and power consumption. | 08-06-2009 |
20090194830 | SEMICONDUCTOR DEVICE TRANSDUCER AND METHOD - A semiconductor device such as a resonant device has a capacitive, non-piezoelectric, actuator, the actuator comprising a depletion region. A capacitive actuator for a semiconductor device, a method for fabricating such an actuator, and a method for operating a semiconductor device are also provided. In the operating method, a drive voltage is applied across the depletion region of the semiconductor device, such as a drive voltage having an alternating voltage component for driving a resonant semiconductor device. | 08-06-2009 |
20090200619 | SYSTEMS AND METHODS FOR MEMS DEVICE FABRICATION - Systems and methods for MEMS device fabrication. A layer of photoresist is formed on a substrate. A first region of the substrate is exposed to a radiation source through a photomask. The first region of exposed photoresist is developed with a developer solution in order to etch the exposed regions to a first depth. A second region is exposed to radiation through a second photomask. The second photomask defines areas in which a bump feature is intended on the substrate. The second region is developed with the developer solution, preparing the first and second exposed regions for a layer of metal. A layer of metal is deposited on the substrate, such that the metal attaches to both the substrate and any remaining photoresist on the substrate. The remaining photoresist and its attached metal is dissolved away leaving an interconnect pattern and at least one bump feature. | 08-13-2009 |
20090212377 | SEMICONDUCTOR INPUT CONTROL DEVICE - A force input control device suitable for high-volume applications such as cell phones, portable gaming devices and other handheld electronic devices along with other applications like medical equipment, robotics, security systems and wireless sensor networks is disclosed. The device can be one-axis or two-axis or three-axis sensitive broadening the range of applications. The device comprises a force sensor die formed within semiconductor substrate and containing a force sensor providing electrical output signal in response to applied external force, and electrical connection elements for mounting and/or wire bonding. Signal conditioning and processing integrated circuit can be integrated within some devices. A package enclosing at least a portion of the force sensor die and comprising a force-transferring element cooperated with the sensor die for transferring an external force to the force sensor die. | 08-27-2009 |
20090218641 | PIEZOELECTRIC SUBSTRATE, FABRICATION AND RELATED METHODS - Improved methods, and related systems and devices, for fabricating selectively patterned piezoelectric substrates suitable for use in a wide variety of systems and devices. A method can include providing a piezoelectric substrate having a protrusion of substrate material, depositing an electrically conductive coating so as to cover a portion of a side of the substrate and protrusion, and removing a portion of the coated protrusion. | 09-03-2009 |
20090230485 | ELEMENT WAFER AND METHOD FOR MANUFACTURING THE SAME - A recessed portion is provided in first and second insulating films, the first insulating film being stacked on a semiconductor wafer, the second insulating film being stacked on the first insulating film. The first and second insulating films are processed to form wiring in a formation region of the semiconductor wafer in which an acceleration sensor is to be formed. After a sacrificial film is stacked on the wiring and processed, a conductive film is stacked on the wiring and processed to form a plurality of thin film structures in the formation region. The recessed portion surrounds the formation region. | 09-17-2009 |
20090230486 | PIEZOELECTRIC DEVICE AND ELECTRONIC APPARATUS - A piezoelectric device includes an integrated circuit (IC) chip and a piezoelectric resonator element, a part of the piezoelectric resonator element being disposed so as to overlap with a part of the IC chip when viewed in plan. The IC chip includes: an inner pad disposed on an active face and in an area where is overlapped with the piezoelectric resonator when viewed in plan; an insulating layer formed on the active face; a relocation pad disposed on the insulating layer and in an area other than a part where is overlapped with the piezoelectric resonator element, the relocation pad being coupled to an end part of a first wire; and a second wire electrically coupling the inner pad and the relocation pad, the second wire having a relocation wire and a connector that penetrates the insulating layer, the relocation wire being disposed between the insulating layer and the active face. | 09-17-2009 |
20090236677 | Micro Electro-Mechanical Sensor (MEMS) Fabricated with Ribbon Wire Bonds - A micro electro-mechanical sensor is provided. The micro electro-mechanical sensor includes a substrate, and a conducting plane disposed on the substrate. A conducting via is disposed on the substrate, such as adjacent to the conducting plane. A plurality of ribbon conductors are disposed over the conducting plane and electrically connected to the conducting via, such that the plurality of ribbon conductors form a transducer array in combination with the conducting plane, such as through capacitive coupling that changes in response to changes in the physical shape of the plurality of ribbons. | 09-24-2009 |
20090236678 | SENSOR DEVICE AND PRODUCTION METHOD THEREFOR - A sensor device having small variations in sensor characteristics and improved resistance to electrical noise is provided. This sensor device has a sensor unit, which is provided with a frame having an opening, a movable portion held in the opening to be movable relative to the frame, and a detecting portion for outputting an electric signal according to a positional displacement of the movable portion, and a package substrate made of a semiconductor material, and bonded to a surface of the sensor unit. The package substrate has an electrical insulating film on a surface facing the sensor unit. The package substrate is bonded to the sensor unit by forming a direct bonding between an activated surface of the electrical insulating film and an activated surface of the sensor unit at room temperature. | 09-24-2009 |
20090243004 | Integrated structure for MEMS device and semiconductor device and method of fabricating the same - The present invention relates to an integrated structure for a MEMS device and a semiconductor device and a method of fabricating the same, in which an etch stopping device is included on a substrate between the MEMS device and the semiconductor device for protecting the semiconductor device from lateral damage when an oxide releasing process is performed to fabricate the MEMS device. The etch stopping device has various profiles and is selectively formed by an individual fabricating process or is simultaneously formed with the semiconductor device in the same fabricating process. It is a singular structure or a combined stacked multilayered structure, for example, a plurality of rows of pillared etch-resistant material plugs, one or a plurality of wall-shaped etch-resistant material plugs, or a multilayered structure of a stack of which and an etch-resistant material layer. | 10-01-2009 |
20090243005 | Semiconductor physical quantity sensor and method for manufacturing the same - A method for manufacturing a semiconductor physical quantity sensor having a fixed portion, a movable portion and an output terminal includes: forming a metal layer on a semiconductor layer; forming a resist on the metal layer; forming an opening and a side etching hole in the resist; anisotropically etching the metal layer via the opening and the hole; anisotropically etching the semiconductor layer via the opening so that the fixed portion is formed in the semiconductor layer; and side etching the metal layer from the opening and the hole so that the output terminal is formed on a part of the fixed portion, and a metal member is formed on another part of the fixed portion in such a manner that the metal member is electrically separated from the output terminal. | 10-01-2009 |
20090243006 | ELECTRONIC PART WITH AFFIXED MEMS - According to an aspect of the invention, an electronic part includes a substrate having a first planar surface, a first bump affixed to the first planar surface of the substrate, a second bump affixed to the first planar surface of the substrate a predetermined distance from the first bump, a MEMS chip including a element, the MEMS chip coupled to the first bump and the second bump, the MEMS chip distanced from the first planar surface, an adhesive region bonding with the first bump, the substrate and the MEMS chip. | 10-01-2009 |
20090256217 | CARBON NANOTUBE MEMORY CELLS HAVING FLAT BOTTOM ELECTRODE CONTACT SURFACE - The present invention is directed to structures and methods of fabricating nanotube electromechanical memory cells having a bottom electrode with a substantially planar contact surface. The bottom electrode is configured so that during the operation of the memory cell the nanotube crossbar of the cell can make contact with a substantially planar surface of the bottom electrode. | 10-15-2009 |
20090267165 | WAFER LEVEL PACKAGE STRUCTURE, AND SENSOR DEVICE OBTAINED FROM THE SAME PACKAGE STRUCTURE - A wafer level package structure with a plurality of compact sensors such as acceleration sensors and gyro sensors is provided. This package structure is composed of a semiconductor wafer with plural sensor units, and a pair of package wafers bonded to both surfaces of the semiconductor wafer. Each of the sensor units has a frame having an opening, a movable portion held in the opening to be movable relative to the frame, and a detecting portion for outputting an electric signal according to a positional displacement of the movable portion. Since the semiconductor wafer is bonded to each of the package wafers by a solid-phase direct bonding without diffusion between a surface-activated region formed on the frame and a surface-activated region formed on the package wafer, it is possible to prevent that variations in sensor characteristics occur due to residual stress at the bonding interface. | 10-29-2009 |
20090267166 | METHOD OF MANUFACTURING A DEVICE WITH A CAVITY - The invention relates to a micro-device with a cavity ( | 10-29-2009 |
20090278214 | Microelectromechanical Systems Encapsulation Process - An encapsulated MEMS process including a high-temperature anti-stiction coating that is stable under processing steps at temperatures over 450 C is described. The coating is applied after device release but before sealing vents in the encapsulation layer. Alternatively, an anti-stiction coating may be applied to released devices directly before encapsulation. | 11-12-2009 |
20090278215 | ELECTRONIC DEVICE, SYSTEM, AND METHOD COMPRISING DIFFERENTIAL SENSOR MEMS DEVICES AND DRILLED SUBSTRATES - Electronic device which comprises a substrate provided with at least one passing opening, a MEMS device with function of differential sensor provided with a first and a second surface and of the type comprising at least one portion sensitive to chemical and/or physical variations of fluids present in correspondence with a first and a second opposed active surface thereof, the first surface of the MEMS device leaving the first active surface exposed and the second surface being provided with a further opening which exposes said second opposed active surface, the electronic device being characterized in that the first surface of the MEMS device faces the substrate and is spaced therefrom by a predetermined distance, the sensitive portion being aligned to the passing opening of the substrate, and in that it also comprises a protective package, which incorporates at least partially the MEMS device and the substrate so as to leave the first and second opposed active surfaces exposed respectively through the passing opening of the substrate and the further opening of the second surface. | 11-12-2009 |
20090289313 | MICRO ELECTRIC MECHANICAL SYSTEM DEVICE AND METHOD OF PRODUCING THE SAME - A MEMS device comprises a substrate, an island-shaped first insulating layer formed on the substrate, a second insulating film formed on the top and side surfaces of the first insulating layer and the top surface of the substrate, and having a thickness smaller than that of the first insulating layer, a metal layer formed on the second insulating film in an island-shaped region where the first insulating layer is formed, and a MEMS system element formed on the metal layer. | 11-26-2009 |
20090294879 | Method for Capping a MEMS Wafer - A method for capping a MEMS wafer to form a hermetically sealed device. The method includes applying a glass bonding agent to the cap wafer and burning off organic material in the glass bonding agent. The cap wafer/glass bonding agent combination is then cleaned to reduce lead in the combination. The cleaning is preferably accomplished using an oxygen plasma. The MEMS device is coated with a WASA agent. The cap wafer is then bonded to the MEMS wafer by heating this combination in a capping gas atmosphere of hydrogen molecules in a gas such as nitrogen, argon or neon. This method of capping the MEMS wafer can reduce stiction in the MEMS device. | 12-03-2009 |
20090309171 | Mems Sensor Comprising a Deformation-free Back Electrode - An MEMS sensor constructed on a base chip and having a capacitive mode of operation is disclosed. The MEMS sensor has a patterned layer construction applied on the base chip. A cutout is produced in the layer construction, the moveable electrode, for example a membrane, being arranged in said cutout. The cutout is spanned by a covering layer, which bears on the layer construction around the cutout and comprises the back electrode. | 12-17-2009 |
20090309172 | SENSOR AND A METHOD OF MAKING A SENSOR - A sensor is provided, which includes a plurality of conducting elements spaced apart from each other and at least one deformable electrolyte bridge contacting each of the conducting elements at one or more contact points having an aggregate contact area. Upon formation of an ionic circuit between two of the conducting elements, a first resistivity between the two conducting element exists. Upon application of a compressive force on the at least one deformable electrolyte bridge directed toward at least one of the conducting elements, the aggregate contact area increases such that a second resistivity between the two conducting elements exists. | 12-17-2009 |
20090309173 | MEMS SENSOR - The MEMS sensor according to the present invention includes a diaphragm. In the diaphragm, an angle formed by two straight lines connecting supporting portions and the center of a main portion with one another respectively is set to satisfy the relation of the following formula (1): | 12-17-2009 |
20090315126 | Bonded Microfluidic System Comprising Thermal Bend Actuated Valve - A microfluidic system comprising a MEMS integrated circuit bonded to a microfluidics platform. The microfluidics platform comprises a polymeric body having at least one microfluidic channel defined therein. The MEMS integrated circuit comprises at least one thermal bend actuator. The microfluidic system is configured such that movement of the actuator causes closure of the channel. | 12-24-2009 |
20090315127 | METHOD AND APPARATUS FOR IMPROVING MEASUREMENT ACCURACY OF MEMS DEVICES - A system for improving the performance of a microelectromechanical systems (MEMS) device that is housed in a package and implemented on a printed circuit board (PCB) comprises a footprint, an isolation channel, and a bridge. A portion of the isolation channel is removed to mechanically isolate the MEMS device. | 12-24-2009 |
20090321857 | SYSTEMS AND METHODS FOR REDUCED STRESS ANCHORS - Anchor systems and methods anchor components of a Micro-Electro-Mechanical Systems (MEMS) device to a substrate. An exemplary embodiment has a trace anchor bonded to a substrate, a device anchor bonded to the substrate, and an anchor flexure configured flexibly couple the trace anchor and the device anchor to substantially prevent transmission of a stress induced in the trace anchor from being transmitted to the device anchor. | 12-31-2009 |
20100001355 | RF MEMS Switch - An RF MEMS switch having a beam composed of a material having a high resistivity and a large Young's modulus may provide a large restoring force, a large electrostatic force at a low actuation voltage, and good isolation between signal input and output. RF MEMS switch reliability may be improved by reducing failures due to stiction by providing a large restoring force. A reliable contact may be provided with a large electrostatic force. | 01-07-2010 |
20100006957 | MICROSCOPIC STRUCTURE PACKAGING METHOD AND DEVICE WITH PACKAGED MICROSCOPIC STRUCTURE - A method of packaging a micro electromechanical structure is disclosed. The method comprises the steps of forming the structure on a substrate, depositing a sacrificial layer over the structure, patterning the sacrificial layer, depositing a porous layer over the patterned sacrificial layer, removing the patterned sacrificial layer through the porous layer, treating the porous layer with a plasma and depositing a capping layer over the plasma-treated porous layer. The plasma treatment step ensures that the capping layer material cannot enter the cavity formed by the removal of the sacrificial layer through the porous layer. A device formed by this method is also disclosed. | 01-14-2010 |
20100006958 | Tilting Actuator with Close-Gap Electrodes - An electromechanical tilting device including a first and a second electrode structures, shaped, positioned and oriented to define at least partially interdigitated electrodes, and a suspension defining a tilt-containing motion path for the second structure with respect to the first structure. The motion path is selected to cause changes in overlapping regions and overlapping regions' gaps of the interdigitated electrodes. The device is configured such that an increase in one or more voltage bias applied to interdigitated driving electrodes makes a decrease in a total area of overlapping regions of the driving electrodes electrically energetically favorable. | 01-14-2010 |
20100006959 | PACKAGE OF MEMS DEVICE AND METHOD FOR FABRICATING THE SAME - A package of a micro-electro-mechanical systems (MEMS) device includes a cap wafer, a plurality of bonding bumps formed over the cap wafer, a plurality of array pads arrayed on an outer side of the bonding bumps, and an MEMS device wafer bonded to an upper portion of the cap wafer in a manner to expose the array pads. | 01-14-2010 |
20100013031 | MEMS Substrates, Devices, and Methods of Manufacture Thereof - Micro-electromechanical system (MEMS) substrates, devices, and methods of manufacture thereof are disclosed. In one embodiment, a MEMS device includes a workpiece having an isolation ring in a top portion thereof, and a moveable element disposed within the isolation ring. | 01-21-2010 |
20100013032 | Method for Housing an Electronic Component in a Device Package and an Electronic Component Housed in the Device Package - A method for housing an electronic component in a device package includes providing a first substrate, wherein the electronic component is arranged in a component area on a first main surface of the first substrate, and wherein first contact pads are arranged outside of the component area, forming an open top frame structure around the component area on the first main surface of the first substrate, providing a second substrate having second contact pads, arranged symmetrically to the first contact pads and electrically and mechanically connecting the first main surface of the first substrate with the first main surface of the second substrate, so that the frame structure and the second substrate from a cavity or recess around the electronic component on the first substrate. | 01-21-2010 |
20100013033 | Enablement of IC devices during assembly - A method for packaging sensitive micro devices and devices formed by the method are presented. The method comprises acts of standard packaging, but with the devices' protective layers remaining intact until before sealing. Three principle acts of the method include (1) singulating the devices into individuals or subsets, (2) attaching the devices with packaging, and (3) hermetically sealing the devices. One may wire-bond the devices as well as remove the sacrificial layer before hermetically sealing. This method is especially useful for micro-electro-mechanical systems (MEMS) whereby the movable components are protected. | 01-21-2010 |
20100013034 | ELECTROMECHANICAL DEVICE COMPRISING ELECTRONIC COMPONENTS AND AT LEAST ONE NANOTUBE-BASED INTERFACE, AND MANUFACTURING METHOD - The invention relates to an electromechanical device comprising a package and at least one component surface-mounted in the package, characterized in that it also comprises at least one nanotube-based interface providing a mechanical link for vibratory and thermal filtering between said component and the package. | 01-21-2010 |
20100025783 | Sensor apparatus for detecting variations in a dynamic quantity while suppressing detection deviations that are caused by bending deformation of a sensor chip - A miniaturized sensor such as a micro-accelerometer includes a sensor chip having a sensor element mounted thereon, with the sensor element being oriented with its central axes passing through the corners of the sensor chip. The corners of the sensor element are thereby located substantially apart from the corners of the sensor chip, so that bending deformation which displaces corners of the sensor chip is substantially prevented from causing displacement of corners of the sensor element. Detection inaccuracy resulting from such displacement can thereby be prevented or reduced. | 02-04-2010 |
20100025784 | FIBROUS MICRO-COMPOSITE MATERIAL - Fibrous micro-composite materials are formed from micro fibers. The fibrous micro-composite materials are utilized as the basis for a new class of MEMS. In addition to simple fiber composites and microlaminates, fibrous hollow and/or solid braids, can be used in structures where motion and restoring forces result from deflections involving torsion, plate bending and tensioned string or membrane motion. In one embodiment, fibrous elements are formed using high strength, micron and smaller scale fibers, such as carbon/graphite fibers, carbon nanotubes, fibrous single or multi-ply graphene sheets, or other materials having similar structural configurations. Cantilever beams and torsional elements are formed from the micro-composite materials in some embodiments. | 02-04-2010 |
20100032775 | THIN-FILM LID MEMS DEVICES AND METHODS - Thin film encapsulation devices and methods for MEMS devices and packaging are provided. For a MEMS device encapsulated by a sacrificial layer, a lid layer can be deposited over the MEMS device without touching the MEMS device. The lid layer can be patterned and etched with a distribution of release etch holes, which provide access to the sacrificial layer encapsulating the MEMS device. The sacrificial material can be removed through the release etch holes, and the release etch holes can be filled with a seal layer. The seal layer can be removed from the substrate except where it seals the etch holes, leaving a series of plugs that can prevent other materials from entering the MEMS device cavity. In addition, a seal metal layer can be deposited and patterned so that it covers and encloses the plugged etch holes, and a barrier layer can cover the entire encapsulation structure. | 02-11-2010 |
20100038730 | SEMICONDUCTOR STRUCTURES INCLUDING A MOVABLE SWITCHING ELEMENT, SYSTEMS INCLUDING SAME AND METHODS OF FORMING SAME - Semiconductor structures including a movable switching element having a base disposed on a conductive pad, a body extending from the base, and an end laterally adjacent and spaced apart from a conductive contact are disclosed. Upon application of a threshold voltage, the movable switching element may deform toward the conductive contact via an electrical field, establishing electrical contact between the conductive pad and the conductive contact. Various methods may be used to form such semiconductor structures, and switching devices including such semiconductor structures. Memory devices and electronic systems include such switching devices. | 02-18-2010 |
20100038731 | NON-VOLATILE MEMORY DEVICE - A non-volatile memory device and method of manufacturing a non-volatile micro-electromechanical memory cell. The method comprises the first step of depositing a first layer of sacrificial material on a substrate by use of Atomic Layer Deposition The second step of the method is providing a cantilever ( | 02-18-2010 |
20100038732 | MICRO MOVABLE DEVICE - A micro movable device includes a protection cap for protecting a movable unit arranged above a semiconductor substrate and the movable unit, signal line for transmitting a high-frequency signal formed above the semiconductor substrate, and insulation layer that has projection formed to project upward from the semiconductor substrate and coated surfaces with the signal line. | 02-18-2010 |
20100044808 | METHOD OF MANUFACTURING A MEMS ELEMENT - The device ( | 02-25-2010 |
20100044809 | Sensor Device Packaging And Method - A sensor device and a method of forming comprises a die pad receives a sensor device, such as a MEMS device. The MEMS device has a first coefficient of thermal expansion (CTE). The die pad is made of a material having a second CTE compliant with the first CTE. The die pad includes a base and a support structure with a CTE compliant with the first and second CTE. The die pad has a support structure that protrudes from a base. The support structure has a height and wall thickness which minimize forces felt by the die pad and MEMS device when the base undergoes thermal expansion or contraction forces from a header. | 02-25-2010 |
20100044810 | Semiconductor Structural Element - The semiconductor component is intended for a sensor, in particular for a pressure sensor or differential pressure sensor, and includes a semiconductor substrate ( | 02-25-2010 |
20100044811 | INTEGRATED CIRCUIT ENCAPSULATION AND METHOD THEREFOR | 02-25-2010 |
20100052081 | A SEALING STRUCTURE AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a structure ( | 03-04-2010 |
20100065929 | SEMICONDUCTOR DEVICE - An object of the invention is to provide a smaller semiconductor device of which the manufacturing process is simplified and the manufacturing cost is reduced. Furthermore, an object of the invention is to provide a semiconductor device having a cavity. A device element | 03-18-2010 |
20100065930 | Method of etching sacrificial layer, method of manufacturing MEMS device, MEMS device and MEMS sensor - The method of etching a sacrificial layer according to the present invention includes the steps of forming a sacrificial layer having a protrusive shape on a base layer, forming a covering film covering the sacrificial layer, forming a protective film made of a material whose etching selection ratio to the sacrificial layer is greater than the etching selection ratio of the covering film to the sacrificial layer on a portion of the covering film opposed to the side surface of the sacrificial layer, and etching the sacrificial layer after the formation of the protective film. | 03-18-2010 |
20100072561 | METHOD FOR FABRICATING MICRO-ELECTRO-MECHANICAL SYSTEM (MEMS) DEVICE - A micro-electro-mechanical system (MEMS) device includes a substrate, having a first side and second side, the second side has a cavity and a plurality of venting holes in the substrate at the second side with connection to the cavity. However, the cavity is included in option without absolute need. A structural dielectric layer has a dielectric structure and a conductive structure in the dielectric structure. The structural dielectric layer has a chamber in connection to the cavity by the venting holes. A suspension structure layer is formed above the chamber. An end portion is formed in the structural dielectric layer in fix position. A diaphragm has a first portion of the diaphragm fixed on the suspension structure layer while a second portion of the diaphragm is free without being fixed. | 03-25-2010 |
20100072562 | FUNCTIONAL ELEMENT PACKAGE AND FABRICATION METHOD THEREFOR - A functional element package includes a silicon substrate with a functional element having one of a mobile portion and a sensor thereon; a seal member being bonded with the silicon substrate to form an airtightly sealed space therein, and including a step portion in its height direction; a first wiring portion being connected with the functional element and extending from the airtightly sealed space to an outside thereof; a second wiring portion being different from the first wiring portion and extending from the step portion to an upper surface of the seal member; and a bump on the second wiring portion, in which the first wiring portion is bent towards the airtightly sealed space and connected via a photoconductive member with the second wiring portion on the step portion. | 03-25-2010 |
20100072563 | SUBSTRATE BONDED MEMS SENSOR - A MEMS sensor includes a first substrate; a second substrate; a movable electrode portion and a fixed electrode portion which are arranged between the first substrate and the second substrate, wherein: conductive supporting portions of the movable electrode portion and the fixed electrode portion are, respectively, fixedly secured to a surface of the first substrate via a first insulating layer; a second insulating layer, a lead layer buried into the second insulating layer, and connection electrode portions that are electrically connected to the lead layer to be individually connected to the conductive supporting portions are provided on a surface of the second substrate; a metallic connection layer is formed on the surface of one of the respective conductive supporting portions; one of the respective connection electrode portions and the metallic connection layer are bonded together by eutectic bonding or diffusion bonding; and, at least each of the connection electrode portions has a thickness of about 4 μm or smaller. | 03-25-2010 |
20100072564 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device of the invention includes: a substrate having a hollowed hollow section on a top surface; a semiconductor chip mounted in the hollow section of the substrate; and a lid having a substantially plate-shaped top plate section that opposes the substrate and covers the hollow section, and having at least one pair of side wall sections that project from a circumference of the top plate section towards the substrate and that engage with a side surface of the substrate. The substrate and the lid can be accurately positioned. | 03-25-2010 |
20100078739 | Vertical Mount Package for MEMS Sensors - A vertical mount pre-molded type package for use with a MEMS sensor may be formed with a low moisture permeable molding material that surrounds a portion of the leadframes and forms a cavity in which one or multiple dies may be held. The package includes structures to reduce package vibration, reduce die stress, increase vertical mount stability, and improve solder joint reliability. The vertical mount package includes a first leadframe having first leads and molding material substantially surrounding at least a portion of the first leads. The molding material forms a cavity for holding the MEMS sensor and forms a package mounting plane for mounting the package on a base. The cavity has a die mounting plane that is substantially non-parallel to the package mounting plane. The first leads are configured to provide electrical contacts within the cavity and to provide electrical contacts to the base. | 04-01-2010 |
20100078740 | MICROELECTROMECHANICAL DEVICE PROVIDED WITH AN ANTI-STICTION STRUCTURE, AND CORRESPONDING ANTI-STICTION METHOD - An embodiment of a microelectromechanical device having a first structural element, a second structural element, which is mobile with respect to the first structural element, and an elastic supporting structure, which extends between the first and second structural elements to enable a relative movement between the first and second structural elements. The microelectromechanical device moreover possesses an anti-stiction structure, which includes at least one flexible element, which is fixed only with respect to the first structural element and, in a condition of rest, is set at a first distance from the second structural element. The anti-stiction structure is designed to generate a repulsive force between the first and second structural elements in the case of relative movement by an amount greater than the first distance. | 04-01-2010 |
20100084721 | Micro-Electromechanical System Microstructure - A micro-electromechanical system microstructure includes: a substrate adapted to support an electrode thereon; a suspension mechanism supported on the substrate; and a movable active part adapted to cooperate with the electrode to define a capacitor therebetween, and suspended on the substrate through the suspension mechanism so as to be movable to and fro relative to the substrate and the electrode. The suspension mechanism includes at least one supporting frame that protrudes from and that cooperates with an outer surface of the substrate to define a frame space therebetween, and at least one cantilever beam interconnecting the supporting frame and the active part. | 04-08-2010 |
20100084722 | Method for manufacturing a micromechanical chip and a component having a chip of this type - In a method for manufacturing a micromechanical chip, a sacrificial layer and an epitaxy layer are initially applied to a semiconductor substrate to produce a layer stack. An opening is subsequently introduced into the epitaxy layer from the front side of the layer stack. In order to electrically insulate the subsequent filling of the opening using a conductive contact layer from the material of the epitaxy layer, the walls of the opening are provided with an insulating layer. For removing the sacrificial layer and thus for producing the chip, separation trenches are subsequently etched through the epitaxy layer to the sacrificial layer also from the front side of the layer stack, which separation trenches also delimit the lateral extension of the chip. | 04-08-2010 |
20100090295 | Folded lead-frame packages for MEMS devices - The MEMS package comprises a first and a second pre-molded lead-frame substrate, at least one of them having a cavity formed by plastic sidewalls along its periphery. The first and second pre-molded lead-frame substrates are interconnected with metal leads. At least one MEMS device is attached to one of the substrates. The first pre-molded lead-frame substrate is folded over and joined to the second pre-molded lead-frame substrate to house the at least one MEMS device. In one embodiment, the first pre-molded lead-frame substrate has metal leads extending outside of sidewalls of the cavities. The extended metal leads are folded over the top of the second pre-molded lead-frame substrate to form surface mounting pads. In some embodiments, extended metal leads are folded along the sidewalls and connected to ground for electromagnetic interference (EMI) shielding. | 04-15-2010 |
20100090296 | WAFER ASSEMBLY COMPRISING MEMS WAFER WITH POLYMERIZED SILOXANE ATTACHMENT SURFACE - A wafer assembly comprises a wafer having a MEMS layer formed on a frontside and a polymer coating covering the MEMS layer. A holding means is releasably attached to the polymer coating so that the wafer assembly facilitates performance of backside operations on a backside of the wafer. The polymer coating is comprised of a polymerized siloxane. | 04-15-2010 |
20100090297 | Pressure sensor and method for manufacturing the pressure sensor - A pressure sensor of the present invention includes a lower substrate which has an insulating layer having a through-hole penetrating from one side to the other side, and an active layer formed to have a uniform thickness on the insulating layer and having a portion facing the through-hole as an oscillating portion capable of oscillating in a direction opposing the through-hole; a lower electrode formed on the oscillating portion; an upper substrate arranged opposite to the active layer and having a recess at a portion opposed to the oscillating portion; and an upper electrode formed on the recess. | 04-15-2010 |
20100096711 | MICROELECTROMECHANICAL SYSTEM MICROPHONE PACKAGE - An MEMS microphone package includes a substrate, a cover, a plurality of conductive members, and an insulative adhesive. The cover is mounted to the substrate. The conductive members are disposed between the substrate and the cover. Each of the conductive members can be a golden wire, a conductive bump, or a conductive metal. Upper ends of the conductive members are connected with the cover and the lower ends of the conductive members are connected with the substrate to enable a conductive loop. The insulative adhesive encapsulates the conductive members. In this way, the substrate, the conductive members, and the cover jointly construct a shielding against EMI. | 04-22-2010 |
20100096712 | HERMETIC SEALING AND ELECTRICAL CONTACTING OF A MICROELECTROMECHANICAL STRUCTURE, AND MICROSYSTEM (MEMS) PRODUCED THEREWITH - Disclosed are methods and microsystems for vertically through-plating ( | 04-22-2010 |
20100096713 | MEMS PACKAGE AND PACKAGING METHOD THEREOF - Provided are a Micro Electro-Mechanical System (MEMS) package and a method of packaging the MEMS package. The MEMS package includes: a MEMS device including MEMS structures formed on a substrate, first pad electrodes driving the MEMS structures, first sealing parts formed at an edge of the substrate, and connectors formed on the first pad electrodes and the first sealing parts; and a MEMS driving electronic device including second pad electrodes and second sealing parts respectively corresponding to the first pad electrodes and the first sealing parts to be sealed with and bonded to the MEMS device through the connectors to form an air gap having a predetermined width. | 04-22-2010 |
20100102403 | METHOD AND APPARATUS FOR FABRICATING PIEZORESISTIVE POLYSILICON BY LOW-TEMPERATURE METAL INDUCED CRYSTALLIZATION - The present invention provides a method and apparatus for fabricating piezoresistive polysilicon on a substrate by low-temperature metal induced crystallization by: (1) providing the substrate having a passivation layer; (2) performing, at or near room temperature in a chamber without breaking a vacuum or near-vacuum within the chamber, the steps of: (a) creating a metal layer on the passivation layer, and (b) creating an amorphous silicon layer on the metal layer, wherein the metal layer and the amorphous silicon layer have approximately the same thickness; (3) annealing the substrate, the passivation layer, the metal layer and the amorphous silicon layer at a temperature equal to or less than 600° C. and a period of time equal to or less than three hours to form a doped polysilicon layer below a residual metal layer; and (4) removing the residual metal layer to expose the doped polysilicon layer. | 04-29-2010 |
20100117166 | METHOD FOR THE PRODUCTION OF A COMPONENT, AND COMPONENT - A method for producing a component, especially a micromechanical, micro-electro-mechanical or micro-opto-electro-mechanical component, as well as such a component which has an active structure that is embedded in a layer structure. Strip conductor bridges are formed by etching first and second depressions having a first and second, different etching depth into a covering layer of a first layer combination that additionally encompasses a substrate and an insulation layer. The deeper depression is used for insulating the strip conductor bridge while the shallower depression provides a moving space for the active structure with the moving space being bridged by the strip conductor bridge. | 05-13-2010 |
20100117167 | Semiconductor dymamic quantity sensor and method of producing the same - A semiconductor dynamic quantity sensor includes a sensor part and a cap connected to the sensor part. Dynamic quantity is detected based on a capacitance of a capacitor defined between a movable electrode and a fixed electrode of the sensor part. A float portion of the sensor part is separated from a support board of the sensor part to define a predetermined interval. At least one of the cap and the support board has a displacing portion displacing the float portion in a direction perpendicular to the support board so as to change the predetermined interval. The movable electrode has a displacement in accordance with the displaced float portion. | 05-13-2010 |
20100127339 | MICROMECHANICAL COMPONENT HAVING AN ANTI-ADHESIVE LAYER - A micromechanical component, having a substrate and a functional element, the functional element having a functional surface which has an anti-adhesion layer, that has been applied at least in regions, for reducing the surface adhesion forces, and in which the anti-adhesion layer is stable to a temperature of more than 800° C. | 05-27-2010 |
20100127340 | MEMS PACKAGE AND METHOD OF MANUFACTURING THE MEMS PACKAGE - An MEMS chip is mounted face-down on a semiconductor wafer such that a movable section is opposed to the semiconductor wafer. A resin layer is formed on the semiconductor wafer around the MEMS chip to reduce a step between the MEMS chip and the semiconductor wafer. After the semiconductor substrate is removed, the land electrode is formed on the resin layer. | 05-27-2010 |
20100140723 | NANOTUBE AND GRAPHENE SEMICONDUCTOR STRUCTURES WITH VARYING ELECTRICAL PROPERTIES - Nanotube and graphene transducers are disclosed. A transducer according to the present invention can include a substrate, a plurality of semiconductive structures, one or more metal pads, and a circuit. The semiconductive structures can be nanotubes or graphene located entirely on a surface of the substrate, such that each of the semiconductive structures is supported along its entire length by the substrate. An electrical property of the semiconductive structures can change when a force is applied to the substrate. The metal pads can secure at least one of the semiconductive structures to the substrate. The circuit can be coupled to at least some of the semiconductive structures to provide an output responsive to the change in the electrical property of the semiconductive structures, so as to indicate the applied force. | 06-10-2010 |
20100148283 | INTEGRATED STRUCTURE OF MEMS DEVICE AND CMOS IMAGE SENSOR DEVICE AND FABRICATING METHOD THEREOF - An integrated structure of MEMS device and CIS device and a fabricating method thereof includes providing a substrate having a CIS region and a MEMS region defined therein with a plurality of CIS devices positioned in the CIS region; performing a multilevel interconnect process to form a multilevel interconnect structure in the CIS region and the MEMS region and a micro-machined mesh metal in the MEMS region on a front side of the substrate; performing a first etching process to form a chamber in MEMS region in the front side of the substrate; forming a first mask pattern and a second mask pattern respectively in the CIS region and the MEMS region on a back side of the substrate; and performing a second etching process to form a plurality of vent holes connecting to the chamber on the back side of the substrate through the second mask pattern. | 06-17-2010 |
20100148284 | MEMS DEVICE HAVING A MOVABLE ELECTRODE - A microelectromechanical system (MEMS) device includes a semiconductor substrate, a MEMS including a fixed electrode and a movable electrode formed on the semiconductor substrate through an insulating layer, and a well formed in the semiconductor substrate below the fixed electrode. The well is one of an n-type well and a p-type well. The p-type well applies a positive voltage to the fixed electrode while the n-type well applies a negative voltage to the fixed electrode. | 06-17-2010 |
20100155861 | MICROELECTROMECHANICAL DEVICE WITH ISOLATED MICROSTRUCTURES AND METHOD OF PRODUCING SAME - A microelectromechanical systems (MEMS) device ( | 06-24-2010 |
20100155862 | PACKAGE FOR ELECTRONIC COMPONENT, MANUFACTURING METHOD THEREOF AND SENSING APPARATUS - A package for electronic component comprises a rectangular package body having a flat cut surface to be abutted on a flat mounting surface of a mounting substrate, a first side surface intersecting with the flat cut surface, and a first notch part formed at a boundary between the flat cut surface and the first side surface, an electronic component installed in the package body, and a first pad electrically connected to the electronic component and formed on an inner wall surface of the first notch part. | 06-24-2010 |
20100164023 | MICROMECHANICAL COMPONENT AND CORRESPONDING PRODUCTION METHOD - A micromechanical component having a conductive substrate, a first conductive layer provided above the substrate and that forms, above a cavity provided in the substrate, an elastically deflectable diaphragm region of monocrystalline silicon and an adjacent peripheral region, a circuit trace level provided above the first conductive layer in a manner that is electrically insulated from the first conductive layer, the circuit trace level having above the diaphragm region a first electrode region and having above the peripheral region a first connection region electrically connected to the same, and a second conductive layer that is provided above the circuit trace level, the second conductive layer having above the diaphragm region a second electrode region that is electrically insulated from the first electrode region, and having above the peripheral region a second connection region electrically insulated from the second electrode region and electrically connected to the first connection region. Also provided is a suitable production method. | 07-01-2010 |
20100164024 | HIGH ASPECT RATIO ALL SIGE CAPACITIVELY COUPLED MEMS DEVICES - A method that includes forming an opening between at least one first electrode and a second electrode by forming a recess in a first electrode layer, the recess having sidewalls that correspond to a surface of the at least one first electrode, forming a first sacrificial layer on the sidewalls of the recess, the first sacrificial layer having a first width that corresponds to a second width of the opening, forming a second electrode layer in the recess that corresponds to the second electrode, and removing the first sacrificial layer to form the opening between the second electrode and the at least one first electrode. | 07-01-2010 |
20100176465 | METHOD OF EPITAXIALLY GROWING PIEZORESISTORS - A method of forming a device with a piezoresistor is disclosed herein. In one embodiment, the method includes providing a substrate, etching a trench in the substrate to form a vertical wall, growing a piezoresistor layer epitaxially on the vertical wall, and separating the vertical wall from an underlying layer of the substrate that extends along a horizontal plane such that the piezoresistor layer is movable with respect to the underlying layer within the horizontal plane. | 07-15-2010 |
20100176466 | Semiconductor device and method of making the same - A semiconductor device includes a sensor member and a cap member. The sensor member has a surface and includes a first sensing section. The first sensing section includes first and second portions that are located on the surface side of the sensor member and electrically insulated from each other. The cap member has a surface and includes a cross wiring portion. The surface of the cap member is joined to the surface of the sensor member in such a manner that the first sensing section is sealed by the sensor member and the cap member. The cross wiring portion electrically connects the first portion to the second portion. | 07-15-2010 |
20100181631 | FABRICATION OF MEMS BASED CANTILEVER SWITCHES BY EMPLOYING A SPLIT LAYER CANTILEVER DEPOSITION SCHEME - Embodiments discussed herein generally disclose novel alternative methods that can be employed to overcome the gradient stress formed in refractory materials to be used for thin film MEMS cantilever switches. The use of a ‘split layer’ cantilever fabrication method, as described herein enables thin film MEMS cantilever switches to be fabricated resulting in low operating voltage devices while maintaining the mechanical rigidity of the landing portion of the final fabricated cantilever switch. | 07-22-2010 |
20100207216 | CORROSION-RESISTANT MEMS COMPONENT AND METHOD FOR THE PRODUCTION THEREOF - An MEMS component including a monolithically integrated electronic component with a multi-plane conductor track layer stack which is arranged on a substrate and into which is integrated a cantilevered elastically movable metallic actuator which is arranged in the multi-plane conductor track layer stack at the level of a conductor track plane and is connected by via contacts to conductor track planes which are arranged thereabove or therebeneath and which apart from an opening in the region of the actuator are separated from the conductor track plane of the actuator by a respective intermediate plane insulator layer, wherein the actuator is formed from a metallically conductive layer or layer combination which is resistant to corrosive liquids or gases and which contains titanium nitride or consists of titanium nitride. | 08-19-2010 |
20100207217 | Micro-Electro-Mechanical System Having Movable Element Integrated into Substrate-Based Package - Semiconductor-centered MEMS ( | 08-19-2010 |
20100207218 | ELECTRONIC COMPONENT DEVICE, AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing an electronic component device, includes the steps of preparing a wiring substrate, which includes a silicon substrate, a concave portion provided on its upper surface side, a through hole formed to penetrate the silicon substrate on a bottom surface side of the concave portion, an insulating layer formed on the silicon substrate, a penetration electrode constructed by a lower conductor portion formed to a halfway position of a height direction from a bottom portion of the through hole and a connection metal member (indium layer) formed on the lower conductor portion in the through hole, and an electronic component having a terminal metal member (gold bump) on a lower surface side, and softening the connection metal member of the wiring substrate in a heating atmosphere and then sticking the terminal metal member of the electronic component into the connection metal member and connecting thereto. | 08-19-2010 |
20100224945 | SENSOR DEVICE AND MANUFACTURING METHOD THEREOF - In manufacturing a sensor device, a sensor chip having a sensing portion on a surface thereof is mounted on one surface of a substrate, and a resin having a volatile property is arranged on the surface of the sensor chip, thereby covering the surface of the sensor chip. Then, the sensor chip and the substrate are sealed by a sealing member. After that, the sealing member is cured, and the resin is heated to be vaporized so that a void is formed between a covered portion in the surface of the sensor chip, which is covered by the sealing member, and the sealing member. | 09-09-2010 |
20100237446 | THIN FILM ENCAPSULATION OF MEMS DEVICES - A method of manufacturing a miniature electromechanical system (MEMS) device includes the steps of forming a moving member on a first substrate such that a first sacrificial layer is disposed between the moving member and the substrate, encapsulating the moving member, including the first sacrificial layer, with a second sacrificial layer, coating the encapsulating second sacrificial layer with a first film formed of a material that establishes an hermetic seal with the substrate, and removing the first and second sacrificial layers. | 09-23-2010 |
20100237447 | MEMS DEVICE AND PROCESS - A MEMS transducer comprises a substrate ( | 09-23-2010 |
20100244159 | EUTECTIC FLOW CONTAINMENT IN A SEMICONDUCTOR FABRICATION PROCESS - Eutectic Flow Containment in a Semiconductor Fabrication Process A disclosed semiconductor fabrication process includes forming a first bonding structure on a first surface of a cap wafer, forming a second bonding structure on a first surface of a device wafer, and forming a device structure on the device wafer. One or more eutectic flow containment structures are formed on the cap wafer, the device wafer, or both. The flow containment structures may include flow containment micro-cavities (FCMCs) and flow containment micro-levee (FCMLs). The FCMLs may be elongated ridges overlying the first surface of the device wafer and extending substantially parallel to the bonding structure. The FCMLs may include interior FCMLs lying within a perimeter of the bonding structure, exterior FCMLs lying outside of the bonding structure perimeter, or both. When the two wafers are bonded, the FCMLs and FCMCs confine flow of the eutectic material to the region of the bonding structure. | 09-30-2010 |
20100244160 | MEMS SENSOR, MEMS SENSOR MANUFACTURING METHOD, AND ELECTRONIC DEVICE - A MEMS sensor formed by processing a multi-layer wiring structure, includes: a movable weight portion coupled to a fixed frame portion with an elastic deformable portion and having a hollow portion formed at the periphery; a capacitance electrode portion including a fixed electrode portion fixed to the fixed frame portion and a movable electrode portion connected to the movable weight portion and arranged to face the fixed electrode portion; and an adjusting layer for adjusting at least one of amass of the movable weight portion, a damping coefficient of the movable electrode portion, and spring characteristics in the elastic deformable portion, wherein the adjusting layer includes at least one insulating layer that is a constituent element of the multi-layer wiring structure. | 09-30-2010 |
20100244161 | WAFER LEVEL PACKAGING USING FLIP CHIP MOUNTING - A semiconductor packaged device, and method of packaging that incorporates the formation of cavities about electronic devices during the packaging process. In one example, the device package includes a first substrate having a first recess formed therein, a second substrate having a second recess formed therein, and an electronic device mounted in the first recess. The first and second substrates are joined together with the first and second recesses substantially overlying one another so as to form a cavity around the electronic device. | 09-30-2010 |
20100252897 | PERFORMANCE-ENHANCING TWO-SIDED MEMS ANCHOR DESIGN FOR VERTICALLY INTEGRATED MICROMACHINED DEVICES - An anchoring assembly for anchoring MEMS device is disclosed. The anchoring assembly comprises: a top substrate; a bottom substrate substantially parallel to the top substrate; and a first portion of the anchor between the top substrate and the bottom substrate. The first portion of the anchor is rigidly connected to the top substrate; and the first portion of the anchor is rigidly connected to the bottom substrate. A second portion of the anchor is between the top substrate and the bottom substrate. The second portion of the anchor is rigidly connected to the top substrate; the second portion of the anchor being an anchoring point for the MEMS device. A substantially flexible mechanical element coupling the first portion of the anchor and the second portion of the anchor; the flexible element providing the electrical connection between the first portion of the anchor and the second portion of the anchor. | 10-07-2010 |
20100252898 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device includes a first semiconductor substrate, a second semiconductor substrate, and a sealing member. The first semiconductor substrate has a surface and includes a sensing portion on the surface side. The sensing portion has a movable portion. The first semiconductor substrate and the second semiconductor substrate are bonded together to form a stacked substrate. The stacked substrate defines a hermetically sealed space for accommodating the sensing portion between the first and second semiconductor substrates. The stacked substrate further defines a recess extending between the first semiconductor substrate and the second semiconductor substrate to penetrate an interface between the first semiconductor substrate and the second semiconductor substrate. The sealing member is located in the recess. | 10-07-2010 |
20100258882 | FRONT END MICRO CAVITY - The present invention relates to a method of forming a micro cavity having a micro electrical mechanical system (MEMS) in a process, such as a CMOS process. MEMS resonators are being intensively studied in many research groups and some first products have recently been released. This type of device offers a high Q-factor, small size, high level of integration and potentially low cost. These devices are expected to replace bulky quartz crystals in high-precision oscillators and may also be used as RF filters. The oscillators can be used in time-keeping and frequency reference applications such as RF modules in mobile phones, devices containing blue-tooth modules and other digital and telecommunication devices | 10-14-2010 |
20100258883 | Metal-Ceramic Multilayer Structure - A metal-ceramic multilayer structure is provided. The underlying layers of the metal/ceramic multilayer structure have sloped sidewalls such that cracking of the metal-ceramic multilayer structure may be reduced or eliminated. In an embodiment, a layer immediately underlying the metal-ceramic multilayer has sidewalls sloped less than 75 degrees. Subsequent layers underlying the layer immediately underlying the metal/ceramic layer have sidewalls sloped greater than 75 degrees. In this manner, less stress is applied to the overlying metal/ceramic layer, particularly in the corners, thereby reducing the cracking of the metal-ceramic multilayer. The metal/ceramic multilayer structure includes one or more alternating layers of a metal seed layer and a ceramic layer. | 10-14-2010 |
20100258884 | Method for attaching a first carrier device to a second carrier device and micromechanical components - A method for attaching a first carrier device to a second carrier device includes forming at least one first bond layer and/or solder layer on a first exterior of the first carrier device, a partial surface being framed by the at least one first bond layer and/or solder layer, and placing the first carrier device on the second carrier device and fixedly bonding or soldering the first carrier device to the second carrier device. The at least one first bond layer and/or solder layer includes a first cover area which is larger than a first contact area. | 10-14-2010 |
20100258885 | Mems structure preventing stiction - A MEMS (Micro-Electro-Mechanical-System) structure preventing stiction, comprising: a substrate; and at least two structural layers above the substrate, wherein at least one of the at least two structural layers is a movable part, and anyone or more of the at least two structural layers is provided with at least one bump to prevent the movable part from sticking to another portion of the MEMS structure. | 10-14-2010 |
20100264498 | MANUFACTURING A MEMS ELEMENT HAVING CANTILEVER AND CAVITY ON A SUBSTRATE - Method for manufacturing a capacitor on a substrate, the capacitor including a first electrode ( | 10-21-2010 |
20100270628 | MULTIFUNCTION MENS ELEMENT AND INTEGRATED METHOD FOR MAKING MOS AND MULTIFUNCTION MENS - A multifunction MENS element includes a first cantilever, a second cantilever and a MENS component. The first cantilever, the second cantilever and the MENS component together form a MENS structure. The MENS component includes an inductor device. | 10-28-2010 |
20100270629 | PRESSURE SENSOR AND MANUFACTURING METHOD THEREOF - The pressure sensor according to the present invention has a sensor chip having a first semiconductor layer that has an opening portion, and a second semiconductor layer, formed on the first semiconductor layer, having a recessed portion that forms a diaphragm and a base, having a pressure guiding hole that is connected to the opening portion, bonded to the sensor chip. The recessed portion in the second semiconductor layer is larger than the opening portion of the first semiconductor layer. The opening portion of the first semiconductor layer has an opening diameter on the second semiconductor layer side that is larger than the opening diameter on the base side. | 10-28-2010 |
20100270630 | Semiconductor device and method for manufacturing the same - A semiconductor device includes: a first substrate made of semiconductor and having first regions, which are insulated from each other and disposed in the first substrate; and a second substrate having electric conductivity and having second regions and insulation trenches. Each insulation trench penetrates the second substrate so that the second regions are insulated from each other. The first substrate provides a base substrate, and the second substrate provides a cap substrate. The second substrate is bonded to the first substrate so that a sealed space is provided between a predetermined surface region of the first substrate and the second substrate. The second regions include an extraction conductive region, which is coupled with a corresponding first region. | 10-28-2010 |
20100276765 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a semiconductor device includes: a bonding step of bonding a first substrate with optical transparency and a second substrate having a surface on which a functional element is provided to each other such that the functional element faces the first substrate; a thinning step of thinning at least one of the first and second substrates; and a through-hole forming step of forming a cavity and a through-hole communicated with the cavity in at least part of a bonding portion between the first and second substrates. According to the present invention, it is possible to prevent irregularities or cracks caused by the presence or absence of the cavity and more regularly thin the substrate. In addition, it is possible to manufacture a semiconductor device capable of contributing to the miniaturization of devices and electronic equipment having the devices, using a more convenient process. | 11-04-2010 |
20100289096 | VIBRATING NANO-SCALE OR MICRO-SCALE ELECTROMECHANICAL COMPONENT WITH ENHANCED DETECTION LEVEL - A vibrating nano-scale or micro-scale electromechanical component including a vibrating mechanical element that cooperates with at least one detection electrode. The detection electrode is flexible and is configured to vibrate in phase opposition relative to the vibrating mechanical element. Such a component may find, for example, application to resonators or motion sensors. | 11-18-2010 |
20100295138 | METHODS AND SYSTEMS FOR FABRICATION OF MEMS CMOS DEVICES - A MEMS integrated circuit including a plurality of layers where a portion includes one or more electronic elements on a semiconductor material substrate. The circuit includes a structure of interconnection layers having a bottom layer of conductor material and a top layer of conductor material where the layers are separated by at least one layer of dielectric material. The bottom layer may be formed above and in contact with an Inter Dielectric Layer. The circuit also includes a hollow space within the structure of interconnection layers and a MEMS device in communication with the structure of interconnection layers. | 11-25-2010 |
20100301430 | MEMS DEVICE AND METHOD OF FABRICATING THE SAME - A micro electrical-mechanical system (MEMS) device comprises a suspended thin film microstructure which includes an anchoring portion adhered to the top surface of the substrate and a suspended portion above the top surface of the substrate. Having a base plane configured in parallel to the substrate, the suspended portion further includes a first recess portion spaced at a first vertical clearance with the substrate, the first vertical clearance being configured differentially smaller than a base clearance of the suspended portion outside the first recess portion. The method for processing a MEMS device includes: depositing a first carbon film, etch-removing a first sacrificial pre-removal portion and an anchor portion of the first carbon film, depositing a second carbon film conformally topping the first carbon film and the substrate, etch-removing the anchor portion of the second carbon film, depositing and patterning the suspended thin film microstructure onto the first carbon film, the second carbon film and the substrate, removing the first carbon film and the second carbon film to release the suspended thin film microstructure above the substrate by selective gaseous oxidation or nitridation preferably enhanced via plasma. | 12-02-2010 |
20100308423 | MEMS DEVICE AND MANUFACTURING METHOD THEREOF - A MEMS device includes: a movable element supported by a supporting member on a substrate; an encapsulation structure provided above the substrate so as to encapsulate the movable element; and a fin that is made of an insulation film, provided above the substrate, and provided inside of the encapsulation structure and outside of the movable element, and a part of the fin being positioned between a height from the substrate when the movable element are turned ON and a height from the substrate when the movable element are turned OFF. | 12-09-2010 |
20100308424 | Triple-Axis MEMS Accelerometer Having a Bottom Capacitor - An integrated circuit structure includes a substrate having a top surface; a first conductive layer over and contacting the top surface of the substrate; a dielectric layer over and contacting the first conductive layer, wherein the dielectric layer includes an opening exposing a portion of the first conductive layer; and a proof-mass in the opening and including a second conductive layer at a bottom of the proof-mass. The second conductive layer is spaced apart from the portion of the first conductive layer by an air space. Springs anchor the proof-mass to portions of the dielectric layer encircling the opening. The springs are configured to allow the proof-mass to make three-dimensional movements. | 12-09-2010 |
20100320548 | Silicon-Rich Nitride Etch Stop Layer for Vapor HF Etching in MEMS Device Fabrication - A thin silicon-rich nitride film (e.g., having a thickness in the range of around 100A to 10000A) deposited using low-pressure chemical vapor deposition (LPCVD) is used for etch stop during vapor HF etching in various MEMS wafer fabrication processes and devices. The LPCVD silicon-rich nitride film may replace, or be used in combination with, a LPCVD stoichiometric nitride layer in many existing MEMS fabrication processes and devices. The LPCVD silicon-rich nitride film is deposited at high temperatures (e.g., typically around 650-900 degrees C.). Such a LPCVD silicon-rich nitride film generally has enhanced etch selectivity to vapor HF and other harsh chemical environments compared to stoichiometric silicon nitride and therefore a thinner layer typically can be used as an embedded etch stop layer in various MEMS wafer fabrication processes and devices and particularly for vapor HF etching processes, saving time and money in the fabrication process. | 12-23-2010 |
20100327379 | CAPPED INTEGRATED DEVICE WITH PROTECTIVE CAP, COMPOSITE WAFER INCORPORATING INTEGRATED DEVICES AND PROCESS FOR BONDING INTEGRATED DEVICES WITH RESPECTIVE PROTECTIVE CAPS - A capped integrated device includes a semiconductor chip, incorporating an integrated device and a protective cap, bonded to the semiconductor chip for protection of the integrated device by means of a bonding layer made of a bonding material. The bonding material forms anchorage elements within recesses, formed in at least one between the semiconductor chip and the protective cap. | 12-30-2010 |
20110001198 | MEMS DEVICE AND INTERPOSER AND METHOD FOR INTEGRATING MEMS DEVICE AND INTERPOSER - A method for producing Microelectromechanical Systems (MEMS) and related devices using Silicon-On-Insulator (SOI) wafer includes providing an SOI wafer, performing a mesa etch to at least partially define the MEMS device, bonding the SOI wafer to an interposer by direct boding, removing the handle layer of the SOI wafer, removing the oxide layer of the SOI wafer, and further etching the device layer of the SOI wafer to define the MEMS device. A structure manufactured according to the above described processes includes an interposer comprising an SOI wafer and a MEMS device mounted on the interposer. The MEMS device comprises posts extending from a silicon plate. The MEMS device is directly mounted to the interposer by bonding the posts of the MEMS device to the device layer of the interposer. | 01-06-2011 |
20110001199 | PRESSURE SENSOR AND PRESSURE SENSOR MANUFACTURING METHOD - A pressure sensor having a second semiconductor layer wherein is formed diffused resistance interconnections, an insulating layer that is formed on top of the second semiconductor layer, and external conducting portions that are formed on top of the insulating layer, wherein contacts for connecting electrically between the external conducting portions and the diffused resistance interconnections are formed in the insulating layer, and wherein the external conducting portions are formed in ranges corresponding to the ranges wherein the diffused resistance interconnections are formed in the second semiconductor layer. | 01-06-2011 |
20110006380 | UNIAXIAL ACCELERATION SENSOR - One-dimensional acceleration sensor includes: a semiconductor substrate having a constant thickness; parallel second through trenches through the substrate defining a flexible beam therebetween, having width significantly smaller than thickness; four piezo resistors formed at four corner regions of the flexible beam; first through trench through the substrate, continuous with ends of the first through trenches to define a weight continuous with one end of the flexible beam, including a pair of symmetrical first portions sandwiching the flexible beam and a second portion coupling the first portions and one end of the flexible beam, and having a center of gravity at an intermediate position on a longitudinal center line of the flexible beam; and one-layer wirings formed above the flexible beam, serially connecting piezo resistors at a same edge, and leading interconnection points generally along a longitudinal direction of the flexible beam. | 01-13-2011 |
20110012211 | SEMICONDUCTOR DEVICE AND METHOD - Disclosed is a semiconductor device comprising a stack of patterned metal layers ( | 01-20-2011 |
20110018075 | STRUCTURE AND FABRICATION METHOD OF A SENSING DEVICE - A sensing device comprises a substrate having an upper surface, a sensor member, at least an external conductive wire, and a standing-ring member. The sensor member, the external conductive wire and the stand-ring member are on the upper surface. The sensor member is located at the central area on the upper surface, and the standing-ring member surrounds the sensor member. The standing-ring member and the sensor member are electrically connected through the at least an external conductive wire. | 01-27-2011 |
20110018076 | MEMS Component, Method for Producing a MEMS Component, and Method for Handling a MEMS Component - A MEMS component includes a substrate in which at least one cavity is present. The cavity is closed off toward an active side of the substrate. An inactive side is arranged opposite the active side of the substrate, and the substrate is covered with a covering film on the inactive side. | 01-27-2011 |
20110024850 | MICRO ELECTRONIC DEVICE AND METHOD FOR FABRICATING MICRO ELECTROMECHANICAL SYSTEM RESONATOR THEREOF - A method for fabricating a MEMS resonator is provided. A stacked main body including a silicon substrate, a plurality of metallic layers and an isolation layer is formed and has a first etching channel extending from the metallic layers into the silicon substrate. The isolation layer is filled in the first etching channel. The stacked main body also has a predetermined suspended portion. Subsequently, a portion of the isolation layer is removed so that a second etching channel is formed and the remained portion of the isolation layer covers an inner sidewall of the first etching channel. Afterwards, employing the isolation layer that covers the inner sidewall of the first etching channel as a mask, an isotropic etching process through the second etching channel is applied to the silicon substrate, thereby forming the MEMS resonator suspending above the silicon substrate. The method for fabricating MEMS resonator can be integrated with the process of fabricating the CMOS circuit, thereby the process of fabricating a microelectronic device can be simplified and the cost of fabricating a micro electronic device can be reduced. A micro electronic device is also provided in the present invention. | 02-03-2011 |
20110031564 | MEMS DEVICE AND FABRICATION METHOD THEREOF - A micro electro mechanical system (MEMS) device includes: a fixed electrode made of silicon and provided above a semiconductor substrate; a movable electrode made of silicon and arranged in a mechanically movable manner by having a gap from the semiconductor substrate; and a wiring layered part that is provided around the movable electrode, covers a portion of the fixed electrode and includes wiring. One of the fixed electrode and the movable electrode is implanted with an impurity ion and at least a part of the portion of the fixed electrode covered by the wiring layered part is silicidized. | 02-10-2011 |
20110037132 | MEMS PACKAGE STRUCTURE AND METHOD FOR FABRICATING THE SAME - A method for fabricating MEMS package structure includes the following steps. Firstly, a substrate is provided. Next, a plurality of lower metallic layers and first oxide layers are formed to compose a MEMS structure and an interconnecting structure. Next, an upper metallic layer is formed on the MEMS structure and the interconnecting structure. The upper metallic layer has a first opening and a second opening. Next, the first opening and the second opening are employed as etching channels to remove a portion of the first oxide layers so as to form a first cavity surrounding the MEMS structure and form a second cavity above the interconnecting structure. The first cavity communicates with the second cavity. Next, the second opening is sealed in a vacuum environment. Next, a packaging element is formed on the upper metallic layer in a non-vacuum environment to seal the first opening. | 02-17-2011 |
20110042761 | EUTECTIC FLOW CONTAINMENT IN A SEMICONDUCTOR FABRICATION PROCESS - A disclosed semiconductor fabrication process includes forming a first bonding structure on a first surface of a cap wafer, forming a second bonding structure on a first surface of a device wafer, and forming a device structure on the device wafer. One or more eutectic flow containment structures are formed on the cap wafer, the device wafer, or both. The flow containment structures may include flow containment micro-cavities (FCMCs) and flow containment micro-levee (FCMLs). The FCMLs may be elongated ridges overlying the first surface of the device wafer and extending substantially parallel to the bonding structure. The FCMLs may include interior FCMLs lying within a perimeter of the bonding structure, exterior FCMLs lying outside of the bonding structure perimeter, or both. When the two wafers are bonded, the FCMLs and FCMCs confine flow of the eutectic material to the region of the bonding structure. | 02-24-2011 |
20110049648 | MEMS DEVICE WITH STRESS ISOLATION AND METHOD OF FABRICATION - A MEMS device ( | 03-03-2011 |
20110049649 | INTEGRATED CIRCUIT SWITCHES, DESIGN STRUCTURE AND METHODS OF FABRICATING THE SAME - Integrated MEMS switches, design structures and methods of fabricating such switches are provided. The method includes forming at least one tab of sacrificial material on a side of a switching device which is embedded in the sacrificial material. The method further includes stripping the sacrificial material through at least one opening formed on the at least one tab which is on the side of the switching device, and sealing the at least one opening with a capping material. | 03-03-2011 |
20110049650 | Electro-Mechanical Transistor - An electromechanical transistor includes a source electrode and a drain electrode spaced apart from each other. A source pillar is between the substrate and the source electrode. A drain pillar is between the substrate and the drain electrode. A moveable channel is spaced apart from the source electrode and the drain electrode. A gate nano-pillar is between the moveable channel and the substrate. A first dielectric layer is between the moveable channel and the gate nano-pillar. A second dielectric layer is between the source pillar and the source electrode. A third dielectric layer is between the drain pillar and the drain electrode. | 03-03-2011 |
20110062532 | MEMS Chip And Package Method Thereof - The present invention proposes a MEMS chip and a package method thereof. The package method comprises; making a capping wafer by: providing a first substrate and forming an etch stop layer on the first substrate; making a device wafer by: providing a second substrate and forming a MEMS device and a material layer surrounding the MEMS device on the second substrate; bonding the capping wafer and the device wafer; after bonding, etching the first substrate to form at least one via; etching the etch stop layer through the via; etch the material layer; and forming a sealing layer on the first substrate. | 03-17-2011 |
20110068419 | MICROMECHANICAL SYSTEM - A micromechanical system includes a substrate, a first conductive layer situated above the substrate and a second conductive layer situated above the first conductive layer. The first conductive layer and the second conductive layer are conductively interconnected by a connecting element. The connecting element has a conductive edge surrounding a nonconductive region. | 03-24-2011 |
20110068420 | Semiconductor Structure with Lamella Defined by Singulation Trench - A method for fabricating a semiconductor structure includes etching a first opening into a substrate; etching a chip singulation trench into the substrate to define a lamella between the first opening and the chip singulation trench; fabricating a sense element for sensing a deflection of the lamella; and singulating the semiconductor structure at the chip singulation trench. | 03-24-2011 |
20110073966 | INDEXING OF ELECTRONIC DEVICES DISTRIBUTED ON DIFFERENT CHIPS - An embodiment of a method is proposed for indexing electronic devices. The embodiment includes the steps of forming a plurality of first chips in a first wafer, forming a plurality of second chips in a second wafer, forming the electronic devices by coupling each first chip with a corresponding second chip, and forming an index on each electronic device; the index is indicative of a position of the corresponding first chip in the first wafer. In an embodiment, the step of forming an index includes forming a first portion of the index on the first chip, and forming a second portion of the index on the second chip. | 03-31-2011 |
20110079863 | Micromechanical structure, method for manufacturing a micromechanical structure, and use of a micromechanical structure - A micromechanical structure which includes a substrate having a main plane of extension, and a seismic mass which is movable relative to the substrate. The micromechanical structure includes a fixed electrode which is connected to the substrate, and a counterelectrode which is connected to the seismic mass. The fixed electrode has a first fixed electrode region and a second fixed electrode region which is connected in an electrically conductive manner to the first fixed electrode region. The counterelectrode is partially situated between the first and the second fixed electrode region, perpendicular to the main plane of extension. | 04-07-2011 |
20110084344 | MEMS DEVICE WITH A COMPOSITE BACK PLATE ELECTRODE AND METHOD OF MAKING THE SAME - A method of fabricating MEMS device includes: providing a substrate with a first surface and a second surface. The substrate includes at least one logic region and at least one MEMS region. The logic region includes at least one logic device positioned on the first surface of the substrate. Then, an interlayer material is formed on the first surface of the substrate within the MEMS region. Finally, the second surface of the substrate within the MEMS region is patterned. After the pattern process, a vent pattern is formed in the second surface of the substrate within the MEMS region. The interlayer material does not react with halogen radicals. Therefore, during the formation of the vent pattern, the substrate is protected by the interlayer material and the substrate can be prevented from forming any undercut. | 04-14-2011 |
20110084345 | Apparatuses for generating electrical energy - Electrical energy generation apparatuses, in which a solar battery device and a piezoelectric device are combined in a single body by using a plurality of nano wires formed of a semiconductor material having piezoelectric properties. | 04-14-2011 |
20110084346 | Pressure sensor and method of manufacturing the same - The present invention provides a pressure sensor and a method of manufacturing the same, which can change resistance to load smoothly in a relatively small load range and detect the pressure to the extent of relatively large load range. An uneven layer | 04-14-2011 |
20110089503 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SEMICONDUCTOR DEVICE - To provide a semiconductor device prevented from giving a limitation on the sensitivity of HEMS devices due to isolation regions thereof and a method of fabricating the same. The semiconductor device includes: a semiconductor substrate with a recess portion formed in an upper surface; a supporting body provided around the recess portion on the semiconductor substrate; a beam-type movable portion which includes a movable electrode provided above the recess portion and is fixed to the supporting body at a position away from the movable electrode; a beam-type fixed electrode provided above the recess portion to be opposed to the movable electrode and fixed to the supporting body; and isolation regions each including a separation column made of a semiconductor and a separation insulating film provided on a side surface of the separation column, the isolation regions being provided between the movable electrode and the supporting body and between the fixed electrode and the supporting body to electrically separate the movable and fixed electrodes from the supporting body. | 04-21-2011 |
20110095382 | MEMS DEVICE - A MEMS device of an embodiment includes: a MEMS element; a first cavity region provided on the MEMS element; a second cavity region provided on a surrounding portion outside the MEMS element, the second cavity region having a lower height than the first cavity region; a third cavity region provided on a surrounding portion outside the second cavity region, the third cavity region having a lower height than the second cavity region; an insulating film provided to cover upper portions and side surfaces of the first to the third cavity regions; an opening provided in the insulating film on the first to the third cavity regions; and a sealant provided on the insulating film to seal the opening and to retain the first to the third cavity regions. | 04-28-2011 |
20110095383 | MEMS DEVICE HAVING A MOVABLE ELECTRODE - A microelectromechanical system (MEMS) device includes a semiconductor substrate, a MEMS including a fixed electrode and a movable electrode formed on the semiconductor substrate through an insulating layer, and a well formed in the semiconductor substrate below the fixed electrode. The well is one of an n-type well and a p-type well. The p-type well applies a positive voltage to the fixed electrode while the n-type well applies a negative voltage to the fixed electrode. | 04-28-2011 |
20110095384 | Single Crystal Silicon Sensor with Additional Layer and Method of Producing the Same - A SOI-based MEMS device has a base layer, a device layer, and an insulator layer between the base layer and the device layer. The device also has a deposited layer having a portion that is spaced from the device layer. The device layer is between the insulator layer and the deposited layer. | 04-28-2011 |
20110101474 | METHOD FOR PROTECTING ENCAPSULATED SENSOR STRUCTURES USING STACK PACKAGING - A method of protecting a micro-mechanical sensor structure embedded in a micro-mechanical sensor chip, in which the micro-mechanical sensor structure is fabricated with a protective membrane, the micro-mechanical sensor chip is arranged so that a surface of the protective membrane faces toward a second chip, and the micro-mechanical sensor chip is secured to the second chip. | 05-05-2011 |
20110108932 | Micromechanical Capacitive Sensor Element - A manufacturing method for producing a micromechanical sensor element which may be produced in a monolithically integrable design and has capacitive detection of a physical quantity is described. In addition to the manufacturing method, a micromechanical device containing such. a sensor element, e.g., a pressure sensor or an acceleration sensor, is described. | 05-12-2011 |
20110108933 | MEMS DEVICE - A MEMS device according to the present invention includes a movable member, a supporting member supporting the movable member, an opposing member opposed to the movable member, and a wall member formed to an annular shape surrounding the movable member and connected to the supporting member and the opposing member. | 05-12-2011 |
20110108934 | MICRO-ELECTRO-MECHANICAL-SYSTEM DEVICE WITH PARTICLES BLOCKING FUNCTION AND METHOD FOR MAKING SAME - The present invention discloses a MEMS device with particles blocking function, and a method for making the MEMS device. The MEMS device comprises: a substrate on which is formed a MEMS device region; and a particles blocking layer deposited on the substrate. | 05-12-2011 |
20110115036 | DEVICE PACKAGES AND METHODS OF FABRICATING THE SAME - Provided is a method for fabricating a device package. The method includes: preparing a substrate where respectively corresponding device structures and input and output pads are disposed on an active surface; preparing a carrier substrate where a metal lid corresponding to the device structure is disposed on one surface; and contacting the active surface of the substrate with the metal lid of the carrier substrate to cover and seal the device structure corresponding to the metal lid. | 05-19-2011 |
20110121412 | PLANAR MICROSHELLS FOR VACUUM ENCAPSULATED DEVICES AND DAMASCENE METHOD OF MANUFACTURE - Low temperature, multi-layered, planar microshells for encapsulation of devices such as MEMS and microelectronics. The microshells include a planar perforated pre-sealing layer, below which a non-planar sacrificial layer is accessed, and a sealing layer to close the perforation in the pre-sealing layer after the sacrificial material is removed. In an embodiment, the pre-sealing layer has perforations formed with a damascene process to be self-aligned to the chamber below the microshell. The sealing layer may include a nonhermetic layer to physically occlude the perforation and a hermetic layer over the nonhermetic occluding layer to seal the perforation. In a particular embodiment, the hermetic layer is a metal which is electrically coupled to a conductive layer adjacent to the microshell to electrically ground the microshell. | 05-26-2011 |
20110127620 | MEMS INTEGRATED CHIP AND METHOD FOR MAKING SAME - The present invention discloses a MEMS (Micro-Electro-Mechanical System) chip and a method for making the MEMS chip. The MEMS chip comprises: a first substrate having a first surface and a second surface opposing each other; a microelectronic device area on the first surface; a first MEMS device area on the second surface; and a conductive interconnection structure electrically connecting the microelectronic device area and the first MEMS device area. | 06-02-2011 |
20110127621 | Electrostatic vibrator and electronic apparatus - A silicon oxide film | 06-02-2011 |
20110127622 | Method for Capping a MEMS Wafer and MEMS Wafer - The invention relates to a method for capping a MEMS wafer ( | 06-02-2011 |
20110133294 | MICRO ELECTROMECHANICAL SYSTEMS (MEMS) HAVING A GAP STOP AND METHOD THEREFOR - A method of forming a micro-electromechanical system (MEMS) includes providing a cap substrate, providing a support substrate, depositing a conductive material over the support substrate, patterning the conductive material to form a gap stop and a contact, wherein the gap stop is separated form the contact by an opening, forming a bonding material over the contact and in the opening, wherein the gap stop and the contact prevent the bonding material from extending outside the opening, and attaching the cap substrate to the support substrate by the step of forming the bonding material. In addition, the structure is described. | 06-09-2011 |
20110133295 | REGION DIVIDED SUBSTRATE AND SEMICONDUCTOR DEVICE - A region divided substrate includes a substrate, a plurality of trenches, a conductive layer, and an insulating member. The substrate has a first surface and a second surface opposed to each other. The trenches penetrate the substrate from the first surface to the second surface and divide the substrate into a plurality of partial regions. The conductive layer is disposed on a sidewall of each of the trenches from a portion adjacent to the first surface to a portion adjacent to the second surface. The conductive layer has an electric conductivity higher than an electric conductivity of the substrate. The insulating member fills each of the trenches through the conductive layer. | 06-09-2011 |
20110140210 | MICROELECTROMECHANICAL SENSOR DEVICE PACKAGE AND METHOD FOR MAKING THE SAME - A microelectromechanical sensor device package includes a substrate, a microelectromechanical sensor device and a cap. The substrate has a surface on which a circuit pattern having a plurality of first conductive contacts is provided. The device is mounted on the surface of the substrate and has an active surface on which a plurality of second conductive contacts are provided. A plurality of bonding wires are used to electrically connect the first conductive contacts to the second conductive contacts respectively. The cap is made of an electrically insulating material and attached on the surface of the substrate in a way that the cap covers the microelectromechanical sensor device and a space is formed between the cap and the microelectromechanical sensor device. | 06-16-2011 |
20110140211 | Flow Sensor, Method for Manufacturing Flow Sensor and Flow Sensor Module - The invention provides a flow sensor structure for sealing the surface of an electric control circuit and a part of a semiconductor device via a manufacturing method capable of preventing occurrence of flash or chip crack when clamping the semiconductor device via a mold. The invention provides a flow sensor structure comprising a semiconductor device having an air flow sensing unit and a diaphragm formed thereto, and a board or a lead frame having an electric control circuit for controlling the semiconductor device disposed thereto, wherein a surface of the electric control circuit and a part of a surface of the semiconductor device is covered with resin while having the air flow sensing unit portion exposed. The invention further provides flow sensor structure in which surfaces of a resin mold, a board or a pre-mold component surrounding the semiconductor device are continuously not in contact with three walls of the semiconductor device orthogonal to a side on which the air flow sensing unit portion is disposed, or a manufacturing method for absorbing the dimensional variation of the semiconductor device by the deformation of springs or deformation of an elastic film in the thickness direction. | 06-16-2011 |
20110147859 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device includes a base substrate made of silicon, a cap substrate and a leading electrode having a metal part. The base substrate has base semiconductor regions being insulated and separated from each other at a predetermined portion of a surface layer thereof. The cap substrate is bonded to the predetermined portion of the surface layer of the base substrate. The leading electrode has a first end connected to one of the plurality of base semiconductor regions of the base substrate and extends through the cap substrate such that a second end of the leading electrode is located adjacent to a surface of the cap substrate for allowing an electrical connection with an external part, the surface being opposite to a bonding surface at which the base substrate and the cap substrate are bonded. The leading electrode defines a groove between an outer surface thereof and the cap substrate. | 06-23-2011 |
20110147860 | MICROMECHANICAL STRUCTURE COMPRISING A MOBILE PART HAVING STOPS FOR OUT-OF-PLANE DISPLACEMENTS OF THE STRUCTURE AND ITS PRODUCTION PROCESS - Process for producing a micromechanical structure comprising a substrate and a stack of at least two layers arranged on the substrate, a mobile part formed in the stack and a fixed part relative to the substrate formed in the stack, and an opposite surface formed between the fixed part and the mobile part, forming for example stop means to limit displacement of the mobile part in a direction substantially perpendicular to the stack, which process using at least one sacrificial layer between the substrate and the stack made of material suitable to be etched selectively relative to the materials of the stack. | 06-23-2011 |
20110147861 | MEMS SWITCH AND FABRICATION METHOD - A MEMS switch ( | 06-23-2011 |
20110156178 | Micro-Electro-Mechanical System Having Movable Element Integrated into Leadframe-Based Package - A MEMS may integrate movable MEMS parts, such as mechanical elements, flexible membranes, and sensors, with the low-cost device package, leaving the electronics and signal-processing parts in the integrated circuitry of the semiconductor chip. The package may be a leadframe-based plastic molded body having an opening through the thickness of the body. The movable part may be anchored in the body and extend at least partially across the opening. The chip may be flip-assembled to the leads to span across the foil, and may be separated from the foil by a gap. The leadframe may be a prefabricated piece part, or may be fabricated in a process flow with metal deposition on a sacrificial carrier and patterning of the metal layer. The resulting leadframe may be flat or may have an offset structure useful for stacked package-on-package devices. | 06-30-2011 |
20110163395 | Pressure Sensor and Method - A method for providing a pressure sensor substrate comprises creating a first cavity that extends inside the substrate in a first direction perpendicular to a main surface of the substrate, and that extends inside the substrate, in a second direction perpendicular to the first direction, into a first venting area of the substrate; creating a second cavity that extends in the first direction inside the substrate, that extends in parallel to the first cavity in the second direction, and that does not extend into the first venting area; and opening the first cavity in the first venting area. | 07-07-2011 |
20110163396 | Manufacturing method for a micromechanical component, corresponding composite component, and corresponding micromechanical component - The present invention relates to a manufacturing method for a micromechanical component, a corresponding composite component, and a corresponding micromechanical component. The method has the following steps: providing a first composite (W | 07-07-2011 |
20110163397 | Composition and Manufacturing Method - A device includes a substrate ( | 07-07-2011 |
20110169106 | MICRO ELECTRONIC MECHANICAL SYSTEM STRUCTURE AND MANUFACTURING METHOD THEREOF - A micro electronic mechanical system structure and a manufacturing method thereof are provided. A substrate has a plurality of conductive regions is provided. A dielectric layer is formed on the substrate. A plurality of openings and recesses are formed in the dielectric layer, wherein the openings expose the conductive regions. The recesses are located between the openings. A conductive layer is formed on the dielectric layer and the openings and the recesses are filled with the conductive layer. The conductive layer is patterned to form a plurality of strips of the first conductive patterns on the dielectric layer and a second conductive pattern on the sidewall and the bottom of each recess, wherein the first conductive patterns are connected with each other through the second conductive patterns. The dielectric layer is removed. The second conductive patterns between the first conductive patterns are removed. | 07-14-2011 |
20110169107 | Method for manufacturing a component, method for manufacturing a component system, component, and component system - A process for manufacturing a component is described. In a first manufacturing step a base structure having a substrate, a diaphragm, and a cavern region is provided. The diaphragm is oriented substantially parallel to a main plane of extension of the substrate. The cavern region is situated between the substrate and the diaphragm, and has an access opening. In a second manufacturing step, a first conductive layer is provided at least partially in the cavern region, in particular on a second side of the diaphragm facing the substrate, perpendicularly to the main plane of extension. | 07-14-2011 |
20110175177 | MICROELECTROMECHANICAL SYSTEM (MEMS) DEVICE AND METHODS FOR FABRICATING THE SAME - A method of fabricating a microelectromechanical system (MEMS) device includes providing a semiconductor substrate having a semiconductor layer and an interconnect structure. A passivation layer and a photoresist layer are formed over the interconnect structure and a plurality of openings are formed in the photoresist layer to expose portions of the passivation layer. The passivation layer exposed by the openings and the interconnect structure thereunder are removed, forming a plurality of first trenches. The semiconductor layer exposed by the first trenches is removed, forming a plurality of second trenches in the semiconductor layer. An upper capping substrate is provided over the passivation layer, forming a first composite substrate. The semiconductor layer in the first composite substrate is thinned and portions of the thinned semiconductor layer are etched to form a third trench, wherein a suspended micromachined structure is formed in a region between the first, second and third trenches. | 07-21-2011 |
20110180885 | METHOD FOR ENCAPSULATING AN MEMS COMPONENT - Method for producing an MST device, and MST device | 07-28-2011 |
20110180886 | Method for Manufacturing a Micromachined Device and the Micromachined Device Made Thereof - Methods for manufacturing micromachined devices and the devices obtained are disclosed. In one embodiment, the method comprises providing a structural layer comprising an amorphous semiconductor material, forming a shielding layer on a first portion of the structural layer and leaving exposed a second portion of the structural layer, and annealing the second portion using a first fluence. The method further comprises removing the shielding layer, and annealing the first portion and the second portion using a second fluence that is less than half the first fluence. In an embodiment, the device comprises a substrate layer, an underlying layer formed on the substrate layer, and a sacrificial layer formed on only a portion of the underlying layer. The device further comprises a structural layer that is in contact with the underlying layer and comprises a first region annealed using a first fluence and a second region annealed using a second fluence. | 07-28-2011 |
20110180887 | Encapsulation, MEMS and Encapsulation Method - A method and encapsulation of a sensitive mechanical component structure in one embodiment includes a semiconductor substrate, and a film covering a component structure on the substrate, said film including at least one polymer layer, and at least one cavity formed between the component structure and the film, wherein at least one through contact penetrates through the film. | 07-28-2011 |
20110198711 | SYSTEM AND METHOD FOR AN INTEGRATED ELECTRONIC AND OPTICAL MEMS BASED SENSOR - This patent discloses an integrated electronic and optical MEMS (micro-electro-mechanical systems) based sensor wherein the same embossed diaphragm is used as the sensing element of both integrated parts. The optical part of the sensor is based on a Fabry-Perot cavity and the electronic part of the sensor is based on the piezoresistive effect. The signal output obtained from the electronic part of the sensor will be used to assist the fabrication of the Fabry-Perot cavities and as a reference to establish the quiescence point (Q-point) of the signal output from the optical part of the sensor. The invention includes sensors for detecting mechanical movements, such as those caused by pressure, sound, magnetic fields, temperature, chemical reaction or biological activities. | 08-18-2011 |
20110198712 | Pressure Sensor - A pressure sensor ( | 08-18-2011 |
20110210408 | SENSOR DEVICE, METHOD OF MANUFACTURING SENSOR DEVICE, MOTION SENSOR, AND METHOD OF MANUFACTURING MOTION SENSOR - A sensor device includes: a silicon substrate; a first electrode provided at an active surface side of the silicon substrate; an external connection terminal provided at the active surface side so as to be electrically connected to the first electrode; a stress relief layer provided between the silicon substrate and the external connection terminal; and a vibrating gyro element as a sensor element including a extraction electrode. The vibrating gyro element is held to the silicon substrate by connection between the extraction electrode and the external connection terminal. | 09-01-2011 |
20110215427 | SEMICONDUCTOR DEVICE - According to one embodiment, a semiconductor device includes: a substrate; an organic insulating film provided on the substrate; an inorganic insulating film formed thinner than the organic insulating film on the organic insulating film; a hollow sealing structure that is formed on the inorganic insulating film, and seals a MEMS element in an inside while ensuring a space between the hollow sealing structure itself and the MEMS element; a through hole formed so as to penetrate the organic insulating film and the inorganic insulating film; and a conductive member that is filled into the through hole, and electrically connects the MEMS element and an electrode formed by being filled into the through hole. | 09-08-2011 |
20110215428 | MEMS STRUCTURE AND MANUFACTURING METHOD THEREOF - In a MEMS structure, a first trench which penetrates the first layer, the second layer and the third layer is formed, and a second trench which penetrates the fifth layer, the forth layer and the third layer is formed. The first trench forms a first part of an outline of the movable portion in a view along the stacked direction. The second trench forms a second part of the outline of the movable portion in the view along the stacked direction. At least a part of the first trench overlaps with the first extending portion in the view along the stacked direction. | 09-08-2011 |
20110215429 | MANUFACTURING METHOD OF ELECTRONIC DEVICE PACKAGE, ELECTRONIC DEVICE PACKAGE, AND OSCILLATOR - An electronic device package manufacturing method includes: forming a metal film on both surfaces of the cover substrate so that the metal film on one surface and the metal surface on the other surface conduct with each other; aligning and superimposing the cover substrate and the base substrate; and bonding the base substrate and the cover substrate together via the metal film by anodic bonding by bringing a negative electrode plate into contact with the base substrate on an entire surface opposite to a surface bonded to the cover substrate, bringing a positive electrode plate into contact with the cover substrate on an entire surface opposite to a surface bonded to the base substrate, and applying a voltage between the positive and negative electrode plates. The base substrate and the cover substrates can be thus bonded together via the metal film by anodic bonding in a stable manner. | 09-08-2011 |
20110215430 | MICROMECHANICAL DEVICE WITH MICROFLUIDIC LUBRICANT CHANNEL - A micromechanical device assembly includes a micromechanical device enclosed within a processing region and a lubricant channel formed through an interior wall of the processing region and in fluid communication with the processing region. Lubricant is injected into the lubricant channel via capillary forces and held therein via surface tension of the lubricant against the internal surfaces of the lubrication channel. The lubricant channel containing the lubricant provides a ready supply of fresh lubricant to prevent stiction from occurring between interacting components of the micromechanical device disposed within the processing region. | 09-08-2011 |
20110227173 | MEMS SENSOR WITH INTEGRATED ASIC PACKAGING - A sensor assembly comprises an integrated circuit (IC) substrate having an upper surface and operating circuitry, and a micro-electro-mechanical systems (MEMS) sensor die attached to the upper surface of the IC substrate in a stacked configuration. The MEMS sensor die in operative communication with the operating circuitry of the IC substrate. A seal ring surrounds an outer periphery of the upper surface of the IC substrate, and a seal cap is secured to the seal ring over the MEMS sensor die. | 09-22-2011 |
20110227174 | SEMICONDUCTOR PHYSICAL QUANTITY SENSOR AND METHOD OF MANUFACTURING THE SAME - In a semiconductor physical quantity sensor, a pattern portion including a wiring pattern as a wiring is formed on a surface of a first semiconductor substrate. A support substrate having a surface made of an electrically insulating material is prepared. The first semiconductor substrate is joined to the support substrate by bonding the pattern portion to the surface of the support substrate. Further, a sensor structure is formed in the first semiconductor substrate. The sensor structure is electrically connected to the wiring pattern. A cap is bonded to the first semiconductor substrate such that the sensor structure is hermetically sealed. | 09-22-2011 |
20110227175 | Stacked Die Package for MEMS Resonator System - A stacked die package for an electromechanical resonator system includes a chip that contains an electromechanical resonator bonded onto the control chip for the electromechanical resonator by a thermally and/or electrically conductive epoxy. In various embodiments, the electromechanical resonator can be a micro-electromechanical system (MEMS) resonator or a nano-electromechanical system (NEMS) resonator. Packaging configurations that may include the chip that contains the electromechanical resonator and the control chip include chip-on-lead (COL), chip-on-paddle (COP), and chip-on-tape (COT) packages. The stacked die package provides small package footprint and/or low package thickness, as well as low thermal resistance and a robust conductive path between the chip that contains the electromechanical resonator and the control chip. | 09-22-2011 |
20110227176 | MEMS chip and package method thereof - The present invention proposes a MEMS chip and a package method thereof. The package method comprises: making a capping wafer by: providing a first substrate and forming an etch stop layer on the first substrate; making a device wafer by: providing a second substrate and forming a MEMS device and a material layer surrounding the MEMS device on the second substrate; bonding the capping wafer and the device wafer; after bonding, etching the first substrate to form at least one via; etching the etch stop layer through the via; etch the material layer; and forming a sealing layer on the first substrate. | 09-22-2011 |
20110233691 | HF-MEMS SWITCH - A high frequency-MEMS switch with a bendable switching element, whose one end is placed on a high resistivity substrate provided with an insulator, furthermore with a contact electrode to supply charge carriers to the substrate, wherein an electrical field can be produced to create an electrostatic bending force on the switching element between the switching element and the substrate, wherein at least one implantation zone is formed in the substrate, essentially directly beneath the insulator, the implantation zone is contacted with the contact electrode, which is located above the insulator, through an opening in the insulator, and also has ohmic contact with the substrate. | 09-29-2011 |
20110241135 | MEMS ELEMENT - According to an embodiment of the present invention, a MEMS element includes: a semiconductor substrate; an island insulating layer formed on the substrate, the insulating layer including an air gap layer having an air gap group, the air gap group including a plurality of air gaps disposed in an in-plane direction; and a MEMS capacitor formed above the air gap group on the insulating layer. | 10-06-2011 |
20110241136 | MEMS DEVICE - A MEMS device includes a substrate, an insulating layer section formed above the substrate and having a cavity, a functional element contained in the cavity, and a fuse element contained in the cavity and electrically connected with the functional element. It is preferable that the fuse element is spaced apart from the substrate. | 10-06-2011 |
20110248363 | PHYSICAL QUANTITY DETECTION DEVICE AND METHOD FOR MANUFACTURING THE SAME - A physical quantity detection device includes: an insulating layer; a semiconductor layer on the insulating layer; and first and second electrodes in the semiconductor layer. Each electrode has a wall part, one of which includes two diaphragms and a cover part. The diaphragms facing each other provide a hollow cylinder having an opening covered by the cover part. One diaphragm faces the other wall part or one diaphragm in the other wall part. A distance between the one diaphragm and the other wall part or the one diaphragm in the other wall part is changed with pressure difference between reference pressure in the hollow cylinder and pressure of an outside when a physical quantity is applied to the diaphragms. The physical quantity is detected by a capacitance between the first and second electrodes. | 10-13-2011 |
20110254107 | METHOD AND APPARATUS FOR FORMING MEMS DEVICE - The disclosure is generally directed to fabrication steps, and operation principles for microelectromechanical (MEMS) transducers. In one embodiment, the disclosure relates to a texture morphing device. The texture morphing device includes: a plurality of supports arranged on a substrate to support a deformable mirror; an ITO layer; and a Distributed Bragg Reflector (DBR) layer. A pair of adjacent supports form a cavity with the ITO layer and the deformable mirror. When the height of the cavity changes responsive to an external pressure, the internal reflection within the cavity is changed. The change in the height of the cavity causes the exterior texture to morph. Similar principles are disclosed for constructing sensor and actuators. | 10-20-2011 |
20110254108 | FINGER SENSOR INCLUDING CAPACITIVE LENS AND ASSOCIATED METHODS - A finger sensing device may include a mounting substrate, an integrated circuit (IC) die carried by the mounting substrate and having an array of electric field-based finger sensing elements, and first electrical connections coupling the mounting substrate and the IC die. In addition, the finger sensing device may include a protective plate attached over the array of electric field-based finger sensing elements and having a dielectric constant greater than 5 in all directions and a thickness greater than 40 microns to define a capacitive lens for the array of electric field-based finger sensing elements. The finger sensing device may also include an encapsulating material adjacent the mounting substrate and the IC die and around at least the first electrical connections. | 10-20-2011 |
20110254109 | INTEGRATED CIRCUIT WITH SPURRIOUS ACOUSTIC MODE SUPPRESSION AND METHOD OF MANUFACTURE THEREOF - An integrated circuit (IC) apparatus includes a substrate having opposed first and second major sides and one or more edges defining an outer periphery of the substrate. The substrate may be a semiconductor material. The IC apparatus may further include one or more transducers situated on the first major side of the substrate; and an attenuation pattern formed in at least one of the second major side and one or more of the edges of the substrate. | 10-20-2011 |
20110254110 | MEMS DEVICE HAVING A MOVABLE ELECTRODE - A microelectromechanical system (MEMS) device includes a semiconductor substrate, a MEMS including a fixed electrode and a movable electrode formed on the semiconductor substrate through an insulating layer, and a well formed in the semiconductor substrate below the fixed electrode. The well is one of an n-type well and a p-type well. The p-type well applies a positive voltage to the fixed electrode while the n-type well applies a negative voltage to the fixed electrode. | 10-20-2011 |
20110260266 | SEMICONDUCTOR PACKAGE STRUCTURE AND PACKAGE PROCESS - A semiconductor package structure and a package process are provided, wherein a lower surface of a die pad of a leadframe is exposed by an encapsulant so as to improve the heat dissipation efficiency of the semiconductor package structure. In addition, two chips are disposed at the same sides of the leadframe and the end portion of each of leads bonding to the upper chip is encapsulated by the encapsulant such that the scratch on the lead tips in wire bonding and die attach steps can be prevented and thus the wire bondability can be enhanced. | 10-27-2011 |
20110260267 | MEMS DEVICES AND FABRICATION THEREOF - A MEMS device and method, comprising: a substrate; a beam; and a cavity located therebetween; the beam comprising a first beam layer and a second beam layer, the first beam layer being directly adjacent to the cavity, the second beam layer being directly adjacent to the first beam layer; the first beam layer comprising a metal or a metal alloy containing silicon; and the second beam layer comprising a metal or a metal alloy substantially not containing silicon. Preferably the second beam layer is thicker than the first beam layer e.g. at least five times thicker, and the first beam layer comprises a metal or alloy containing between 1% and 2% of silicon. The second beam layer provides desired mechanical and/or optical properties whilst the first beam layer prevents spiking. | 10-27-2011 |
20110266639 | Method of Producing a MEMS Device - A method of producing a MEMS device removes the bottom side of a device wafer after its movable structure is formed. To that end, the method provides the device wafer, which has an initial bottom side. Next, the method forms the movable structure on the device wafer, and then removes substantially the entire initial bottom side of the device wafer. Removal of the entire initial bottom side effectively forms a final bottom side. | 11-03-2011 |
20110278682 | Optimized Bonding Wire - Any two segments of a wire bonded on two bond pads at different elevations can be distinguished by a stationary node (or zero-displacement) during its second-mode vibration. In order to boost the natural frequency of such a bond wire to avoid a second-mode resonance occurring at the lowest frequency in the in-plane vibration, a wire can be optimized by connecting two equalized (shortest possible) wire segments to replace a wire consisting of a larger segment and a shorter segment. The purpose is to re-distribute a larger vibration movement in the longer segment with a lower stiffness of an arbitrary bond wire to two smaller equalized segments of an optimized wire to reduce an in-plane vibration to significantly improve the wire natural frequency and reliability in a harsh vibration environment such as over 30 kHz. | 11-17-2011 |
20110284975 | MICROSTRUCTURE, METHOD FOR PRODUCING THE SAME, DEVICE FOR BONDING A MICROSTRUCTURE AND MICROSYSTEM - A microstructure has at least one bonding substrate and a reactive multilayer system. The reactive multilayer system has at least one surface layer of the bonding substrate with vertically oriented nanostructures spaced apart from one another. Regions between the nanostructures are filled with at least one material constituting a reaction partner with respect to the material of the nanostructures. A method for producing at least one bonding substrate and a reactive multilayer system, includes, for forming the reactive multilayer system, at least one surface layer of the bonding substrate is patterned or deposited in patterned fashion with the formation of vertically oriented nanostructures spaced apart from one another, and regions between the nanostructures are filled with at least one material constituting a reaction partner with respect to the material of the nanostructures. A device for bonding a microstructure, which has at least one bonding substrate and a reactive multilayer system, to a further structure, which has a bonding substrate. The device has a bonding chamber, which can be opened and closed and evacuated and in which the microstructure and the further structure can be introduced and aligned with one another, and also an activation mechanism, which is coupled to the bonding chamber and by means of which the reactive multilayer system of the microstructure, said reactive multilayer system being formed from reactive nanostructures with—situated therebetween—a material constituting a reaction partner with respect to the material of the nanostructures, can be activated mechanically, electrically, electromagnetically, optically and/or thermally in such a way that a self-propagating, exothermic reaction takes place between the nanostructures and the material constituting a reaction partner with respect to the material of the nanostructures. A microsystem is formed from two bonding substrates and a construction lying between the bonding substrates, the construction having a reacted reactive layer system, wherein the reacted reactive layer system is a reacted structure sequence composed of at least one surface layer—provided on the bonding substrate—with vertically oriented nanostructures spaced apart from one another, and regions filled between the nanostructures with at least one material constituting a reaction partner with respect to the material of the nanostructures. The microsystem is a sensor coated with biomaterial and/or has elements composed of polymeric material and/or at least one magnetic and/or piezoelectric and/or piezoresistive component. | 11-24-2011 |
20110298063 | Micromechanical Component - A method is described for manufacturing a micromechanical component. The method includes providing a first substrate, forming a first connecting structure on the first substrate, and forming a microstructure on the first substrate after forming the first connecting structure. The microstructure has at least one movable functional element. The method further includes providing a second substrate having a second connecting structure, and joining the first and second substrates by carrying out a bonding process, the first and second connecting structures being joined to form a common connecting structure, and a sealed cavity being formed in the region of the microstructure. The method provides that the first connecting structure takes the form of a buried connecting structure extending up to an upper surface of the first substrate. Also described is a related micromechanical component. | 12-08-2011 |
20110298064 | SENSOR MODULE AND METHOD FOR PRODUCING SENSOR MODULES - Sensor module, comprising a carrier, at least one sensor chip and at least one evaluation chip which is electrically coupled to the sensor chip. The carrier has a cutout, in which the sensor chip is at least partly situated. The evaluation chip is arranged on the carrier and at least partly covers the cutout. | 12-08-2011 |
20110298065 | ELECTROMECHANICAL SYSTEM HAVING A CONTROLLED ATMOSPHERE, AND METHOD OF FABRICATING SAME - There are many inventions described and illustrated herein. In one aspect, the present invention is directed to a technique of fabricating or manufacturing MEMS having mechanical structures that operate in controlled or predetermined mechanical damping environments. In this regard, the present invention encapsulates the mechanical structures within a chamber, prior to final packaging and/or completion of the MEMS. The environment within the chamber containing and/or housing the mechanical structures provides the predetermined, desired and/or selected mechanical damping. The parameters of the encapsulated fluid (for example, the gas pressure) in which the mechanical structures are to operate are controlled, selected and/or designed to provide a desired and/or predetermined operating environment. | 12-08-2011 |
20110303992 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURE THEREOF - A semiconductor device includes a substrate, an element formed on the substrate, a nitride film formed on the substrate, a anti-peel film formed on the nitride film, and a molded resin covering the anti-peel film and the element. The anti-peel film has residual compressive stress. | 12-15-2011 |
20110303993 | SEMICONDUCTOR SENSOR DEVICE, METHOD OF MANUFACTURING SEMICONDUCTOR SENSOR DEVICE, PACKAGE, METHOD OF MANUFACTURING PACKAGE, MODULE, METHOD OF MANUFACTURING MODULE, AND ELECTRONIC DEVICE - A semiconductor sensor device is provided which is composed of: a semiconductor sensor chip that includes a first substrate, a sensor circuit formed on the first substrate, a first conductive portion electrically connected to the sensor circuit, and a first redistribution layer electrically connected to the first conductive portion; a semiconductor chip that includes a second substrate, a processing circuit, formed on the second substrate, that processes an electrical signal output from the sensor circuit, a second conductive portion electrically connected to the processing circuit, and a second redistribution layer electrically connected to the second conductive portion; and a conductive connection component that electrically connects the first redistribution layer and the second redistribution layer, wherein at least one of the thickness of the first redistribution layer and the thickness of the second redistribution layer is 8 to 20 μm. | 12-15-2011 |
20110316097 | PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - A method of forming at least one Micro-Electro-Mechanical System (MEMS) cavity includes forming a first sacrificial cavity layer over a wiring layer and substrate. The method further includes forming an insulator layer over the first sacrificial cavity layer. The method further includes performing a reverse damascene etchback process on the insulator layer. The method further includes planarizing the insulator layer and the first sacrificial cavity layer. The method further includes venting or stripping of the first sacrificial cavity layer to a planar surface for a first cavity of the MEMS. | 12-29-2011 |
20110316098 | PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes forming a lower sacrificial material used to form a lower cavity. The method further includes forming a cavity via connecting the lower cavity to an upper cavity. The cavity via is formed with a top view profile of rounded or chamfered edges. The method further includes forming an upper sacrificial material within and above the cavity via, which has a resultant surface based on the profile of the cavity via. The upper cavity is formed with a lid that is devoid of structures that would interfere with a MEMS beam, including: depositing a lid material on the resultant surface of the upper sacrificial material; and venting the upper sacrificial material to form the upper cavity such the lid material forms the lid which conforms with the resultant surface of the upper sacrificial material. | 12-29-2011 |
20110316099 | PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes forming a lower wiring layer on a substrate. The method further includes forming a plurality of discrete wires from the lower wiring layer. The method further includes forming an electrode beam over the plurality of discrete wires. The at least one of the forming of the electrode beam and the plurality of discrete wires are formed with a layout which minimizes hillocks and triple points in subsequent silicon deposition. | 12-29-2011 |
20120001274 | WAFER LEVEL PACKAGE HAVING A PRESSURE SENSOR AND FABRICATION METHOD THEREOF - A wafer level package having a pressure sensor and a fabrication method thereof are provided. A wafer having the pressure sensor is bonded to a lid, and electrical connecting pads are formed on the wafer. After the lid is cut, wire-bonding and packaging processes are performed. Ends of bonding wires are exposed and serve as an electrical connecting path. A bottom opening is formed on a bottom surface of the wafer, in order to form a pressure sensor path. | 01-05-2012 |
20120001275 | SEMICONDUCTOR DEVICE - A semiconductor device in which intrusion of the cutting water and cutting wastes in the singulation process can be prevented, and reliability is improved includes: a substrate; at least one semiconductor element having a piezoelectric conversion function and mounted on the main surface of the substrate; a casing fixed to the main surface of the substrate to cover the semiconductor element; a through hole formed in the substrate or the casing; and a predetermined substance filled into the through hole to close the through hole, wherein the predetermined substance has properties such that the predetermined substance wettably spreads by heating to open the through hole. | 01-05-2012 |
20120007195 | APPARATUS FOR INTEGRATED CIRCUIT PACKAGING - Apparatuses are disclosed, such as those involving integrated circuit packaging. In one embodiment, a chip package includes: an encapsulation having a top surface and a bottom surface facing away from the top surface. The package further includes a leadframe including a plurality of leads. Each of the leads includes an exposed portion exposed through one of edges of the bottom surface of the encapsulation. The exposed portion has a length. At least one of exposed portions positioned along one of the edges of the bottom surface of the encapsulation has a length different from other exposed portions along the edge. The package can also include a dummy pad exposed through a corner of the bottom surface. The configuration can enhance solder joint reliability of the package when the package is attached to a printed circuit board. | 01-12-2012 |
20120012949 | PRESSURE SENSOR PACKAGE SYSTEMS AND METHODS - Embodiments relate to integrated circuit (IC) sensors and sensing systems and methods. In an embodiment, an IC sensor device includes at least one sensing element; a framing element disposed around the at least one sensing element at a wafer-level; and a package having at least one port predefined at the wafer-level by the framing element, the at least one port configured to expose at least a portion of the at least one sensing element to an ambient environment. | 01-19-2012 |
20120012950 | FUNCTIONAL DEVICE AND MANUFACTURING METHOD THEREOF - A functional device includes: a substrate; a functional structure formed on the substrate; a cavity in which the functional structure is disposed; and a cover which covers the cavity, wherein the cover includes a bumpy structure including rib shaped portions, or groove shaped portions, which cross a covering range covering at least the cavity. | 01-19-2012 |
20120018818 | MEMS APPARATUS - According to an embodiment of the present invention, a MEMS apparatus includes a plurality of recesses opened to a surface, a substrate having an insulator, an air gap, or an insulator and an air gap formed in the recesses, an insulating layer formed on the substrate, and a MEMS device having a signal line formed on the insulating layer, wherein the position of the signal line in a direction parallel to the surface of the substrate overlaps the position of the recess in the direction. | 01-26-2012 |
20120018819 | PROCESS FOR MANUFACTURING A MICROMECHANICAL STRUCTURE HAVING A BURIED AREA PROVIDED WITH A FILTER - A process for manufacturing a micromechanical structure envisages: forming a buried cavity within a body of semiconductor material, separated from a top surface of the body by a first surface layer; and forming an access duct for fluid communication between the buried cavity and an external environment. The method envisages: forming an etching mask on the top surface at a first access area; forming a second surface layer on the top surface and on the etching mask; carrying out an etch such as to remove, in a position corresponding to the first access area, a portion of the second surface layer, and an underlying portion of the first surface layer not covered by the etching mask until the buried cavity is reached, thus forming both the first access duct and a filter element, set between the first access duct and the same buried cavity. | 01-26-2012 |
20120025331 | HORIZONTAL COPLANAR SWITCHES AND METHODS OF MANUFACTURE - A MEMS structure and methods of manufacture. The method includes forming a sacrificial metal layer at a same level as a wiring layer, in a first dielectric material. The method further includes forming a metal switch at a same level as another wiring layer, in a second dielectric material. The method further includes providing at least one vent to expose the sacrificial metal layer. The method further includes removing the sacrificial metal layer to form a planar cavity, suspending the metal switch. The method further includes capping the at least one vent to hermetically seal the planar cavity. | 02-02-2012 |
20120025332 | SYSTEMS AND METHODS FOR MOUNTING INERTIAL SENSORS - Systems and methods for mounting inertial sensors on a board. On a wafer containing one or more sensor packages having a substrate layer, a sensor layer and an insulator layer located between the sensor layer and the substrate layer, a V-groove is anisotropically etched into one of the substrate layer. The substrate layer is in the 100 crystal plane orientation. The sensor package is then separated from the wafer. Then, a surface of the substrate layer formed by the etching is attached to a board. In one example, three sensor packages are mounted to the board so that their sense axis are perpendicular to each other. | 02-02-2012 |
20120025333 | MEMS ELEMENT AND METHOD FOR MANUFACTURING SAME - An acceleration sensor is formed using an etched layer sandwiched between first and second substrates. In this case, a structure including a movable portion which is displaceable in the thickness direction of the substrates, and a support frame are formed in the etched layer. In addition, first and second fixed electrodes are formed on the first and second substrates, respectively, at a position facing the movable portion. Further, a remaining sacrificial layer is provided on the substrate by leaving a portion of a second sacrificial layer when a first sacrificial layer is entirely etched away. Therefore, when the first sacrificial layer is etched away, corrosion of the structure and the support beams is prevented because the second sacrificial layer is preferentially corroded as compared to the structure. | 02-02-2012 |
20120032282 | MICROELECTROMECHANICAL SYSTEM (MEMS) CARRIER AND METHOD OF FABRICATING THE SAME - An MEMS carrier is provided that includes a core board having a first surface and an opposite second surface, a circuit layer formed on the first surface and having a plurality of conductive pads, and a through hole formed through the first and the second surfaces; a carrier layer formed on the second surface of the core board and covering an end of the through hole; a patterned metal layer formed on a portion of the carrier layer that covers the end of the through hole; a solder mask layer formed on the first surface of the core board and the circuit layer, wherein the solder mask layer has a plurality of openings for exposing the conductive pads; and a shielding metal layer disposed on a sidewall of the through hole, the patterned metal layer, and the portion of the carrier layer that covers the end of the through hole. Without the use of a circuit board, the MEMS carrier has reduced height and size. | 02-09-2012 |
20120032283 | SENSOR MODULE - A sensor module includes a substrate system which has multiple substrates situated one on top of the other and connected in each case via a wafer bond connection. The substrate system includes at least one first sensor substrate and at least one second sensor substrate, the first sensor substrate having a first sensor structure and the second sensor substrate having a second sensor structure. The first and second sensor structures are designed for detecting different characteristics. At least the first sensor structure includes a micromechanical functional structure. Moreover, a method for manufacturing such a sensor module is disclosed. | 02-09-2012 |
20120032284 | FILM FOR RESIN SPACER, LIGHT-RECEIVING DEVICE AND METHOD FOR MANUFACTURING SAME, AND MEMS DEVICE AND METHOD FOR MANUFACTURING SAME - According to one aspect of the present invention, a film for a resin spacer ( | 02-09-2012 |
20120038010 | FILM STRESS MANAGEMENT FOR MEMS THROUGH SELECTIVE RELAXATION - An apparatus comprising a microelectromechanical system. The microelectromechanical system includes a crystalline structural element having dislocations therein. For at least about 60 percent of adjacent pairs of the dislocations, direction vectors of the dislocations form acute angles of less than about 45 degrees. | 02-16-2012 |
20120043626 | MICROSTRUCTURE DEVICE WITH AN IMPROVED ANCHOR - The present disclosure provides a system of fabricating a microstructure device with an improved anchor. A method of fabricating a microstructure device with an improved anchor includes providing a substrate and forming an oxide layer on the substrate. Then, a cavity is etched in the oxide layer, such that the cavity includes a sidewall in the oxide layer. A microstructure device layer is then bonded to the oxide layer over the cavity. Forming a microstructure device, a trench is etched in the device layer to define an outer boundary of the microstructure device. In an embodiment, the outer boundary is substantially outside of the sidewall of the cavity. Then, the sidewall of the cavity is etched away through the trench in the device layer, to thereby suspend the microstructure device over the cavity. | 02-23-2012 |
20120043627 | MEMS Sensor Device With Multi-Stimulus Sensing and Method of Fabricating Same | 02-23-2012 |
20120056279 | PACKAGE STRUCTURE HAVING MEMS ELEMENT AND FABRICATION METHOD THEREOF - A package structure having an MEMS element includes: a packaging substrate having first and second wiring layers on two surfaces thereof and a chip embedded therein; a first dielectric layer disposed on the packaging substrate and the chip; a third wiring layer disposed on the first dielectric layer; a second dielectric layer disposed on the first dielectric layer and the third wiring layer and having a recessed portion; a lid disposed in the recessed portion and on the top surface of the second dielectric layer around the periphery of the recessed portion, wherein the portion of the lid on the top surface of the second dielectric layer is formed into a lid frame on which an adhering material is disposed to allow a substrate having an MEMS element to be attached to the packaging substrate with the MEMS element corresponding in position to the recessed portion, thereby providing a package structure of reduced size and costs with better electrical properties. | 03-08-2012 |
20120056280 | MEMS Sensor Package - A MEMS sensor package includes a support and a MEMS sensor chip having a mounting side adhered on the support by a point-shaped adhesive or a linear-shaped adhesive in such a way that the MEMS sensor chip has a free side opposite to the mounting and suspended above the support. Because the MEMS sensor chip has the free side that is not restrained on the support, the stress due to deformation of the support will not affect the accuracy of the MEMS sensor chip. | 03-08-2012 |
20120056281 | HIGH ASPECT RATIO CAPACITIVELY COUPLED MEMS DEVICES - A method that includes forming an opening between at least one first electrode and a second electrode by forming a recess in a first electrode layer, the recess having sidewalls that correspond to a surface of the at least one first electrode, forming a first sacrificial layer on the sidewalls of the recess, the first sacrificial layer having a first width that corresponds to a second width of the opening, forming a second electrode layer in the recess that corresponds to the second electrode, and removing the first sacrificial layer to form the opening between the second electrode and the at least one first electrode. | 03-08-2012 |
20120061775 | DEVICE FOR USE AS DUAL-SIDED SENSOR PACKAGE - A sensor package, and in one embodiment a sensor package for surface mount applications, that comprises a leadframe with an upper and lower surface for receiving a device thereon. Embodiments of the sensor package comprise a first device secured to the upper surface, and a second device secured to the lower surface so as to place connective pads from each of the first device and the second device proximate to one side of the leadframe. The sensor package further comprises a lead that is positioned in the sensor package in a manner that prevents electrical connection with circuitry that is external of the housing. The lead has an end proximate the side of the lead frame where the connective pads are positioned on the upper and lower surfaces. The end configured to receive connections, e.g., wirebonds, from the connective pads in a manner connecting the first device and the second device independent of any external connections of the sensor package. | 03-15-2012 |
20120061776 | WAFER LEVEL PACKAGING - A method of wafer level packaging includes providing a substrate including a buried oxide layer and a top oxide layer, and etching the substrate to form openings above the buried oxide layer and a micro-electro-mechanical systems (MEMS) resonator element between the openings, the MEMS resonator element enclosed within the buried oxide layer, the top oxide layer, and sidewall oxide layers. The method further includes filling the openings with polysilicon to form polysilicon electrodes adjacent the MEMS resonator element, removing the top oxide layer and the sidewall oxide layers adjacent the MEMS resonator element, bonding the polysilicon electrodes to one of a complementary metal-oxide semiconductor (CMOS) wafer or a carrier wafer, removing the buried oxide layer adjacent the MEMS resonator element, and bonding the substrate to a capping wafer to seal the MEMS resonator element between the capping wafer and one of the CMOS wafer or the carrier wafer. | 03-15-2012 |
20120061777 | INTEGRALLY FABRICATED MICROMACHINE AND LOGIC ELEMENTS - Embodiments relate to micromachine structures. In one embodiment, a micromachine structure includes a first electrode, a second electrode, and a sensing element. The sensing element is mechanically movable and is disposed intermediate the first and second electrodes and adapted to oscillate between the first and second electrodes. Further, the sensing element includes a FinFET structure having a height and a width, the height being greater than the width. | 03-15-2012 |
20120061778 | Method and Apparatus for Producing Chip Devices, and Chip Device Produced by Means of the Method - A chip device is produced providing at least one wafer having a plurality of chip components. The wafer or wafers are separated into the individual chip components and/or into groups of chip components. The individual chip components and/or the groups of chip components are applied to a carrier element, in such a way that interspaces having a predetermined width are formed between the individual chip components and/or the groups of chip components. A polymer is introduced into the interspaces in order to form a composite element composed of the chip components and a polymer matrix. The composite element is separated in such a way that chip devices composed of in each case one of the chip components and at least one section of the polymer matrix are formed. The invention furthermore relates to a chip device produced by means of the method. | 03-15-2012 |
20120068276 | MICROSTRUCTURE WITH AN ENHANCED ANCHOR - The present disclosure provides a microstructure device with an enhanced anchor and a narrow air gap. One embodiment of a microstructure device provided herein includes a layered wafer. The layered wafer includes a silicon handle layer, a buried oxide layer formed on the handle layer, and a silicon device layer formed on the buried oxide layer. A top oxide layer is formed on the device layer. The top oxide layer, the device layer, and the buried oxide layer are etched, thereby forming trenches to create an anchor and a microstructure device in the device layer. In process of fabricating the device, a thermal oxide layer is formed along sides of the microstructure device to enclose the microstructure device in the buried oxide layer, the top oxide layer and the thermal oxide layer. Then, a poly layer if formed to fill in the trenches and enclose the anchor. After the poly layer fills in the trenches, the oxide layers enclosing the microstructure device are etched away, releasing the microstructure device. | 03-22-2012 |
20120080762 | Plating process and apparatus for through wafer features - A method for forming through features in a substrate uses a seed layer deposited over a first substrate, and a second substrate bonded to the seed layer. The features may be formed in the first substrate, by plating a conductive filler material onto the seed layer. The first substrate and the second substrate may then be bonded to a third substrate, and the second substrate is removed, leaving through features and first substrate adhered to the third substrate. The through features may provide at least one of electrical access and motion to a plurality of devices formed on the third substrate, or may impart movement to a moveable feature on the first substrate, wherein the third substrate supports the first substrate after removal of the second substrate. | 04-05-2012 |
20120080763 | ELECTRONIC COMPONENT, ELECTRONIC DEVICE, AND METHOD OF MANUFACTURING THE ELECTRONIC COMPONENT - An electronic component includes: a semiconductor element including a circuit; a vibration element; a first electrode arranged on a first surface of the semiconductor element and connected to the circuit and the vibration element arranged on the first surface side; a second electrode arranged on the first surface; a first wiring board including a first wire connected to the second electrode; and a second wiring board including a second wire to which the first wire is connected At least a part of an inner side region of an outer contour of the vibration element is arranged to overlap the second electrode in plan view facing the first surface. | 04-05-2012 |
20120086086 | MEMS DEVICE AND COMPOSITE SUBSTRATE FOR AN MEMS DEVICE - An MEMS device and a composite substrate for an MEMS device are provided. The MEMS device comprises a first silicon structure layer and a second silicon structure layer fixedly connecting to the first silicon structure layer. The first silicon structure layer has a twistable rod and a first plane. The first silicon structure layer has a first crystal direction with a miller index of <100> and a second crystal direction with a miller index of <110>. The first crystal direction and the second crystal direction are both parallel to the first plane. The rod has an axis direction, which is parallel to the first plane and intersected by the second crystal direction. In this manner, the torsional stiffness of the rod can be improved. | 04-12-2012 |
20120091543 | ELECTROMECHANICAL TRANSDUCER AND METHOD OF MANUFACTURING THE SAME - An electromechanical transducer includes multiple elements each including at least one cellular structure, the cellular structure including: a semiconductor substrate, a semiconductor diaphragm, and a supporting portion for supporting the diaphragm so that a gap is formed between one surface of the substrate and the diaphragm. The elements are separated from one another at separating locations of a semiconductor film including the diaphragm. Each of the elements includes in a through hole passing through a first insulating layer including the supporting portion and the semiconductor substrate: a conductor which is connected to the semiconductor film including the diaphragm; and a second insulating layer for insulating the conductor from the semiconductor substrate. | 04-19-2012 |
20120104517 | PACKAGE STRUCTURE WITH MICRO-ELECTROMECHANICAL ELEMENT AND MANUFACTURING METHOD THEREOF - A package structure includes a micro-electromechanical element having a plurality of electrical contacts; a package layer enclosing the micro-electromechanical element and the electrical contacts, with a bottom surface of the micro-electromechanical element exposed from a lower surface of the package layer; a plurality of bonding wires embedded in the package layer, each of the bonding wires having one end connected to one of the electrical contacts, and the other end exposed from the lower surface of the package layer; and a build-up layer structure provided on the lower surface of the package layer, the build-up layer including at least one dielectric layer and a plurality of conductive blind vias formed in the dielectric layer and electrically connected to one ends of the bonding wires. The package structure is easier to accurately control the location of an external electrical contact, and the compatibility of the manufacturing procedures is high. | 05-03-2012 |
20120104518 | PRESSURE SENSOR - A pressure sensor has a sensor body at least partly formed with an electrically insulating material, particularly a ceramic material, defining a cavity facing on which is a diaphragm provided with an electric detector element, configured for detecting a bending of the diaphragm. The sensor body supports a circuit arrangement, including, a plurality of circuit components, among which is an integrated circuit, for treating a signal generated by the detection element. The circuit arrangement includes tracks made of electrically conductive material directly deposited on a surface of the sensor body made of electrically insulating material. The integrated circuit is made up of a die made of semiconductor material directly bonded onto the surface of the sensor body and the die is connected to respective tracks by means of wire bonding, i.e. by means of thin connecting wires made of electrically conductive material. | 05-03-2012 |
20120104519 | MEMS DEVICE HAVING A MOVABLE ELECTRODE - A microelectromechanical system (MEMS) device includes a semiconductor substrate, a MEMS including a fixed electrode and a movable electrode formed on the semiconductor substrate through an insulating layer, and a well formed in the semiconductor substrate below the fixed electrode. The well is one of an n-type well and a p-type well. The p-type well applies a positive voltage to the fixed electrode while the n-type well applies a negative voltage to the fixed electrode. | 05-03-2012 |
20120112293 | SEALED CAVITY AND METHOD FOR PRODUCING SUCH A SEALED CAVITY - A method for producing a sealed cavity, including: a) producing a sacrificial layer on a substrate; b) producing a cover layer covering at least the sacrificial layer and a portion of the face of the substrate not covered by the sacrificial layer, the cover layer including lateral flanks forming, with the substrate, an angle of less than 90°; c) producing a hole through one of the lateral flanks of the cover layer such that a maximum distance between the substrate and an edge of the hole is less than approximately 3 μm, the hole crossing a portion of the cover layer deposited on a portion of the substrate not covered by the sacrificial layer; d) eliminating the sacrificial layer through the hole, forming the cavity; and e) depositing at least one material plugging the hole in a sealed fashion. | 05-10-2012 |
20120119311 | SEMI-CONDUCTOR SENSOR FABRICATION - Methods of fabricating semiconductor sensor devices include steps of fabricating a hermetically sealed MEMS cavity enclosing a MEMS sensor, while forming conductive vias through the device. The devices include a first semi-conductor layer defining at least one conductive via lined with an insulator and having a lower insulating surface; a central dielectric layer above the first semiconductor layer; a second semiconductor layer in contact with the at least one conductive via, and which defines a MEMS cavity; a third semiconductor layer disposed above the second semiconductor layer, and which includes a sensor element aligned with the MEMS cavity; a cap bonded to the third semiconductor to enclose and hermetically seal the MEMS cavity; wherein the third semiconductor layer separates the cap and the second semiconductor layer. | 05-17-2012 |
20120119312 | METHOD FOR MANUFACTURING A MICROELECTROMECHANICAL COMPONENT; AND A MICROELECTROMECHANICAL COMPONENT - The invention relates to microelectromechanical components, like microelectromechanical gauges used in measuring e.g. acceleration, angular acceleration, angular velocity, or other physical quantities. The microelectromechanical component, according to the invention, comprises a microelectromechanical chip part, sealed by means of a cover part, and an electronic circuit part, suitably bonded to each other. The aim of the invention is to provide an improved method of manufacturing a microelectromechanical component, and to provide a microelectromechanical component, which is applicable for use particularly in small microelectromechanical sensor solutions. | 05-17-2012 |
20120126344 | SENSOR DEVICE AND METHOD - A sensor device and method. One embodiment provides a first semiconductor chip having a sensing region. A porous structure element is attached to the first semiconductor chip. A first region of the porous structure element faces the sensing region of the first semiconductor chip. An encapsulation material partially encapsulates the first semiconductor chip and the porous structure element. | 05-24-2012 |
20120126345 | MEMS DEVICE WITH STRESS ISOLATION AND METHOD OF FABRICATION - A MEMS device ( | 05-24-2012 |
20120133002 | Method for producing MEMS structures, and MEMS structure - A method for producing microelectromechanical structures in a substrate includes: arranging at least one metal-plated layer on a main surface of the substrate in a structure pattern; leaving substrate webs open beneath a structure pattern region by introducing first trenches into the substrate perpendicular to a surface normal of the main surface in a region surrounding the structure pattern; coating the walls of the first trenches perpendicular to the surface normal of the main surface with a passivation layer; and introducing cavity structures into the substrate at the base of the first trenches in a region beneath the structure pattern region. | 05-31-2012 |
20120133003 | MICROMECHANICAL COMPONENT - A micromechanical component includes: a substrate having a multitude of trench structures which separate a first and a second mass element of the substrate from a web element of the substrate, in such a way that the first and second mass elements enclose the web element along an extension direction of the main surface of the substrate and are disposed to allow movement relative to the substrate in the direction of a surface normal of the main surface; a first electrode layer applied on the main surface of the substrate and forms a first electrode on the web element between the first and second mass elements; and a second electrode layer applied on the first and second mass elements and forming a self-supporting second electrode above the first electrode in the area of the web element, the first and second electrode forming a capacitance. | 05-31-2012 |
20120139063 | PRESSURE SENSOR AND METHOD OF ASSEMBLING SAME - A method of packaging a pressure sensing die includes providing a lead frame with lead fingers and attaching the pressure sensing die to the lead fingers such that bond pads of the die are electrically coupled to the lead fingers and a void is formed between the die and the lead fingers. A gel material is dispensed via an underside of the lead frame into the void such that the gel material substantially fills the void. The gel material is then cured and the die and the lead frame are encapsulated with a mold compound. The finished package does not include a metal lid. | 06-07-2012 |
20120139064 | MEMS SENSOR AND METHOD FOR PRODUCING MEMS SENSOR, AND MEMS PACKAGE - A capacitance type gyro sensor includes a semiconductor substrate, a first electrode integrally including a first base portion and first comb tooth portions and a second electrode integrally including a second base portion and second comb tooth portions, formed by processing the surface portion of the semiconductor substrate. The first electrode has first drive portions that extend from opposed portions opposed to the respective second comb tooth portions on the first base portion toward the respective second comb tooth portions. The second electrode has second drive portions formed on the tip end portions of the respective second comb tooth portions opposed to the respective first drive portions. The first drive portions and the second drive portions engage with each other at an interval like comb teeth. | 06-07-2012 |
20120139065 | MEMS DEVICE AND MANUFACTURING METHOD - A MEMS manufacturing method and device in which a spacer layer is provided over a side wall of at least one opening in a structural layer which will define the movable MEMS element. The opening extends below the structural layer. The spacer layer forms a side wall portion over the side wall of the at least one opening and also extends below the level of the structural layer to form a contact area. | 06-07-2012 |
20120153408 | MEMS DEVICE FORMING METHOD AND DEVICE WITH MEMS STRUCTURE - A method of forming a MEMS device by encapsulating a MEMS element with a sacrificial layer portion deposited over a substrate arrangement, the portion defining a cavity for the MEMS element, forming at least one strip of a further sacrificial material extending outwardly from the portion, forming a cover layer portion over the sacrificial layer portion, the cover layer portion terminating on the at least one strip, removing the sacrificial layer portion and the at least one strip, the removal of the at least one strip defining at least one vent channel extending laterally underneath the cover layer portion and sealing the at least one vent channel. A device including such a packaged micro electro-mechanical structure. | 06-21-2012 |
20120153409 | Thin Semiconductor Device Having Embedded Die Support and Methods of Making the Same - Ultra-thin semiconductor devices, including piezo-resistive sensing elements can be formed a wafer stack that facilitates handling many thin device dice at a wafer level. Three embodiments are provided to form the thin dice in a wafer stack using three different fabrication techniques that include anodic bonding, adhesive bonding and fusion bonding. A trench is etched around each thin die to separate the thin die from others in the wafer stack. A tether layer, also known as a tether, is used to hold thin dice or dice in a wafer stack. Such as wafer stack holds many thin dice together at a wafer level for handling and enables easier die picking in packaging processes. | 06-21-2012 |
20120161254 | Method of Providing a Semiconductor Structure with Forming A Sacrificial Structure - A method for providing a semiconductor structure includes forming a sacrificial structure by etching a plurality of trenches from a first main surface of a substrate. The method further includes covering the plurality of trenches at the first main surface with a cover material to define cavities within the substrate, removing a part of the substrate from a second main surface opposite to the first main surface to a depth at which the plurality of trenches are present, and etching away the sacrificial structure from the second main surface of the substrate. | 06-28-2012 |
20120161255 | SEALED MEMS CAVITY AND METHOD OF FORMING SAME - Embodiments of the invention provide methods of sealing a micro electromechanical systems (MEMS) cavity and devices resulting therefrom. A first aspect of the invention provides a method of sealing a micro electromechanical systems (MEMS) cavity in a substrate, the method comprising: forming in a substrate a cavity filled with a sacrificial material; forming a lid over the cavity; forming at least one vent hole over the lid extending to the cavity; removing the sacrificial material from the cavity; depositing a first material onto the lid such that a size of at least one vent hole at a surface of the substrate is reduced but not sealed; and depositing a second material onto the first material to seal the at least one vent hole, wherein a MEMS cavity within the substrate and beneath the at least one vent hole substantially retains a pressure at which the at least one vent hole is sealed by the second material. | 06-28-2012 |
20120161256 | FLOW SENSING DEVICE AND PACKAGING THEREOF - There is described a flow sensing device having a semiconductor chip with a flow channel integrated therein and a sensing element positioned in the flow channel, and a package base attached to the semiconductor chip and allowing access to the two passage-openings of the flow channel from opposite sides of the package base. | 06-28-2012 |
20120168883 | RF MEMS SWITCH AND FABRICATING METHOD THEREOF - A RF MEMS switch includes a substrate, a first electrode, a first insulating layer, a second insulating layer, a second electrode and a movable electrode. The first electrode is disposed on the substrate. The first insulating layer covers the first electrode. The second insulating layer covers a portion of the substrate. The second electrode is disposed in the second insulating layer and is located at a plane different from a plane of the first electrode. The movable electrode is partially disposed on a surface of the second insulating layer, and extends over the first electrode and the second electrode. A portion of the movable electrode not disposed on the surface of the second insulating layer is a movable portion. The second insulating layer has a gap exposing a space between the movable portion and the first insulating layer and a space between the movable portion and the second electrode. | 07-05-2012 |
20120168884 | PRESSURE SENSOR AND METHOD OF PACKAGING SAME - A method of packaging a pressure sensor die includes providing a lead frame having a die pad and lead fingers that surround the die pad. A tape is attached to a first side of the lead frame. A pressure sensor die is attached to the die pad on a second side of the lead frame and bond pads of the die are connected to the lead fingers. An encapsulant is dispensed onto the second side of the lead frame and covers the lead fingers and the electrical connections thereto. A gel is dispensed onto a top surface of the die and covers the die bond pads and the electrical connections thereto. A lid is attached to the lead frame and covers the die and the gel, and sides of the lid penetrate the encapsulant. | 07-05-2012 |
20120175714 | Embedded Microelectromechanical Systems Sensor and Related Devices and Methods - Embodiments of embedded MEMS sensors and related methods are described herein. Other embodiments and related methods are also disclosed herein. | 07-12-2012 |
20120175715 | ENCAPSULATED MICRO-ELECTROMECHANICAL SYSTEM SWITCH AND METHOD OF MANUFACTURING THE SAME - Encapsulated MEMS switches are disclosed along with methods of manufacturing the same. A non-polymer based sacrificial layer is used to form the actuation member of the MEMS switch while a polymer based sacrificial layer is used to form the enclosure that encapsulates the MEMS switch. The first non-polymer based sacrificial layer allows for highly reliable MEMS switches to be manufactured while also protecting the MEMS switch from carbon contamination. The polymer based sacrificial layer allows for the manufacture of more spatially efficient encapsulated MEMS switches. | 07-12-2012 |
20120181637 | BULK SILICON MOVING MEMBER WITH DIMPLE - A method for forming a semiconductor device includes forming a substrate, forming a moveable member of bulk silicon and forming a first dimple structure on a first surface of the moveable member, where the first surface faces the substrate. | 07-19-2012 |
20120181638 | METHOD FOR MEMS DEVICE FABRICATION AND DEVICE FORMED - The present invention generally relates to methods for producing MEMS or NEMS devices and the devices themselves. A thin layer of a material having a lower recombination coefficient as compared to the cantilever structure may be deposited over the cantilever structure, the RF electrode and the pull-off electrode. The thin layer permits the etching gas introduced to the cavity to decrease the overall etchant recombination rate within the cavity and thus, increase the etching rate of the sacrificial material within the cavity. The etchant itself may be introduced through an opening in the encapsulating layer that is linearly aligned with the anchor portion of the cantilever structure so that the topmost layer of sacrificial material is etched first. Thereafter, sealing material may seal the cavity and extend into the cavity all the way to the anchor portion to provide additional strength to the anchor portion. | 07-19-2012 |
20120193731 | EDGE-MOUNTED SENSOR - Sensor packages and methods for making a sensor device package for side mounting on a circuit board. A sensor device(s) in a mechanical layer of silicon is sandwiched between first and second layers of glass to create a wafer. A first via(s) is created in the first or second layers to expose a predefined area of the mechanical layer of silicon. A second via(s) is created in the first or second layers. The least one second via has a depth dimension that is less than a depth dimension of the first via. A metallic trace is applied between the exposed area on the mechanical layer and a portion of the second via. The wafer is sliced such that the second via is separated into two sections, thereby creating a sensor die. The sensor die is then electrically and mechanically bonded to a circuit board at the sliced second via. | 08-02-2012 |
20120193732 | MEMS DEVICE AND METHOD FOR FORMING THE SAME - An MEMS device and a method for forming the same are provided. The MEMS device comprises a first interlayer dielectric layer on a semiconductor substrate; a cavity in the first interlayer dielectric layer; first openings in the first interlayer dielectric layer over the cavity and connected with the cavity, each first opening comprising a lower portion and an upper portion having non-aligned sidewalls, convex sections are formed in the first interlayer dielectric layer between the lower and upper portions; an electrode being suspended in the cavity and movable relative to the substrate; a second interlayer dielectric layer on the first interlayer dielectric layer; second openings in the second interlayer dielectric layer and connected with the first openings, each second opening is disposed at a location that does not extend past the convex section; a third interlayer dielectric layer fully filling at least the second openings to seal the cavity. | 08-02-2012 |
20120193733 | CAPACITANCE TYPE MEMS SENSOR - A capacitance type MEMS sensor has a first electrode portion and a second electrode portion facing each other. The sensor includes a semiconductor substrate having a recess dug in a thickness direction of the semiconductor substrate, the recess having sidewalls, one of which serves as the first electrode portion. The sensor further includes a diaphragm serving as the second electrode portion, the diaphragm arranged within the recess to face the first electrode portion in a posture extending along a depth direction of the recess, the diaphragm having a lower edge spaced apart from the bottom surface of the recess, and is made of the same material as the semiconductor substrate. The sensor further includes an insulating film arranged to join the diaphragm to the semiconductor substrate. | 08-02-2012 |
20120193734 | STRESS SENSOR FOR IN-SITU MEASUREMENT OF PACKAGE-INDUCED STRESS IN SEMICONDUCTOR DEVICES - A stress sensor is disclosed herein. The stress sensor includes a plurality of carbon nanotubes in a substrate, and first and second contacts electrically connectable with the plurality of carbon nanotubes. Methods of making and using the stress sensor are also disclosed. | 08-02-2012 |
20120199920 | STRUCTURED GLASS WAFER FOR PACKAGING A MICROELECTROMECHANICAL-SYSTEM (MEMS) WAFER - A structured glass wafer for packaging a microelectromechanical-system (MEMS) wafer. The structured glass wafer includes a sheet of glass, and an access hole. The sheet of glass has a first side and a second side, and is configured to provide a protective covering for MEMS devices. The access hole extends through the sheet of glass from the first side to the second side of the sheet of glass, and is configured to provide access to a group of electrical contacts of a group of MEMS devices. A packaged MEMS wafer including the structured glass wafer, and a method for fabricating a packaged MEMS wafer are also provided. | 08-09-2012 |
20120199921 | SENSOR DEVICE AND METHOD FOR PRODUCING SENSOR DEVICE - Provided is a technique for packaging a sensor structure having a contact sensing surface and a signal processing LSI that processes a sensor signal. The sensor structure has the contact sensing surface and sensor electrodes. The signal processing integrated circuit is embedded in a semiconductor substrate. The sensor structure and the semiconductor substrate are bonded by a bonding layer, forming a sensor device as a single chip. The sensor electrodes and the integrated circuit are sealed inside the sensor device, and the sensor electrodes and external terminals of the integrated circuit are led out to the back surface of the semiconductor substrate through a side surface of the semiconductor substrate. | 08-09-2012 |
20120205752 | Strengthened Micro-Electromechanical System Devices and Methods of Making Thereof - In an embodiment, a micro-electromechanical device can include a substrate, a beam, and an isolation joint. The beam can be suspended relative to a surface of the substrate. The isolation joint can be between a first portion and a second portion of the beam, and can have a non-linear shape. In another embodiment, a micro-electromechanical device can include a substrate, a beam, and an isolation joint. The beam can be suspended relative to a surface of the substrate. The isolation joint can be between a first portion and a second portion of the beam. The isolation joint can have a first portion, a second portion, and a bridge portion between the first portion and the second portion. The first and second portions of the isolation joint can each have a seam and a void, while the bridge portion can be solid. | 08-16-2012 |
20120205753 | MICRO-ELECTROMECHANICAL SYSTEM DEVICES AND METHODS OF MAKING MICRO-ELECTROMECHANICAL SYSTEM DEVICES - A micro-electromechanical system (MEMS) device includes a substrate, a first beam, a second beam, and a third beam. The first beam includes first and second portions separated by an isolation joint. The first and second portions each comprise a semiconductor and a first dielectric layer. An electrically conductive trace is mechanically coupled to the first beam and electrically coupled to the second portion's semiconductor but not the first portion's semiconductor. The second beam includes a second dielectric layer. The profile of each of the first, second, and third beams has been formed by a dry etch. A cavity separates a surface of the substrate from the first, second, and third beams. The cavity has been formed by a dry etch. A side wall of each of the first, second, and third beams has substantially no dielectric layer disposed thereon, and the dielectric layer has been removed by a vapor-phase etch. | 08-16-2012 |
20120205754 | PIEZOELECTRIC DEVICE AND METHOD FOR MANUFACTURING PIEZOELECTRIC DEVICE - A piezoelectric device includes a piezoelectric thin film formed by separating and forming a piezoelectric single crystal substrate, an inorganic layer formed on a back surface of the piezoelectric thin film, an elastic body layer disposed on a surface opposite to the piezoelectric thin film of the inorganic layer, and a support pasted to a surface opposite to the inorganic layer of the elastic body layer. In a membrane structure portion, the inorganic layer and the elastic body layer are disposed on the piezoelectric thin film through a gap layer. The elastic body layer reduces a stress caused by pasting the piezoelectric thin film including the inorganic layer and the support and has a certain elastic modulus. The inorganic layer is formed with a material having an elastic modulus higher than that of the elastic body layer and suppresses damping caused by disposing the elastic body layer. | 08-16-2012 |
20120217593 | SENSOR MOUNTED IN FLIP-CHIP TECHNOLOGY AT A SUBSTRATE EDGE - The sensor assembly comprises a substrate ( | 08-30-2012 |
20120223400 | INFRARED SENSOR DESIGN USING AN EPOXY FILM AS AN INFRARED ABSORPTION LAYER - A MEMS IR sensor, with a cavity in a substrate underlapping an overlying layer and a temperature sensing component disposed in the overlying layer over the cavity, may be formed by forming an IR-absorbing sealing layer on the overlying layer so as to cover access holes to the cavity. The sealing layer is may include a photosensitive material, and the sealing layer may be patterned using a photolithographic process to form an IR-absorbing seal. Alternately, the sealing layer may be patterned using a mask and etch process to form the IR-absorbing seal. | 09-06-2012 |
20120223401 | CAVITY PROCESS ETCH UNDERCUT MONITOR - A MEMS device having a device cavity in a substrate has a cavity etch monitor proximate to the device cavity. An overlying layer including dielectric material is formed over the substrate. A monitor scale is formed in or on the overlying layer. Access holes are etched through the overlying layer and a cavity etch process forms the device cavity and a monitor cavity. The monitor scale is located over a lateral edge of the monitor cavity. The cavity etch monitor includes the monitor scale and monitor cavity, which allows visual measurement of a lateral width of the monitor cavity; the lateral dimensions of the monitor cavity being related to lateral dimensions of the device cavity. | 09-06-2012 |
20120223402 | CAPACITIVE SEMICONDUCTOR PRESSURE SENSOR - A capacitive semiconductor pressure sensor, comprising: a bulk region of semiconductor material; a buried cavity overlying a first part of the bulk region; and a membrane suspended above said buried cavity, wherein, said bulk region and said membrane are formed in a monolithic substrate, and in that said monolithic substrate carries structures for transducing the deflection of said membrane into electrical signals, wherein said bulk region and said membrane form electrodes of a capacitive sensing element, and said transducer structures comprise contact structures in electrical contact with said membrane and with said bulk region. | 09-06-2012 |
20120228725 | Multi-Stage Stopper System for MEMS Devices - A MEMS sensing system includes a movable mass having at least one contact surface, a stopper system for stopping the movement of the mass, the stopper system having at least one contact surface that contacts a corresponding contact surface of the mass if a sufficient movement of the mass occurs in a direction, at least one stopper gap formed between the at least one contact surface of the stopper system and the corresponding contact surface of the mass, and a spring system in communication with the at least one stopper gap. | 09-13-2012 |
20120228726 | MEMS AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a MEMS includes a first electrode, a first auxiliary structure and a second electrode. The first electrode is provided on a substrate. The first auxiliary structure is provided on the substrate and adjacent to the first electrode. The first auxiliary structure is in an electrically floating state. The second electrode is provided above the first electrode and the first auxiliary structure, | 09-13-2012 |
20120235251 | WAFER LEVEL PACKAGING OF MEMS DEVICES - A MEMS device is disclosed. The MEMS device comprises a MEMS substrate and a CMOS substrate having a front surface, a back surface and one or more metallization layers. The front surface being bonded to the MEMS substrate. The MEMS device includes one or more conductive features on the back surface of the CMOS substrate and electrical connections between the one or more metallization layers and the one or more conductive features. | 09-20-2012 |
20120235252 | MANUFACTURING METHOD FOR AN ENCAPSULATED MICROMECHANICAL COMPONENT, CORRESPONDING MICROMECHANICAL COMPONENT, AND ENCAPSULATION FOR A MICROMECHANICAL COMPONENT - A manufacturing method for an encapsulated micromechanical component has the following steps: creating an intermediate substrate having a plurality of perforations; laminating an encapsulation substrate onto a front side of the intermediate substrate, which closes the perforations on the front side; laminating an MEMS functional wafer onto a rear side of the intermediate substrate; the MEMS functional wafer being aligned with the intermediate substrate in such a way that the perforations form cavities over the corresponding functional areas of the MEMS functional wafer. | 09-20-2012 |
20120235253 | Vertical Mount Package for MEMS Sensors - A vertical mount pre-molded type package for use with a MEMS sensor may be formed with a low moisture permeable molding material that surrounds a portion of the leadframes and forms a cavity in which one or multiple dies may be held. The package includes structures to reduce package vibration, reduce die stress, increase vertical mount stability, and improve solder joint reliability. The vertical mount package includes a first leadframe having first leads and molding material substantially surrounding at least a portion of the first leads. The molding material forms a cavity for holding the MEMS sensor and forms a package mounting plane for mounting the package on a base. The cavity has a die mounting plane that is substantially non-parallel to the package mounting plane. The first leads are configured to provide electrical contacts within the cavity and to provide electrical contacts to the base. | 09-20-2012 |
20120235254 | METHOD OF FORMING A DIE HAVING AN IC REGION ADJACENT A MEMS REGION - A method that includes forming a first layer having a first dopant concentration, the first layer having an integrated circuit region and a micro-electromechanical region and doping the micro-electromechanical region of the first layer to have a second dopant concentration is presented. The method includes forming a second layer having a third dopant concentration overlying the first layer, doping the second layer that overlies the micro-electromechanical region to have a fourth dopant concentration, forming a micro-electromechanical structure in the micro-electromechanical region using the first and second layers, and forming active components in the integrated circuit region using the second layer. | 09-20-2012 |
20120241876 | SYSTEM AND METHOD FOR IMPROVING FREQUENCY RESPONSE - An electrical system and method for making the same includes a main circuit board and a plurality of contact pads located on a surface of the main circuit board. The contact pads are electrically conductive. Additionally, an integrated circuit package having at least one electrical device is attached to the surface of the main circuit board. A ball grid array made from a plurality of solder balls is located on a bottom side of the integrated circuit package. The ball grid array has a plurality of solder balls being electrically conductive and in electrical communication with the at least one electrical device. The solder balls further include solder balls of different material properties. | 09-27-2012 |
20120248552 | Method for creating monocrystalline piezoresistors - An electrically insulating sheathing for a piezoresistor and a semiconductor material are provided such that the piezoresistor is able to be used in the high temperature range, e.g., for measurements at higher ambient temperatures than 200° C. A doped resistance area is initially laterally delineated by at least one circumferential essentially vertical trench and is undercut by etching over the entire area. An electrically insulating layer is then created on the wall of the trench and the undercut area, so that the resistance area is electrically insulated from the adjacent semiconductor material by the electrically insulating layer. | 10-04-2012 |
20120248553 | SENSOR DEVICE AND MANUFACTURING METHOD THEREOF - A sensor device and a manufacturing method thereof are provided in which no resin seal is used when a sensor is packaged, a change in connection relation according to a change in specifications of the control IC and others is facilitated when a control IC is packaged together with the sensor and high reliability is kept. The sensor device of the present invention includes a substrate containing an organic material and being formed a wiring, a sensor arranged on the substrate and electrically connected to the wiring, and a package cap arranged on the substrate and containing an organic material and covering the sensor, and the inside of the package cap is hollow. | 10-04-2012 |
20120256282 | MEMS SENSOR DEVICE WITH MULTI-STIMULUS SENSING | 10-11-2012 |
20120261774 | MEMS PACKAGE OR SENSOR PACKAGE WITH INTRA-CAP ELECTRICAL VIA AND METHOD THEREOF - A MEMS device structure including a lateral electrical via encased in a cap layer and a method for manufacturing the same. The MEMS device structure includes a cap layer positioned on a MEMS device layer. The cap layer covers a MEMS device and one or more MEMS device layer electrodes in the MEMS device layer. The cap layer includes at least one cap layer electrode accessible from the surface of the cap layer. An electrical via is encased in the cap layer extending across a lateral distance from the cap layer electrode to the one or more MEMS device layer electrodes. An isolating layer is positioned around the electrical via to electrically isolate the electrical via from the cap layer. | 10-18-2012 |
20120267730 | MICRO-ELECTROMECHANICAL SYSTEM (MEMS) DEVICE - A micro-electromechanical system (MEMS) device for measuring accelerations, angular rates, or for actuation comprises at least two substrates and at least one movable structure arranged in a cavity between the substrates. An electrically conducting frame surrounding the movable structure is arranged at an interface of the two substrates. The frame is electrically separated from the movable structure and connected by at least first and second electrically conducting connections to the first and second substrates, respectively. The frame may have a width of not more than 150 preferably not more than 50 μm. The first connection is at an interface between the frame and the first substrate. The second connection is a layer applied at an outer periphery of the frame and a peripheral face of the second substrate. The structure keeps electrical fields and electromagnetic disturbances away from the sensor and may also be used for shielding micro-electronic circuits. | 10-25-2012 |
20120267731 | SENSOR MOUNTED IN FLIP-CHIP TECHNOLOGY ON A SUBSTRATE - The sensor assembly comprises a substrate ( | 10-25-2012 |
20120267732 | MEMS PACKAGE STRUCTURE - A MEMS package structure, including a substrate, an interconnecting structure, an upper metallic layer, a deposition element and a packaging element is provided. The interconnecting structure is disposed on the substrate. The MEMS structure is disposed on the substrate and within a first cavity. The upper metallic layer is disposed above the MEMS structure and the interconnecting structure, so as to form a second cavity located between the upper metallic layer and the interconnecting structure and communicates with the first cavity. The upper metallic layer has at least a first opening located above the interconnecting structure and at least a second opening located above the MEMS structure. Area of the first opening is greater than that of the second opening. The deposition element is disposed above the upper metallic layer to seal the second opening. The packaging element is disposed above the upper metallic layer to seal the first opening. | 10-25-2012 |
20120280333 | MULTI-NANOMETER-PROJECTION APPARATUS FOR LITHOGRAPHY, OXIDATION, INSPECTION, AND MEASUREMENT - An apparatus, method for manufacturing the apparatus, and method for processing a substrate using the apparatus are disclosed. An exemplary apparatus includes a substrate having a plurality of cells, wherein each cell includes a cell structure. The cell structure includes a piezoelectric film portion and a tip disposed over the piezoelectric film portion. The tip is physically coupled with the piezoelectric film portion. | 11-08-2012 |
20120280334 | ACCELERATION SENSOR - In an acceleration sensor, a sensor unit includes a weight portion having a recess section with one open surface and a solid section one-piece formed with the recess section, beam portions for rotatably supporting the weight portion such that the recess section and the solid section are arranged along a rotation direction, a movable electrode, fixed electrodes, detection electrodes electrically connected to the fixed electrodes to detect a capacitance between the movable electrode and the fixed electrodes. A fixed plate is arranged in a spaced-apart relationship with a surface of the weight portion on which the movable electrode is provided, and embedment electrodes are embedded in the fixed plate to extend along a thickness direction of the fixed plate, the embedment electrodes having one end portions facing the movable electrode to serve as the fixed electrodes and the other end portions configured to serve as the detection electrodes. | 11-08-2012 |
20120286377 | Nanoelectromechanical Structures Exhibiting Tensile Stress And Techniques For Fabrication Thereof - Improved nano-electromechanical system devices and structures and systems and techniques for their fabrication. In one embodiment, a structure comprises an underlying substrate separated from first and second anchor points by first and second insulating support points, respectively. The first and second anchor points are joined by a beam. First and second deposition regions overlie the first and second anchor points, respectively, and the first and second deposition regions exert compression on the first and second anchor points, respectively. The compression on the first and second anchor points causes opposing forces on the beam, subjecting the beam to a tensile stress. The first and second deposition regions suitably exhibit an internal tensile stress having an achievable maximum varying with their thickness, so that the tensile stress exerted on the beam depends at least on part on the thickness of the first and second deposition regions. | 11-15-2012 |
20120286378 | MICROELECTROMECHANICAL SYSTEM WITH BALANCED CENTER OF MASS - MEMS and fabrication techniques for positioning the center of mass of released structures in MEMS are provided. A released structure may include a member with a recess formed into an end face of its free end. A released structure may include a plurality of members, with the longitudinal lengths of the members being of differing lengths. Mass of a member disposed below a plane of a flexure may be balanced by mass of a second substrate affixed to the member. In an embodiment, a mirror substrate is affixed to a member partially released from a first substrate and a through hole formed in the second substrate is accessed to complete release of the member. | 11-15-2012 |
20120286379 | SENSOR ELEMENT - A sensor element includes: a first substrate in which a diaphragm is configured on a main surface; a second substrate which is provided on the side opposite to the diaphragm of the first substrate; a cavity which is provided just below the diaphragm of the first substrate; a bonding position which is provided at a bonding position between the first substrate and the second substrate for airtight sealing of the cavity; and a bump portion which is provided at the fitting portion, and protects a fitted state between the first substrate and the second substrate. | 11-15-2012 |
20120286380 | PROCESSES AND MOUNTING FIXTURES FOR FABRICATING ELECTROMECHANICAL DEVICES AND DEVICES FORMED THEREWITH - Processes and fixtures for producing electromechanical devices, and particularly three-dimensional electromechanical devices such as inertial measurement units (IMUs), through the use of a fabrication process and a three-dimensional assembly process that entail joining single-axis device-IC chips while positioned within a mounting fixture that maintains the orientations and relative positions of the chips during the joining operation. | 11-15-2012 |
20120286381 | ELECTRONIC MEMS DEVICE COMPRISING A CHIP BONDED TO A SUBSTRATE AND HAVING CAVITIES AND MANUFACTURING PROCESS THEREOF - An electronic MEMS device is formed by a chip having with a main face and bonded to a support via an adhesive layer. A cavity extends inside the chip from its main face and is closed by a flexible film covering the main face of the chip at least in the area of the cavity. The support has a depressed portion facing the cavity and delimited by a protruding portion facing the main face of the chip. Inside the depressed portion, the adhesive layer has a greater thickness than the projecting portion so as to be able to absorb any swelling of the flexible film as a result of the expansion of the gas contained inside the cavity during thermal processes. | 11-15-2012 |
20120292722 | PACKAGE STRUCTURE HAVING MEMS ELEMENTS AND FABRICATION METHOD THEREOF - A package structure having MEMS elements includes: a wafer having MEMS elements, electrical contacts and second alignment keys; a plate disposed over the MEMS elements and packaged airtight; transparent bodies disposed over the second alignment keys via an adhesive; an encapsulant disposed on the wafer to encapsulate the plate, the electrical contacts and the transparent bodies; bonding wires embedded in the encapsulant and each having one end connecting a corresponding one of the electrical contacts and the other end exposed from a top surface of the encapsulant; and metal traces disposed on the encapsulant and electrically connected to the electrical contacts via the bonding wires. The present invention eliminates the need to form through holes in a silicon substrate as in the prior art so as to reduce fabrication costs. Further, the present invention accomplishes wiring processes by using a common alignment device to thereby reduce equipment costs. | 11-22-2012 |
20120299127 | DYNAMIC QUANTITY SENSOR DEVICE AND MANUFACTURING METHOD OF THE SAME - A dynamic quantity sensor device includes: first and second dynamic quantity sensors having first and second dynamic quantity detecting units; and first and second substrates, which are bonded to each other to provide first and second spaces. The first and second units are air-tightly accommodated in the first and second spaces, respectively. A SOI layer of the first substrate is divided into multiple semiconductor regions by trenches. First and second parts of the semiconductor regions provide the first and second units, respectively. The second part includes: a second movable semiconductor region having a second movable electrode, which is provided by a sacrifice etching of the embedded oxide film; and a second fixed semiconductor region having a second fixed electrode. The second sensor detects the second dynamic quantity by measuring a capacitance between the second movable and fixed electrodes, which is changeable in accordance with the second dynamic quantity. | 11-29-2012 |
20120299128 | METHOD OF BONDING SEMICONDUCTOR SUBSTRATE AND MEMS DEVICE - A method of bonding a semiconductor substrate in which a first semiconductor substrate is bonded with a second semiconductor substrate by eutectic bonding with pressurization and heating, an aluminum containing layer primarily made of aluminum and a germanium layer in a polymer state being interposed between a bonding surface of the first semiconductor substrate and a bonding surface of the second semiconductor substrate, the method including a step of: setting a weight ratio of the germanium layer to an aluminum containing layer to be eutectic alloyed is between 27 wt % to 52 wt %. | 11-29-2012 |
20120299129 | Process and Structure for High Temperature Selective Fusion Bonding - A method to prevent movable structures within a MEMS device, and more specifically, in recesses having one or more dimension in the micrometer range or smaller (i.e., smaller than about 10 microns) from being inadvertently bonded to non-moving structures during a bonding process. The method includes surface preparation of silicon both structurally and chemically to aid in preventing moving structures from bonding to adjacent surfaces during bonding, including during high force, high temperature fusion bonding. | 11-29-2012 |
20120299130 | ACCELEROMETER AND PRODUCTION METHOD - A MEMS accelerometer uses capacitive sensing between two electrode layers. One of the electrode layers has at least four independent electrodes arranged as two pairs of electrodes, with one pair aligned orthogonally to the other such that tilting of the membrane can be detected as well as normal-direction movement of the membrane. In this way, a three axis accelerometer can be formed from a single suspended mass, and by sensing using a set of capacitor electrodes which are all in the same plane. This means the fabrication is simple and is compatible with other MEMS manufacturing processes, such as MEMS microphones. | 11-29-2012 |
20120306031 | SEMICONDUCTOR SENSOR DEVICE AND METHOD OF PACKAGING SAME - A semiconductor sensor die is packaged with a footed lid that has side walls and a top portion with a central hole. Gel material is dispensed into a cavity formed by the side walls such that it covers the die prior to attaching the lid top portion. | 12-06-2012 |
20120313189 | METHOD OF PREVENTING STICTION OF MEMS DEVICES - A method and apparatus are disclosed for reducing stiction in MEMS devices. The method comprises patterning a CMOS wafer to expose Titanium-Nitride (TiN) surface for a MEMS stop and patterning the TiN to form a plurality of stop pads on the top metal aluminum surface of the CMOS wafer. The method is applied for a moveable MEMS structure bonded to a CMOS wafer. The TiN surface and/or plurality of stop pads minimize stiction between the MEMS structure and the CMOS wafer. Further, the TiN film on top of aluminum electrode suppresses the formation of aluminum hillocks which effects the MEMS structure movement. | 12-13-2012 |
20120319217 | Semiconductor Devices and Methods of Fabrication Thereof - In one embodiment, a method of manufacturing a semiconductor device includes oxidizing a substrate to form local oxide regions that extend above a top surface of the substrate. A membrane layer is formed over the local oxide regions and the top surface of the substrate. A portion of the substrate under the membrane layer is removed. The local oxide regions under the membrane layer is removed. | 12-20-2012 |
20120319218 | APPARATUSES FOR GENERATING ELECTRICAL ENERGY - Electrical energy generation apparatuses, in which a solar battery device and a piezoelectric device are combined in a single body by using a plurality of nano wires formed of a semiconductor material having piezoelectric properties. | 12-20-2012 |
20120326248 | METHODS FOR CMOS-MEMS INTEGRATED DEVICES WITH MULTIPLE SEALED CAVITIES MAINTAINED AT VARIOUS PRESSURES - A Microelectromechanical systems (MEMS) structure comprises a MEMS wafer. A MEMS wafer includes a handle wafer with cavities bonded to a device wafer through a dielectric layer disposed between the handle and device wafers. The MEMS wafer also includes a moveable portion of the device wafer suspended over a cavity in the handle wafer. Four methods are described to create two or more enclosures having multiple gas pressure or compositions on a single substrate including, each enclosure containing a moveable portion. The methods include: A. Forming a secondary sealed enclosure, B. Creating multiple ambient enclosures during wafer bonding, C. Creating and breaching an internal gas reservoir, and D. Forming and subsequently sealing a controlled leak/breach into the enclosure. | 12-27-2012 |
20130001709 | SYSTEMS AND METHODS FOR VERTICALLY STACKING A SENSOR ON AN INTEGRATED CIRCUIT CHIP - A sensing unit package with reduced size and improved thermal sensing capabilities. An exemplary package includes a printed circuit board with a plurality of electrical traces, an application-specific integrated circuit (Analog ASIC) chip, and a micromachined sensor formed on a microelectromechanical system (MEMS) die. The Analog ASIC chip is electrically and mechanically attached to the printed circuit board. The MEMS die is in direct electrical communication with only a portion of the electrical traces of the printed circuit board and is mechanically and thermally attached directly to the Analog ASIC chip. A thermally conducting compound is located between the MEMS die and the Analog ASIC chip. One or more solder balls electrically attach the Analog ASIC chip to the printed circuit board and one or more solder traces electrically attach the MEMS die to the printed circuit board. | 01-03-2013 |
20130001710 | PROCESS FOR A SEALED MEMS DEVICE WITH A PORTION EXPOSED TO THE ENVIRONMENT - A method and system for providing a MEMS device with a portion exposed to an outside environment are disclosed. The method comprises bonding a handle wafer to a device wafer to form a MEMS substrate with a dielectric layer disposed between the handle and device wafers. The method includes lithographically defining at least one standoff on the device wafer and bonding the at least one standoff to an integrated circuit substrate to form a sealed cavity between the MEMS substrate and the integrated circuit substrate. The method includes defining at least one opening in the handle wafer, standoff, or integrated circuit substrate to expose a portion of the to expose a portion of the device wafer to the outside environment. | 01-03-2013 |
20130015536 | MEMS WITH SINGLE USE VALVE AND METHOD OF OPERATIONAANM Feyh; AndoAACI Palo AltoAAST CAAACO USAAGP Feyh; Ando Palo Alto CA USAANM Chen; Po-JuiAACI SunnyvaleAAST CAAACO USAAGP Chen; Po-Jui Sunnyvale CA US - In one embodiment, a method of opening a passageway to a cavity includes providing a donor portion, forming a heating element adjacent to the donor portion, forming a first sacrificial slab abutting the donor portion, wherein the donor portion and the sacrificial slab are a shrinkable pair, forming a first cavity, a portion of the first cavity bounded by the first sacrificial slab, generating heat with the heating element, forming a first reduced volume slab from the first sacrificial slab using the generated heat and the donor portion, and forming a passageway to the first cavity by forming the first reduced volume slab. | 01-17-2013 |
20130015537 | PIEZORESISTIVE PRESSURE SENSOR AND PROCESS FOR PRODUCING A PIEZORESISTIVE PRESSURE SENSORAANM Nowak; BirgitAACI TeltowAACO DEAAGP Nowak; Birgit Teltow DEAANM Ostrick; BernhardAACI TeltowAACO DEAAGP Ostrick; Bernhard Teltow DEAANM Peschka; AndreasAACI MichendorfAACO DEAAGP Peschka; Andreas Michendorf DE - A pressure sensor ( | 01-17-2013 |
20130026583 | VIBRATING DEVICE AND ELECTRONIC APPARATUS - A vibrating device has a package having an accommodating space in the interior thereof and a gyro element and an IC chip accommodated in the accommodating space. The package has a plate-like bottom plate having an IC chip mounting area and a vibrating element mounting area. The IC chip mounting area includes an IC chip mounting surface on which the IC chip is mounted. The vibrating element mounting area is arranged in parallel with the IC chip mounting area and includes a vibrating element mounting surface on which the gyro element is mounted. The thickness of the IC chip mounting area is smaller than that of the vibrating element mounting area. The IC chip mounting surface is located closer to a bottom side than the vibrating element mounting surface. | 01-31-2013 |
20130026584 | Micro-Electromechanical System Devices - A micro-electromechanical system (MEMS) device can include a substrate and a first beam suspended relative to a substrate surface. The first beam can include a first portion and a second portion that are separated by an isolation joint made of an insulative material. The first and second portions can each include a first semiconductor and a first dielectric layer. The MEMS device can also include a second beam suspended relative to the substrate surface. The second beam can include a second semiconductor and a second dielectric layer to promote curvature of the second beam. The MEMS device can also include a third beam suspended relative to the substrate surface. The third beam consists essentially of a first material. The second beam is configured to move relative to the third beam in response to an acceleration along an axis perpendicular to the surface of the substrate. | 01-31-2013 |
20130032904 | Coated Capacitive Sensor - In one embodiment, a method of forming a MEMS device includes providing a substrate, forming a sacrificial layer above the substrate layer, forming a silicon based working portion on the sacrificial layer, releasing the silicon based working portion from the sacrificial layer such that the working portion includes at least one exposed outer surface, forming a first layer of silicide forming metal on the at least one exposed outer surface of the silicon based working portion, and forming a first silicide layer with the first layer of silicide forming metal. | 02-07-2013 |
20130037891 | MEMS DEVICE AND METHOD OF FORMATION THEREOF - The present disclosure provides a method including providing a first substrate; and forming a microelectromechanical system (MEMS) device on a first surface of the first substrate. A bond pad is formed on at least one bonding site on the first surface of the first substrate. The bonding site is recessed from the first surface. Thus, a top surface of the bond pad may lie below the plane of the top surface of the substrate. A device with recessed connective element(s) (e.g., bond pad) is also described. In further embodiments, a protective layer is formed on the recessed connective element during dicing of a substrate. | 02-14-2013 |
20130043547 | MEMS DEVICE HAVING CHIP SCALE PACKAGING - A method and device having chip scale MEMS packaging is described. A first substrate includes a MEMS device and a second substrate includes an integrated circuit. The frontside of the first substrate is bonded to the backside of the second substrate. Thus, the second substrate provides a cavity to encase, protect or operate the MEMS device within. The bond may provide an electrical connection between the first and second substrate. In an embodiment, a through silicon via is used to carry the signals from the first substrate to an I/O connection on the frontside of the second substrate. | 02-21-2013 |
20130062710 | Micro Electrical Mechanical System with Bending Deflection of Backplate Structure - A micro electrical mechanical system includes a membrane structure and a backplate structure. The backplate structure includes a backplate material and at least one pre-tensioning element mechanically connected to the backplate material. The at least one pre-tensioning element causes a mechanical tension on the backplate material for a bending deflection of the backplate structure in a direction away from the membrane structure. | 03-14-2013 |
20130062711 | MICROELECTROMECHANICAL SYSTEM HAVING MOVABLE ELEMENT INTEGRATED INTO SUBSTRATE-BASED PACKAGE - A semiconductor-centered MEMS device ( | 03-14-2013 |
20130069177 | MICRO ELECTRONIC DEVICE - A method for fabricating a MEMS resonator is provided. A stacked main body including a silicon substrate, a plurality of metallic layers and an isolation layer is formed and has a first etching channel extending from the metallic layers into the silicon substrate. The isolation layer is filled in the first etching channel. The stacked main body also has a predetermined suspended portion. Subsequently, a portion of the isolation layer is removed so that a second etching channel is formed and the remained portion of the isolation layer covers an inner sidewall of the first etching channel. Afterwards, employing the isolation layer that covers the inner sidewall of the first etching channel as a mask, an isotropic etching process through the second etching channel is applied to the silicon substrate, thereby forming the MEMS resonator suspending above the silicon substrate. A micro electronic device is also provided. | 03-21-2013 |
20130069178 | Method of Manufacturing a Device with a Cavity - The invention relates to a micro-device with a cavity, the micro-device comprising a substrate, the method comprising steps of: A) providing the substrate, having a surface and comprising a sacrificial oxide region at the surface; B) covering the sacrificial oxide region with a porous layer being permeable to a vapor HF etchant, and C) selectively etching the sacrificial oxide region through the porous layer using the vapor HF etchant to obtain the cavity. This method may be used in the manufacture of various micro-devices with a cavity, i.e. MEMS devices, and in particular in the encapsulation part thereof, and semiconductor devices, and in particular the BEOL-part thereof. | 03-21-2013 |
20130075834 | Bulk Silicon Moving Member with Dimple - A method for forming a semiconductor device includes forming a substrate, forming a moveable member of bulk silicon and forming a first dimple structure on a first surface of the moveable member, where the first surface faces the substrate. | 03-28-2013 |
20130082338 | MEMS Structures and Methods for Forming the Same - A device includes a micro-electro-mechanical system (MEMS) device, which includes a movable element and a fixed element. The movable element and the fixed element form two capacitor plates of a capacitor, with an air-gap between the movable element and the fixed element acting as a capacitor insulator of the capacitor. At least one of the movable element and the fixed element has a rugged surface. | 04-04-2013 |
20130093030 | UNATTACHED CONTAINED SEMICONDUCTOR DEVICES - An unattached, contained semiconductor device includes a semiconductor die, for example a MEMS pressure sensor die. The semiconductor die is unattached from the interior cavity of a surrounding containment body in that the semiconductor die is free of adherence to the containment body to mitigate packaging stress and strain between the containment body and the semiconductor die. | 04-18-2013 |
20130099332 | WAFER LEVEL PACKAGING - A method of wafer level packaging includes providing a substrate including a buried oxide layer and a top oxide layer, and etching the substrate to form openings above the buried oxide layer and a micro-electro-mechanical systems (MEMS) resonator element between the openings, the MEMS resonator element enclosed within the buried oxide layer, the top oxide layer, and sidewall oxide layers. The method further includes filling the openings with polysilicon to form polysilicon electrodes adjacent the MEMS resonator element, removing the top oxide layer and the sidewall oxide layers adjacent the MEMS resonator element, bonding the polysilicon electrodes to one of a complementary metal-oxide semiconductor (CMOS) wafer or a carrier wafer, removing the buried oxide layer adjacent the MEMS resonator element, and bonding the substrate to a capping wafer to seal the MEMS resonator element between the capping wafer and one of the CMOS wafer or the carrier wafer. | 04-25-2013 |
20130105920 | SEMICONDUCTOR STRUCTURE | 05-02-2013 |
20130105921 | MICROSYSTEM DEVICE AND METHODS FOR FABRICATING THE SAME | 05-02-2013 |
20130113055 | SENSOR DEVICE MANUFACTURING METHOD AND SENSOR DEVICE - A method for manufacturing a sensor device is provided. The method prevents corrosion of metal electrodes of a sensor due to outside air with high humidity and preventing the occurrence of warpage of the sensor due to resin sealing of the sensor, thereby reducing the influence on sensor characteristics, and provides the sensor device. The method includes arranging a sensor on a substrate, the sensor having a fixed part, a movable part positioned inside the fixed part, a flexible part connecting the fixed part and the movable part, and a plurality of metal electrodes, electrically connecting the plurality of metal electrodes of the sensor and a plurality of terminals of the substrate with bonding wires, and covering portions of the plurality of metal electrodes of the sensor connected to the bonding wires with a resin so that a part of the bonding wires between the plurality of metal electrodes and the plurality of terminals is exposed. | 05-09-2013 |
20130119489 | METHOD AND APPARATUS FOR WAFER-LEVEL SOLDER HERMETIC SEAL ENCAPSULATION OF MEMS DEVICES - A plurality of MEMS devices are formed on a substrate, a sacrificial layer is formed to cover each of the MEMS devices and a protective cap layer is formed on the sacrificial layer. A release hole is formed through the protective cap layer to the underlying sacrificial layer, and a releasing agent is introduced through the release hole to remove the sacrificial layer under the protective cap layer and expose a MEMS device. Optionally, the MEMS device can be released with the same releasing agent or, optionally, with a secondary releasing agent. The release hole is solder sealed, to form a hermetic seal of the MEMS device. Optionally, release holes are formed at a plurality of locations, each over a MEMS device and the releasing forms a plurality of hermetic sealed MEMS devices on the wafer substrate, which are singulated to form separate hermetically sealed MEMS devices. | 05-16-2013 |
20130126988 | SEMICONDUCTOR SENSOR DEVICE WITH FOOTED LID - A semiconductor sensor device is packaged using a footed lid instead of a pre-molded lead frame. A semiconductor sensor die is attached to a first side of a lead frame. The die is then electrically connected to leads of the lead frame. A gel material is dispensed onto the sensor die. The footed lid is attached to the substrate such that the footed lid covers the sensor die and the electrical connections between the die and the lead frame. A molding compound is then formed over the substrate and the footed lid such that the molding compound covers the substrate, the sensor die and the footed lid. | 05-23-2013 |
20130126989 | Microstructure Device with an Improved Anchor - A microelectromechanical system (MEMS) device includes a substrate and an oxide layer formed on the substrate. A cavity is etched in the oxide layer. A microstructure device layer is bonded to the oxide layer, over the cavity. The microstructure device layer includes a substantially solid microstructure MEMS device formed in the microstructure device layer and suspended over a portion of the cavity. An anchor is formed in the device layer and configured to support the microstructure device, the anchor having an undercut in the oxide layer. The undercut has a length along the anchor that is less than one-half a length of an outer boundary dimension of the microstructure MEMS device. | 05-23-2013 |
20130134528 | ETCHANT-FREE METHODS OF PRODUCING A GAP BETWEEN TWO LAYERS, AND DEVICES PRODUCED THEREBY - Etchant-free methods of producing a gap between two materials are provided. Aspects of the methods include providing a structure comprising a first material and a second material, and subjecting the structure to conditions sufficient to cause a decrease in the volume of at least a portion of at least one of the first material and the second material to produce a gap between the first material and the second material. Also provided are devices produced by the methods (e.g., MEMS and NEMS devices), structures used in the methods and methods of making such structures. | 05-30-2013 |
20130134529 | ELECTRIC DEVICE AND METHOD OF MANUFACTURING THE SAME - There is provided an electric device including a base member, a beam elastically deformable to bend upward and having an outline partially defined by a slit formed in the base member, a conductive pattern provided on a top surface of the beam, a contact electrode provided above the conductive pattern, the contact electrode coming into contact with the conductive pattern, and a bridge electrode elastically deformable, the bridge electrode connecting the conductive pattern and a portion of the base member outside the outline. | 05-30-2013 |
20130140650 | MEMS Devices and Methods for Forming the Same - A method includes forming a Micro-Electro-Mechanical System (MEMS) device on a front surface of a substrate. After the step of forming the MEMS device, a through-opening is formed in the substrate, wherein the through-opening is formed from a backside of the substrate. The through-opening is filled with a dielectric material, which insulates a first portion of the substrate from a second portion of the substrate. An electrical connection is formed on the backside of the substrate. The electrical connection is electrically coupled to the MEMS device through the first portion of the substrate. | 06-06-2013 |
20130140651 | MICROELECTROMECHANICAL SYSTEMS (MEMS) RESONATORS AND RELATED APPARATUS AND METHODS - Devices having piezoelectric material structures integrated with substrates are described. Fabrication techniques for forming such devices are also described. The fabrication may include bonding a piezoelectric material wafer to a substrate of a differing material. A structure, such as a resonator, may then be formed from the piezoelectric material wafer. | 06-06-2013 |
20130140652 | MAGNETIC PRESSURE SENSOR - A magnetic pressure sensor is provided that includes a semiconductor body with a top side and a back side, a Hall sensor formed on the top side of the semiconductor body, a spacer connected to the semiconductor body, whereby the spacer has a recess in the center, and a membrane covering the recess, whereby the membrane has a first material and has a ferromagnetic substance. The ferromagnetic substance concentrates a magnetic flux density of a source formed outside the ferromagnetic material, and the spacer is formed as a circumferential wall and has a second material and the second material is different from the first material in at least one element. | 06-06-2013 |
20130140653 | MEMS DEVICE ETCH STOP - The present disclosure provides a micro-electro-mechanical systems (MEMS) device and a method for fabricating such a device. In an embodiment, a MEMS device includes a substrate, a dielectric layer above the substrate, an etch stop layer above the dielectric layer, and two anchor plugs above the dielectric layer, the two anchor plugs each contacting the etch stop layer or a top metal layer disposed above the dielectric layer. The device further comprises a MEMS structure layer disposed above a cavity formed between the two anchor plugs and above the etch stop layer from release of a sacrificial layer. | 06-06-2013 |
20130146994 | METHOD FOR MANUFACTURING A HERMETICALLY SEALED STRUCTURE - A method for providing hermetic sealing within a silicon-insulator composite wafer for manufacturing a hermetically sealed structure, comprising the steps of: patterning a first silicon wafer to have one or more recesses that extend at least partially through the first silicon wafer; filling said recesses with an insulator material able to be anodically bonded to silicon to form a first composite wafer having a plurality of silicon-insulator interfaces and a first contacting surface consisting of insulator material; and using an anodic bonding technique on the first contacting surface and an opposing second contacting surface to create hermetic sealing between the silicon-insulator interfaces, wherein the second contacting surface consists of silicon. | 06-13-2013 |
20130154031 | INTEGRALLY MOLDED DIE AND BEZEL STRUCTURE FOR FINGERPRINT SENSORS AND THE LIKE - A biometric sensor device, such as a fingerprint sensor, comprises a substrate to which is mounted a die on which is formed a sensor array and at least one conductive bezel. The die and the bezel are encased in a unitary encapsulation structure to protect those elements from mechanical, electrical, and environmental damage, yet with a portion of the sensor array and the bezel exposed or at most thinly covered by the encapsulation or other coating material structure. | 06-20-2013 |
20130154032 | System With Recessed Sensing Or Processing Elements - Backside recesses in a base member host components, such as sensors or circuits, to allow closer proximity and efficient use of the surface space and internal volume of the base member. Recesses may include covers, caps, filters and lenses, and may be in communication with circuits on the frontside of the base member, or with circuits on an active backside cap. An array of recessed components may a form complete, compact sensor system. | 06-20-2013 |
20130168782 | MICRO-ELECTRO-MECHANICAL SYSTEM (MEMS) STRUCTURES AND DESIGN STRUCTURES - Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are disclosed. The method includes forming at least one fixed electrode on a substrate. The method further includes forming a Micro-Electro-Mechanical System (MEMS) beam with a varying width dimension, as viewed from a top of the MEMS beam, over the at least one fixed electrode. | 07-04-2013 |
20130168783 | MICRO-ELECTRO-MECHANICAL SYSTEM (MEMS) CAPACITIVE OHMIC SWITCH AND DESIGN STRUCTURES - A micro-electro-mechanical system (MEMS), methods of forming the MEMS and design structures are provided. The method comprises forming a coplanar waveguide (CPW) comprising a signal electrode and a pair of electrodes on a substrate. The method comprises forming a first sacrificial material over the CPW, and a wiring layer over the first sacrificial material and above the CPW. The method comprises forming a second sacrificial material layer over the wiring layer, and forming insulator material about the first sacrificial material and the second sacrificial material. The method comprises forming at least one vent hole in the insulator material to expose portions of the second sacrificial material, and removing the first and second sacrificial material through the vent hole to form a cavity structure about the wiring layer and which exposes the signal line and pair of electrodes below the wiring layer. The vent hole is sealed with sealing material. | 07-04-2013 |
20130168784 | SEMICONDUCTOR PACKAGE AND FABRICATION METHOD THEREOF - A semiconductor package includes: a chip having a first portion and a second portion disposed on the first portion, wherein the second portion has at least a through hole therein for exposing a portion of the first portion, and the first portion and/or the second portion has a MEMS; and an etch stop layer formed between the first portion and the second portion and partially exposed through the through hole of the second portion. The invention allows an electronic element to be received in the through hole so as for the semiconductor package to have integrated functions of the MEMS and the electronic element. Therefore, the need to dispose the electronic element on a circuit board as in the prior art can be eliminated, thereby saving space on the circuit board. | 07-04-2013 |
20130168785 | SENSOR AND METHOD OF MANUFACTURE THEREOF - A pressure sensor having a structure, which includes a supporting body, a circuit arrangement and at least one circuit support. The circuit arrangement includes circuit components, amongst which detection means for generating electrical signals representing a quantity to be detected. The at least one circuit support is connected to the supporting body and has a surface, formed on which is a plurality of said circuit components, amongst which electrically conductive paths, where the circuit support is laminated on the first face of the supporting body. | 07-04-2013 |
20130175643 | METHOD FOR PRODUCTION OF A STRUCTURE WITH A BURIED ELECTRODE BY DIRECT TRANSFER AND STUCTURE OBTAINED IN THIS MANNER - A device is described of the micro-system and/or nano-system type including:
| 07-11-2013 |
20130181302 | METHOD FOR MAKING A SUSPENDED MEMBRANE STRUCTURE WITH BURIED ELECTRODE - A microsystem and/or nanosystem type device is disclosed, comprising:
| 07-18-2013 |
20130187245 | MICRO ELECTRO MECHANICAL SYSTEM STRUCTURES - A micro electro mechanical system (MEMS) structure includes a first substrate structure including a bonding pad structure. The bonding pad structure has at least one recess therein. A second substrate structure is bonded with the bonding pad structure of the first substrate structure. | 07-25-2013 |
20130193528 | SYSTEMS AND METHODS FOR CONDUCTIVE PILLARS - Systems and methods for conductive pillars are provided. In one embodiment, a system comprises an electrical board comprising an electrical device, and a packaged die, the packaged die bonded to the electrical board. The packaged die comprises a substrate layer, the substrate layer comprising a recessed area, a conductive trace, wherein a portion of the conductive trace is formed in the recessed area, and an epitaxial device layer bonded to the substrate layer. The device layer comprises a MEMS device, and an epitaxial conductive pillar, wherein a first side of the epitaxial conductive pillar is electrically connected to the conductive trace and the second side of the epitaxial conductive pillar is electrically connected to the electrical board, wherein the epitaxial conductive pillar extends through the epitaxial device layer to electrically couple the conductive trace to an interface surface on the epitaxial device layer. | 08-01-2013 |
20130193529 | MICRO-ELECTROMECHANICAL SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF - The micro-electromechanical semiconductor component is provided with a first semiconductor substrate, which has an upper face, and a second semiconductor substrate, which has an upper face. Both semiconductor substrates are bonded resting on the upper faces thereof. A cavity is introduced into the upper face of at least one of the two semiconductor substrates. The cavity is defined by lateral walls and opposing top and bottom walls, which are formed by the two semiconductor substrates. The top or the bottom wall acts as a reversibly deformable membrane and an opening extending through the respective semiconductor substrate is arranged in the other of said two walls of the cavity. | 08-01-2013 |
20130193530 | Semiconductor Component and Corresponding Production Method - A semiconductor component includes a substrate, a molded package, and a semiconductor chip. The semiconductor chip is suspended on the molding compound above the substrate in the molded package in such a way that a cavity mechanically decouples the semiconductor chip from the substrate. The cavity extends along an underside facing the substrate. | 08-01-2013 |
20130193531 | PHYSICAL QUANTITY SENSOR WITH SON STRUCTURE, AND MANUFACTURING METHOD THEREOF - Provided by some aspects of the invention is a relatively low-cost, relatively highly accurate physical quantity sensor, and a manufacturing method thereof, that relaxes thermal stress from an outer peripheral portion of a diaphragm in a silicon-on-nothing (“SON”) structure. By providing a stress relaxation region (trench groove) in an outer peripheral portion of a diaphragm in a SON structure, there can be, in some aspects of the invention, a benefit of relaxing the transmission to the diaphragm of thermal stress generated by the difference in linear expansion coefficient between a package and chip, and it is possible to relax the transmission to an electronic circuit disposed in an outer peripheral portion of mechanical stress generated by a measured pressure. As a result of this, it is possible to provide a highly accurate physical quantity sensor. | 08-01-2013 |
20130193532 | CAPACITIVE PRESSURE SENSING SEMICONDUCTOR DEVICE - A capacitive pressure sensing semiconductor device is provided, which has pressure resistance against pressure applied by a pressing member and can detect the pressure surely and efficiently. The pressure sensing semiconductor device includes a pressure detecting part, which detects pressure as a change in capacitance, and a package that receives the pressure detecting part within. The pressure detecting part includes a first electrode and a second electrode disposed to oppose the first electrode, with a determined distance therebetween. Capacitance is formed between the first electrode and the second electrode, and changes according to a change in said distance caused by pressure transmitted to the first electrode by a pressing member. The package also includes a pressure transmitting member that transmits, to the first electrode of the pressure detecting part, the pressure applied by the pressing member. | 08-01-2013 |
20130200473 | MICROMECHANICAL COMPONENT AND METHOD FOR THE MANUFACTURE OF SAME - A method for manufacturing a micromechanical component is described in which a trench etching process and a sacrificial layer etching process are carried out to form a mass situated movably on a substrate. The movable mass has electrically isolated and mechanically coupled subsections of a functional layer. A micromechanical component having a mass situated movably on a substrate is also described. | 08-08-2013 |
20130207207 | METHOD AND APPARATUS FOR HIGH PRESSURE SENSOR DEVICE - A pressure sensor package is provided that reduces the occurrence of micro gaps between molding material and metal contacts that can store high-pressure air. The present invention provides this capability by reducing or eliminating interfaces between package molding material and metal contacts. In one embodiment, a control die is electrically coupled to a lead frame and then encapsulated in molding material, using a technique that forms a cavity over a portion of the control die. The cavity exposes contacts on the free surface of the control die that can be electrically coupled to a pressure sensor device using, for example, wire bonding techniques. In another embodiment, a region of a substrate can be encapsulated in molding material, using a technique that forms a cavity over a sub-portion of the substrate that includes contacts. A pressure sensor device can be electrically coupled to the exposed contacts. | 08-15-2013 |
20130214365 | MEMS PRESSURE TRANSDUCER ASSEMBLY AND METHOD OF PACKAGING SAME - An assembly ( | 08-22-2013 |
20130214366 | MEMS ELEMENT AND ELECTRICAL DEVICE USING THE SAME - In a MEMS element | 08-22-2013 |
20130214367 | MEMS-BASED DUAL AND SINGLE PROOF-MASS ACCELEROMETER METHODS AND APPARATUS - An integrated MEMS inertial sensor device includes one or more three-axis MEMS inertial sensor devices, such as accelerometers, with dual or single proof mass configurations. These designs can be compact and can decouple the motion of each axis to minimize the measurement errors due to cross-axis sensitivity. Some embodiments include a frame to decouple the motion of two axes and to provide geometric symmetry. Some embodiments also include double-folded springs. In a specific embodiment, the three axes of an integrated MEMS accelerometer device are entirely decoupled. Thus, the actuation of each axis, through a force due to acceleration, has little or substantially no effect on the other axes. | 08-22-2013 |
20130221453 | Tunable MEMS Device and Method of Making a Tunable MEMS Device - A tunable MEMS device and a method of manufacturing a tunable MEMS device are disclosed. In accordance with an embodiment of the present invention, a semiconductor device comprises a substrate, a moveable electrode and a counter electrode. The moveable electrode or the counter electrode comprises a first region and a second region, wherein the first region is isolated from the second region, wherein the first region is configured to be tuned, wherein the second region is configured to provide a sensing signal or control a system, and wherein the moveable electrode and the counter electrode are mechanically connected to the substrate. | 08-29-2013 |
20130221454 | PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - A Micro-Electro-Mechanical System (MEMS). The MEMS includes a lower chamber with a wiring layer and an upper chamber which is connected to the lower chamber. A MEMS beam is suspended between the upper chamber and the lower chamber. A lid structure encloses the upper chamber, which is devoid of structures that interfere with a MEMS beam. The lid structure has a surface that is conformal to a sacrificial material vented from the upper chamber. | 08-29-2013 |
20130234263 | MEMS ELEMENT - According to one embodiment, a MEMS element comprises a first electrode that is fixed on a substrate and has plate shape, a second electrode that is disposed above the first electrode while facing the first electrode, the second electrode being movable in a vertical direction and having plate shape, and a first film that includes a first cavity in which the second electrode is accommodated on the substrate. The second electrode is connected to an anchor portion connected to the substrate via a spring portion. An upper surface of the second electrode is connected to the first film. | 09-12-2013 |
20130234264 | SEMICONDUCTOR SENSOR DEVICE AND ELECTRONIC APPARATUS - Disclosed is a semiconductor sensor device, including a substrate, a sensor element mounted on the substrate, a hollow member configured to surround a periphery of the sensor element, a sealing material configured to fill in the hollow member and cover the sensor element, and a recess formed on the substrate, the recess being configured to position the hollow member. | 09-12-2013 |
20130234265 | PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes patterning a wiring layer to form at least one fixed plate and forming a sacrificial material on the wiring layer. The method further includes forming an insulator layer of one or more films over the at least one fixed plate and exposed portions of an underlying substrate to prevent formation of a reaction product between the wiring layer and a sacrificial material. The method further includes forming at least one MEMS beam that is moveable over the at least one fixed plate. The method further includes venting or stripping of the sacrificial material to form at least a first cavity. | 09-12-2013 |
20130241012 | EUTECTIC BONDING OF THIN CHIPS ON A CARRIER SUBSTRATE - A method for producing a semiconductor component ( | 09-19-2013 |
20130256814 | PHYSICAL QUANTITY SENSOR AND ELECTRONIC APPARATUS - A physical quantity sensor includes: a movable body displaceable in a direction of a first axis; a fixed electrode portion disposed to face a movable electrode portion; a spring portion as a connection member connecting a fixed portion with the movable body and including a first extending portion extending from the fixed portion along a second axis crossing the direction of the first axis, a turn-around portion connected to the first extending portion, and a second extending portion extending from the turn-around portion along the second axis; and a wall portion extending from the fixed portion and disposed, in plan view, outside the first extending portion and the turn-around portion of the spring portion. The spring portion and the wall portion are electrically connected. | 10-03-2013 |
20130264661 | PHYSICAL QUANTITY SENSOR AND ELECTRONIC APPARATUS - A physical quantity sensor includes a substrate, a movable body which has first and second sections and in which a first movable electrode section is provided in the first section, a beam section which supports the movable body so as to be able to be displaced, and a first fixed electrode section disposed on the substrate. The first fixed electrode section is provided at a position overlapping an end of the first section in the second axis direction in plan view, and is provided in a range of 0.0500X≦L≦0.816X assuming that the width of the first section in the second axis direction is X and the width of a portion of the first fixed electrode section in the second axis direction overlapping the movable body in plan view is L. | 10-10-2013 |
20130264662 | ELECTRONIC DEVICE, SYSTEM, AND METHOD COMPRISING DIFFERENTIAL SENSOR MEMS DEVICES AND DRILLED SUBSTRATES - Electronic device including a substrate provided with at least one passing opening, a MEMS device with a differential sensor provided with a first and a second surface having at least one portion sensitive to chemical and/or physical variations of fluids present in correspondence with a first and a second opposed active surface thereof. The first surface of the MEMS device leaves the first active surface exposed and the second surface being provided with a further opening which exposes said second opposed active surface, the electronic device being characterized in that the first surface of the MEMS device faces the substrate and is spaced therefrom by a predetermined distance, the sensitive portion being aligned to the passing opening of the substrate, and in that it also comprises a protective package, which incorporates at least partially the MEMS device and the substrate. | 10-10-2013 |
20130270657 | MICROMACHINED MONOLITHIC 6-AXIS INERTIAL SENSOR - The device layer of a 6-degrees-of-freedom (6-DOF) inertial measurement system can include a single proof-mass 6-axis inertial sensor formed in an x-y plane, the inertial sensor including a main proof-mass section suspended about a single, central anchor, the main proof-mass section including a radial portion extending outward towards the edge of the inertial sensor, a central suspension system configured to suspend the 6-axis inertial sensor from the single, central anchor, and a drive electrode including a moving portion and a stationary portion, the moving portion coupled to the radial portion, wherein the drive electrode and the central suspension system are configured to oscillate the 6-axis inertial sensor about a z-axis normal to the x-y plane. | 10-17-2013 |
20130270658 | METHODS FOR PRODUCING A CAVITY WITHIN A SEMICONDUCTOR SUBSTRATE - A method for producing at least one cavity within a semiconductor substrate includes dry etching the semiconductor substrate from a surface of the semiconductor substrate at at least one intended cavity location in order to obtain at least one provisional cavity. The method includes depositing a protective material with regard to a subsequent wet-etching process at the surface of the semiconductor substrate and at cavity surfaces of the at least one provisional cavity. Furthermore, the method includes removing the protective material at least at a section of a bottom of the at least one provisional cavity in order to expose the semiconductor substrate. This is followed by electrochemically etching the semiconductor substrate at the exposed section of the bottom of the at least one provisional cavity. A method for producing a micromechanical sensor system in which this type of cavity formation is used and a corresponding MEMS are also disclosed. | 10-17-2013 |
20130277770 | MEMS Devices and Methods of Forming the Same - A device includes a substrate, a routing conductive line over the substrate, a dielectric layer over the routing conductive line, and an etch stop layer over the dielectric layer. A Micro-Electro-Mechanical System (MEMS) device has a portion over the etch stop layer. A contact plug penetrates through the etch stop layer and the dielectric layer. The contact plug connects the portion of the MEMS device to the routing conductive line. An escort ring is disposed over the etch stop layer and under the MEMS device, wherein the escort ring encircles the contact plug. | 10-24-2013 |
20130277771 | Capacitive Sensors and Methods for Forming the Same - A device includes a semiconductor substrate, and a capacitive sensor having a back-plate, wherein the back-plate forms a first capacitor plate of the capacitive sensor. The back-plate is a portion of the semiconductor substrate. A conductive membrane is spaced apart from the semiconductor substrate by an air-gap. A capacitance of the capacitive sensor is configured to change in response to a movement of the polysilicon membrane. | 10-24-2013 |
20130277772 | MICROELECTROMECHANICAL PRESSURE SENSOR INCLUDING REFERENCE CAPACITOR - This document discusses, among other things, an apparatus including a silicon die including a vibratory diaphragm, the die having a silicon die top opposite a silicon die bottom, with a top silicon die port extending from the silicon die top through the silicon die to a top of the vibratory diaphragm, and with a bottom silicon die port extending from the silicon die bottom to a bottom of the vibratory diaphragm, wherein the bottom silicon die port has a cross sectional area that is larger than a cross-sectional area of the top silicon die port, a capacitor electrode disposed along a bottom of the silicon die, across the bottom silicon die port, the capacitor electrode including a first signal generation portion that is coextensive with the top silicon die port, and a second signal generation portion surrounding the first portion. | 10-24-2013 |
20130277773 | THROUGH SILCON VIA WITH REDUCED SHUNT CAPACITANCE - This document refers to apparatus and methods for a device layer of a microelectromechanical system (MEMS) sensor having vias with reduced shunt capacitance. In an example, a device layer can include a substrate having a pair of trenches separated in a horizontal direction by a portion of the substrate, wherein each trench of the pair of trenches includes first and second vertical layers including dielectric, the first and second vertical layers separated by a third vertical layer including polysilicon. | 10-24-2013 |
20130277774 | METHOD FOR MANUFACTURING A HYBRID INTEGRATED COMPONENT - A simple and cost-effective manufacturing method for hybrid integrated components including at least one MEMS element, a cap for the micromechanical structure of the MEMS element, and at least one ASIC substrate, using which a high degree of miniaturization may be achieved. The micromechanical structure of the MEMS element and the cap are manufactured in a layered structure, proceeding from a shared semiconductor substrate, by applying at least one cap layer to a first surface of the semiconductor substrate, and by processing and structuring the semiconductor substrate proceeding from its other second surface, to produce and expose the micromechanical MEMS structure. The semiconductor substrate is then mounted with the MEMS-structured second surface on the ASIC substrate. | 10-24-2013 |
20130277775 | Planar Structure For A Triaxial Gyrometer - An inertial sensor for measuring information relating to rotation in three orthogonal axes, comprising a support and a vibrating sensitive element secured to the support; said sensitive element having a deformable frame and at least two deformable projections which extend in a plane (X-Y); wherein the inertial sensor extends in the same plane; the deformable frame and said at least two deformable projections have a plane of symmetry parallel to the plane; said at least two projections are rectilinear beams which have an approximately square cross section, are not collinear and are preferably approximately orthogonal to one another; each of said deformable beams being connected by only one end to the deformable frame at a location at which the amplitude of the primary vibration mode is at a maximum; and in that said sensor has a device for detecting each of the secondary vibration modes. | 10-24-2013 |
20130285160 | Microscale Metallic CNT Templated Devices and Related Methods - A microscale device comprises a patterned forest of vertically grown and aligned carbon nanotubes defining a carbon nanotube forest with the nanotubes having a height defining a thickness of the forest, the patterned forest defining a patterned frame that defines one or more components of a microscale device. A conformal coating of substantially uniform thickness at least partially coats the nanotubes, defining coated nanotubes and connecting adjacent nanotubes together, without substantially filling interstices between individual coated nanotubes. A metallic interstitial material infiltrates the carbon nanotube forest and at least partially fills interstices between individual coated nanotubes. | 10-31-2013 |
20130285161 | INTEGRATED CIRCUIT HAVING VARYING SUBSTRATE DEPTH AND METHOD OF FORMING SAME - A semiconductor device is formed such that a semiconductor substrate of the device has a non-uniform thickness. A cavity is etched at a selected side of the semiconductor substrate, and the selected side is then fusion bonded to another substrate, such as a carrier substrate. After fusion bonding, the side of the semiconductor substrate opposite the selected side is ground to a defined thickness. Accordingly, the semiconductor substrate has a uniform thickness except in the area of the cavity, where the substrate is thinner. Devices that benefit from a thinner substrate, such as an accelerometer, can be formed over the cavity. | 10-31-2013 |
20130285162 | INTEGRATED GETTER AREA FOR WAFER LEVEL ENCAPSULATED MICROELECTROMECHANICAL SYSTEMS - There are many inventions described and illustrated herein. In one aspect, present invention is directed to a thin film encapsulated MEMS, and technique of fabricating or manufacturing a thin film encapsulated MEMS including an integrated getter area and/or an increased chamber volume, which causes little to no increase in overall dimension(s) from the perspective of the mechanical structure and chamber. The integrated getter area is disposed within the chamber and is capable of (i) “capturing” impurities, atoms and/or molecules that are out-gassed from surrounding materials and/or (ii) reducing and/or minimizing the adverse impact of such impurities, atoms and/or molecules (for example, reducing the probability of adding mass to a resonator which would thereby change the resonator's frequency). In this way, the thin film wafer level packaged MEMS of the present invention includes a relatively stable, controlled pressure environment within the chamber to provide, for example, a more stable predetermined, desired and/or selected mechanical damping of the mechanical structure. | 10-31-2013 |
20130285163 | MEMS ELEMENT AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a MEMS element comprises a first electrode fixed on a substrate, and a second electrode arranged above the first electrode, facing the first electrode, and vertically movable. The second electrode includes a second opening portion that penetrates from an upper surface to a lower surface of the second electrode. The first electrode includes a first opening portion at a position corresponding to at least a part of the second opening portion, the first opening portion penetrating from an upper surface to a lower surface of the first electrode. | 10-31-2013 |
20130285164 | MEMS DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a MEMS device comprises a first electrode fixed on a substrate, a second electrode formed above the first electrode to face the first electrode, and vertically movable, a second anchor portion formed on the substrate and configured to support the second electrode, and a second spring portion configured to connect the second electrode and the second anchor portion. The second spring portion is continuously formed from an upper surface of the second electrode to an upper surface of the second anchor portion, and has a flat lower surface. | 10-31-2013 |
20130285165 | METHOD FOR MANUFACTURING A HYBRID INTEGRATED COMPONENT - A manufacturing method for hybrid integrated components having a very high degree of miniaturization is provided, which hybrid integrated components each have at least two MEMS elements each having at least one assigned ASIC element. Two MEMS/ASIC wafer stacks are initially created independently of one another in that two ASIC substrates are processed independently of one another; a semiconductor substrate is mounted on the processed surface of each of the two ASIC substrates, and a micromechanical structure is subsequently created in each of the two semiconductor substrates. The two MEMS/ASIC wafer stacks are mounted on top of each other, MEMS on MEMS. Only subsequently are the components separated. | 10-31-2013 |
20130285166 | HYBRID INTEGRATED COMPONENT AND METHOD FOR THE MANUFACTURE THEREOF - Hybrid integrated components including an MEMS element and an ASIC element are described, whose capacitor system allows both signal detection with comparatively high sensitivity and sensitive activation of the micromechanical structure of the MEMS element. The hybrid integrated component includes an MEMS element having a micromechanical structure which extends over the entire thickness of the MEMS substrate. At least one structural element of this micromechanical structure is deflectable and is operationally linked to at least one capacitor system, which includes at least one movable electrode and at least one stationary electrode. Furthermore, the component includes an ASIC element having at least one electrode of the capacitor system. The MEMS element is mounted on the ASIC element, so that there is a gap between the micromechanical structure and the surface of the ASIC element. According to the invention, at least one electrode of the capacitor system is separated from the layered structure of the ASIC element and instead mechanically and electrically connected to the deflectable structural element of the MEMS element, so that this electrode functions as a movable electrode of the capacitor system. | 10-31-2013 |
20130285167 | TMAP SENSOR SYSTEMS AND METHODS FOR MANUFACTURING THOSE - A pressure sensor system comprising a pressure sensor chip is disclosed. The pressure sensor chip comprises a sensing side where pressure sensing is performed and one or more interconnections where electrical connections are made at the other side of the chip. The pressure sensor comprising an integrated circuit ( | 10-31-2013 |
20130285168 | MEMS INERTIAL SENSOR AND METHOD FOR MANUFACTURING THE SAME - A MEMS inertial sensor and a method for manufacturing the same are provided. The method includes: depositing a first carbon layer on a semiconductor substrate; patterning the first carbon layer to form a fixed anchor bolt, an inertial anchor bolt and a bottom sealing ring; forming a contact plug in the fixed anchor bolt and a contact plug in the inertial anchor bolt; forming a first fixed electrode, an inertial electrode and a connection electrode on the first carbon layer, where the first fixed electrode and the inertial electrode constitute a capacitor; forming a second carbon layer on the first fixed electrode and the inertial electrode; and forming a sealing cap layer on the second carbon layer and the top sealing ring. Under an inertial force, only the inertial electrode may move, the fixed electrode will almost not move or vibrate, which improves the accuracy of the MEMS inertial sensor. | 10-31-2013 |
20130285169 | METHOD FOR PRODUCING AN OPTICAL WINDOW DEVICE FOR A MEMS DEVICE - A method for producing an optical window device for a MEMS device, including applying a layer made of a transparent material onto a substrate having a recess, and deforming the layer so that it is folded and the deformed area of the layer forms an optical window. | 10-31-2013 |
20130285170 | MULTIPLE BONDING IN WAFER LEVEL PACKAGING - A MEMS device is described. The device includes a micro-electro-mechanical systems (MEMS) substrate including a first bonding layer, a semiconductor substrate including a second bonding layer, and a cap including a third bonding layer, the cap coupled to the semiconductor substrate by bonding the second bonding layer to the third bonding layer. The first bonding layer includes silicon, the semiconductor substrate is electrically coupled to the MEMS substrate by bonding the first bonding layer to the second bonding layer, and the MEMS substrate is hermetically sealed between the cap and the semiconductor substrate. | 10-31-2013 |
20130285171 | ENVIRONMENT-RESISTANT MODULE, MICROPACKAGE AND METHODS OF MANUFACTURING SAME - An environment-resistant module which provides both thermal and vibration isolation for a packaged micromachined or MEMS device is disclosed. A microplatform and a support structure for the microplatform provide the thermal and vibration isolation. The package is both hermetic and vacuum compatible and provides vertical feedthroughs for signal transfer. A micromachined or MEMS device transfer method is also disclosed that can handle a wide variety of individual micromachined or MEMS dies or wafers, in either a hybrid or integrated fashion. The module simultaneously provides both thermal and vibration isolation for the MEMS device using the microplatform and the support structure which may be fabricated from a thin glass wafer that is patterned to create crab-leg shaped suspension tethers or beams. | 10-31-2013 |
20130285172 | Combined Sensor - To provide a combined sensor that can detect a plurality of physical quantities. With the combined sensor, it is possible to realize, while maintaining performance, a reduction in size and a reduction in costs by increasing elements that can be shared among respective sensors. A weight M | 10-31-2013 |
20130292783 | MEMS ELEMENT AND METHOD FOR MANUFACTURING SAME - An acceleration sensor is formed using an etched layer sandwiched between first and second substrates. In this case, a structure including a movable portion which is displaceable in the thickness direction of the substrates, and a support frame are formed in the etched layer. In addition, first and second fixed electrodes are formed on the first and second substrates, respectively, at a position facing the movable portion. Further, a remaining sacrificial layer is provided on the substrate by leaving a portion of a second sacrificial layer when a first sacrificial layer is entirely etched away. Therefore, when the first sacrificial layer is etched away, corrosion of the structure and the support beams is prevented because the second sacrificial layer is preferentially corroded as compared to the structure. | 11-07-2013 |
20130299923 | FLEXIBLE STOP FOR AN ACCELERATION SENSOR - A micromechanical acceleration sensor includes a seismic mass and a substrate that has a reference electrode. The seismic mass is deflectable in a direction perpendicular to the reference electrode, and the seismic mass has a flexible stop in the deflection direction. The flexible stop of the seismic mass includes an elastic layer. | 11-14-2013 |
20130299924 | HYBRID INTEGRATED COMPONENT AND METHOD FOR THE MANUFACTURE THEREOF - A component system includes at least one MEMS element, a cap for a micromechanical structure of the MEMS element, and at least one ASIC substrate. The micromechanical structure of the MEMS element is implemented in the functional layer of an SOI wafer. The MEMS element is mounted face down, with the structured functional layer on the ASIC substrate, and the cap is implemented in the substrate of the SOI wafer. The ASIC substrate includes a starting substrate provided with a layered structure on both sides. At least one circuit level is implemented in each case both in the MEMS-side layered structure and in the rear-side layered structure of the ASIC substrate. In the ASIC substrate, at least one ASIC through contact is implemented which electrically contacts at least one circuit level of the rear-side layered structure and/or at least one circuit level of the MEMS-side layered structure. | 11-14-2013 |
20130299925 | MICROMECHANICAL INERTIAL SENSOR AND METHOD FOR MANUFACTURING SAME - A micromechanical inertial sensor includes an ASIC element having a processed front side, an MEMS element having a micromechanical sensor structure, and a cap wafer mounted above the micromechanical sensor structure, which sensor structure includes a seismic mass and extends over the entire thickness of the MEMS substrate. The MEMS element is mounted on the processed front side of the ASIC element above a standoff structure and is electrically connected to the ASIC element via through-contacts in the MEMS substrate and in adjacent supports of the standoff structure. A blind hole is formed in the MEMS substrate in the area of the seismic mass, which blind hole is filled with the same electrically conductive material as the through-contacts, the conductive material having a greater density than the MEMS substrate. | 11-14-2013 |
20130299926 | METHOD FOR MEMS DEVICE FABRICATION AND DEVICE FORMED - The present invention generally relates to methods for producing MEMS or NEMS devices and the devices themselves. A thin layer of a material having a lower recombination coefficient as compared to the cantilever structure may be deposited over the cantilever structure, the RF electrode and the pull-off electrode. The thin layer permits the etching gas introduced to the cavity to decrease the overall etchant recombination rate within the cavity and thus, increase the etching rate of the sacrificial material within the cavity. The etchant itself may be introduced through an opening in the encapsulating layer that is linearly aligned with the anchor portion of the cantilever structure so that the topmost layer of sacrificial material is etched first. Thereafter, sealing material may seal the cavity and extend into the cavity all the way to the anchor portion to provide additional strength to the anchor portion. | 11-14-2013 |
20130307094 | SENSOR - A sensor includes a circuit board, a wiring connection layer, a sensor element, and a conductive post. The circuit board has a first electrode. The wiring connection layer has second and third electrodes. The second electrode is connected to the first electrode. The sensor element has a fourth electrode. The conductive post connects the third electrode electrically with the fourth electrode. This sensor can be driven efficiently. | 11-21-2013 |
20130313660 | CAPACITANCE TYPE SENSOR - A capacitance type gyro sensor includes a semiconductor substrate, a first electrode integrally including a first base portion and first comb tooth portions and a second electrode integrally including a second base portion and second comb tooth portions, formed by processing the surface portion of the semiconductor substrate. The first electrode has first drive portions that extend from opposed portions opposed to the respective second comb tooth portions on the first base portion toward the respective second comb tooth portions. The second electrode has second drive portions formed on the tip end portions of the respective second comb tooth portions opposed to the respective first drive portions. The first drive portions and the second drive portions engage with each other at an interval like comb teeth. | 11-28-2013 |
20130320463 | PACKAGE STRUCTURE HAVING MEMS ELEMENT AND FABRICATION METHOD THEREOF - A package structure includes: a substrate having a plurality of first conductive pads and a plurality of second conductive pads; an MEMS element disposed on the substrate; a cover member disposed on the MEMS element and having a metal layer formed thereon; a plurality of bonding wires electrically connected to the MEMS element and the second conductive pads of the substrate; a plurality of first wire segments, each having one end electrically connected to a corresponding one of the first conductive pads; and an encapsulant formed on the substrate and encapsulating the MEMS element, the cover member, the first wire segments and the bonding wires, wherein the other end of each of the first wire segments is exposed from the encapsulant. Compared with the prior art, the package structure of the present invention has improved overall yield and functionality. | 12-05-2013 |
20130320464 | INTEGRALLY MOLDED DIE AND BEZEL STRUCTURE FOR FINGERPRINT SENSORS AND THE LIKE - A biometric sensor device, such as a fingerprint sensor, comprises a substrate to which is mounted a die on which is formed a sensor array and at least one conductive bezel. The die and the bezel are encased in a unitary encapsulation structure to protect those elements from mechanical, electrical, and environmental damage, yet with a portion of the sensor array and the bezel exposed or at most thinly covered by the encapsulation or other coating material structure. | 12-05-2013 |
20130328139 | MICROMACHINED MONOLITHIC 3-AXIS GYROSCOPE WITH SINGLE DRIVE - This document discusses, among other things, a cap wafer and a via wafer configured to encapsulate a single proof-mass 3-axis gyroscope formed in an x-y plane of a device layer. The single proof-mass 3-axis gyroscope can include a main proof-mass section suspended about a single, central anchor, the main proof-mass section including a radial portion extending outward towards an edge of the 3-axis gyroscope sensor, a central suspension system configured to suspend the 3-axis gyroscope from the single, central anchor, and a drive electrode including a moving portion and a stationary portion, the moving portion coupled to the radial portion, wherein the drive electrode and the central suspension system are configured to oscillate the 3-axis gyroscope about a z-axis normal to the x-y plane at a drive frequency. | 12-12-2013 |
20130328140 | VIBRATION ISOLATED MEMS STRUCTURES AND METHODS OF MANUFACTURE - A microstructure device has a microstructure (e.g., a circuit card assembly, a printed circuit board, etc.) defining a sensitive axis and one or more isolators configured and adapted to be compliant along the sensitive axis and to be rigid along one or more other axes. | 12-12-2013 |
20130328141 | HERMETIC PLASTIC MOLDED MEMS DEVICE PACKAGE AND METHOD OF FABRICATION - A hermetically packaged microelectromechanical system (MEMS) device has a substrate with an assembly pad ( | 12-12-2013 |
20130328142 | INTEGRATED CIRCUIT WITH PRESSURE SENSOR AND MANUFACTURING METHOD - Disclosed is an integrated circuit ( | 12-12-2013 |
20130334620 | MEMS Devices and Fabrication Methods Thereof - A method for fabricating a MEMS device includes providing a micro-electro-mechanical system (MEMS) substrate having a sacrificial layer on a first side, providing a carrier including a plurality of cavities, bonding the first side of the MEMS substrate on the carrier, forming a first bonding material layer on a second side of the MEMS substrate, applying a sacrificial layer removal process to the MEMS substrate, providing a semiconductor substrate including a second bonding material layer and bonding the semiconductor substrate on the second side of the MEMS substrate. | 12-19-2013 |
20130334621 | HYBRID INTEGRATED COMPONENT AND METHOD FOR THE MANUFACTURE THEREOF - An expansion of the functional scope of a hybrid integrated component including an MEMS element, a cap for the micromechanical structure of the MEMS element, and an ASIC element having circuit components is provided. In this component, the circuit components of the ASIC element interact with the micromechanical structure of the MEMS element. The MEMS element is mounted on the ASIC element in such a way that the micromechanical structure of the MEMS element is situated in a cavity between the cap and the ASIC element. The ASIC element is additionally equipped with the circuit components of a magnetic sensor system. These circuit components are produced in or on the CMOS back-end stack of the ASIC element. The magnetic sensor system may thus be implemented without enlarging the chip area. | 12-19-2013 |
20130334622 | MICROMECHANICAL DEVICE AND METHOD FOR MANUFACTURING A MICROMECHANICAL DEVICE - A micromechanical device, in particular a sensor device, and a method for manufacturing a micromechanical device are provided. The micromechanical device has a housing, the housing including a first cavity, and the housing including a second cavity that is separate from the first cavity. The micromechanical device is configured in such a way that a predetermined first gas pressure prevails in the first cavity, and a predetermined second gas pressure which is reduced compared to the first gas pressure prevails in the second cavity. A heating element is situated in the area of the second cavity. The micromechanical device has a printed conductor, the heating element being heatable with the aid of the printed conductor. | 12-19-2013 |
20130334623 | MEMS Sensing Device and Method for the Same - The present invention discloses a MEMS sensing device which comprises a substrate, a MEMS device region, a film, an adhesive layer, a cover, at least one opening, and a plurality of leads. The substrate has a first surface and a second surface opposite the first surface. The MEMS device region is on the first surface, and includes a chamber. The film is overlaid on the MEMS device region to seal the chamber as a sealed space. The cover is mounted on the MEMS device region and adhered by the adhesive layer. The opening is on the cover or the adhesive layer, allowing the pressure of the air outside the device to pressure the film. The leads are electrically connected to the MEMS device region, and extend to the second surface. | 12-19-2013 |
20130334624 | METHOD OF PROVIDING A SEMICONDUCTOR STRUCTURE WITH FORMING A SACRIFICIAL STRUCTURE - A method for providing a semiconductor structure includes forming a sacrificial structure by etching a plurality of trenches from a first main surface of a substrate. The method further includes covering the plurality of trenches at the first main surface with a cover material to define cavities within the substrate, removing a part of the substrate from a second main surface opposite to the first main surface to a depth at which the plurality of trenches are present, and etching away the sacrificial structure from the second main surface of the substrate. | 12-19-2013 |
20130341735 | ANODICALLY BONDED STRAIN ISOLATOR - A stress isolator that allows a sensor to be attached to materials of the same coefficient of thermal expansion and still provide the required elastic isolation between the sensor and the system to which it is mounted. The isolator is made of two materials, borosilicate glass and silicon. The glass is the same material as the mounting surface of the microelectromechanical system (MEMS) sensors. The silicon makes an excellent isolator, being very elastic and easy to form into complex shapes. The two materials of the isolator are joined using an anodic bond. The construction of the isolator can be specific to different types of MEMS sensors, making the most of their geometry to reduce overall volume. | 12-26-2013 |
20130341736 | Packaging Compatible Wafer Level Capping of MEMS Devices - This invention discloses and claims a cost-effective, wafer-level package process for microelectromechanical devices (MEMS). Specifically, the movable part of MEMS device is encapsulated and protected while in wafer form so that commodity, lead-frame packaging can be used. An overcoat polymer, such as, epoxycyclohexyl polyhedral oligomeric silsesquioxanes (EPOSS) has been used as a mask material to pattern the sacrificial polymer as well as overcoat the air-cavity. The resulting air-cavities are clean, debris-free, and robust. The cavities have substantial strength to withstand molding pressures during lead-frame packaging of the MEMS devices. A wide range of cavities from 20 μm×400 μm to 300 μm×400 μm have been fabricated and shown to be mechanically stable. These could potentially house MEMS devices over a wide range of sizes. The strength of the cavities has been investigated using nano-indentation and modeled using analytical and finite element techniques. Capacitive resonators packaged using this protocol have shown clean sensing electrodes and good functionality. | 12-26-2013 |
20130341737 | PACKAGING TO REDUCE STRESS ON MICROELECTROMECHANICAL SYSTEMS - One example includes an integrated circuit including at least one electrical interconnects disposed on an elongate are extending away from a main portion of the integrated circuit and a microelectromechanical layer including an oscillating portion, the microelectromechanical layer coupled to the main portion of the integrated circuit. | 12-26-2013 |
20130341738 | METHOD FOR MANUFACTURING A COMPONENT HAVING AN ELECTRICAL THROUGH-CONNECTION - A method for manufacturing a component having an electrical through-connection includes: providing a semiconductor substrate having a front side and a back side opposite from the front side; producing, on the front side of the semiconductor substrate, an insulating trench which annularly surrounds a contact area; introducing an insulating material into the insulating trench; producing a contact hole on the front side of the semiconductor substrate by removing the semiconductor material surrounded by the insulating trench in the contact area; and depositing a metallic material in the contact hole. | 12-26-2013 |
20140001577 | MEMS Device with Improved Charge Elimination and Methods of Producing Same | 01-02-2014 |
20140001578 | GAS PRESSURE MEASUREMENT CELL ARRANGEMENT | 01-02-2014 |
20140001579 | MEMS PRESSURE SENSOR AND MANUFACTURING METHOD THEREFOR | 01-02-2014 |
20140008737 | Cantilever Packages for Sensor MEMS (MIcro-Electro-Mechanical System) - A packaged sensor MEMS ( | 01-09-2014 |
20140008738 | MEMS DIE AND METHODS WITH MULTIPLE-PRESSURE SEALING - The present subject matter relates to systems and methods for sealing one or more MEMS devices within an encapsulated cavity. A first material layer can be positioned on a substrate, the first material layer comprising a first cavity and a second cavity that each have one or more openings out of the first material layer. At least the first cavity can be exposed to a first atmosphere and sealed while it is exposed to the first atmosphere while not sealing the second cavity. The second cavity can then be exposed to a second atmosphere that is different than the first atmosphere, and the second cavity can be sealed while it is exposed to the second atmosphere. | 01-09-2014 |
20140008739 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING SAME - A wafer structure ( | 01-09-2014 |
20140015069 | MEMS Devices, Packaged MEMS Devices, and Methods of Manufacture Thereof - MEMS devices, packaged MEMS devices, and methods of manufacture thereof are disclosed. In one embodiment, a microelectromechanical system (MEMS) device includes a first MEMS functional structure and a second MEMS functional structure. An interior region of the second MEMS functional structure has a pressure that is different than a pressure of an interior region of the first MEMS functional structure. | 01-16-2014 |
20140021561 | Microfabrication of High Quality Three Dimensional Structures Using Wafer-Level Glassblowing of Fused Quartz and Ultra Low Expansion Glasses - A high temperature micro-glassblowing process and a novel inverted-wineglass architecture that provides self-aligned stem structures. The fabrication process involves the etching of a fused quartz substrate wafer. A TSG or fused quartz device layer is then bonded onto the fused quartz substrate, creating a trapped air pocket or cavity between the substrate and the TSG device layer. The substrate and TSG device layer | 01-23-2014 |
20140021562 | MEMS DEVICE, ELECTRONIC MODULE, ELECTRONIC APPARATUS, AND MOBILE UNIT - A MEMS device includes: a base substrate; a first wiring disposed on the base substrate using a first structure; a second wiring disposed on the base substrate using the first structure and a second structure connected to the first structure; and a MEMS element connected with the first wiring and the second wiring and arranged on the base substrate, wherein the first wiring and the second wiring include a crossing portion where the first wiring and the second wiring cross each other, and at the crossing portion, the first structure of the first wiring and the second structure of the second wiring cross each other. | 01-23-2014 |
20140021563 | Pressure Resistently Encapsulated, Pressure Difference Sensor - A pressure difference sensor includes a capsule, which has a ceramic capsule body. The capsule has a transducer seat in its interior, wherein there is arranged in the transducer seat a semiconductor pressure measuring transducer core, which has a measuring membrane body and at least one support body. The measuring membrane body is connected pressure-tightly with the at least one support body, which has a pressure inlet opening. Ducts extend respectively from an outer surface of the capsule into the transducer seat, wherein the pressure inlet opening communicates with the first duct A side of the measuring membrane is contactable with a pressure through the pressure inlet opening, wherein the support body contacts a joint, which surrounds the first pressure inlet opening and the opening of the first duct into the transducer seat and is connected pressure-tightly with a wall of the transducer seat, and wherein a second side of the measuring membrane is hydraulically isolated from its first side and communicates with the second duct. | 01-23-2014 |
20140021564 | MICROELECTROMECHANICAL GYROSCOPE WITH ENHANCED REJECTION OF ACCELERATION NOISES - An integrated microelectromechanical structure is provided with a driving mass, anchored to a substrate via elastic anchorage elements and designed to be actuated in a plane with a driving movement; and a first sensing mass and a second sensing mass, suspended within, and coupled to, the driving mass via respective elastic supporting elements so as to be fixed with respect thereto in said driving movement and to perform a respective detection movement in response to an angular velocity. In particular, the first and the second sensing masses are connected together via elastic coupling elements, configured to couple their modes of vibration. | 01-23-2014 |
20140035071 | Substrate with Multiple Encapsulated Devices - A device with multiple encapsulated functional layers, includes a substrate, a first functional layer positioned above a top surface of the substrate, the functional layer including a first device portion, a first encapsulating layer encapsulating the first functional layer, a second functional layer positioned above the first encapsulating layer, the second functional layer including a second device portion, and a second encapsulating layer encapsulating the second functional layer. | 02-06-2014 |
20140042562 | MEMS Devices and Methods for Forming the Same - A device includes a Micro-Electro-Mechanical System (MEMS) wafer having a MEMS device therein. The MEMS device includes a movable element, and first openings in the MEMS wafer. The movable element is disposed in the first openings. A carrier wafer is bonded to the MEMS wafer. The carrier wafer includes a second opening connected to the first openings, wherein the second opening includes an entry portion extending from a surface of the carrier wafer into the carrier wafer, and an inner portion wider than the entry portion, wherein the inner portion is deeper in the carrier wafer than the entry portion. | 02-13-2014 |
20140042563 | INTEGRATED CIRCUIT WITH MEMS ELEMENT AND MANUFACTURING METHOD THEREOF - An integrated circuit comprising a MEMS (microelectromechanical system) element in a plane of the integrated circuit, the MEMS element being suspended in a cavity over a substrate, said cavity including a first cavity region in said plane spatially separating an edge of the MEMS element from a wall section, said edge being arranged to be displaced relative to the wall section; and a second cavity region in said plane forming part of a fluid path further including the first cavity region, said fluid path defining a first volume; and a third cavity region in said plane defining a second volume in fluid connection with the second cavity region, wherein the maximum width of the second cavity region is larger than the maximum width of the third cavity region, the second and third cavity regions having maximum widths that are larger than the maximum width of the first cavity region. | 02-13-2014 |
20140042564 | INTEGRATED-CIRCUIT SWITCH - A switch and the manufacturing method thereof are provided. The switch comprises a chip structure providing a one-piece bonding surface. An actuating member of a mechanical switch could receive an external force to contact the one-piece bonding surface so as to actuate the chip structure. | 02-13-2014 |
20140054728 | SEMICONDUCTOR STRUCTURES PROVIDED WITHIN A CAVITY AND RELATED DESIGN STRUCTURES - Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are disclosed. The method includes forming at least one Micro-Electro-Mechanical System (MEMS) cavity. The method for forming the cavity further includes forming at least one first vent hole of a first dimension which is sized to avoid or minimize material deposition on a beam structure during sealing processes. The method for forming the cavity further includes forming at least one second vent hole of a second dimension, larger than the first dimension. | 02-27-2014 |
20140054729 | MEMS DEVICE, ELECTRONIC APPARATUS, AND MANUFACTURING METHOD OF MEMS DEVICE - A MEMS device includes a first oxide film that is laminated on a main surface of a wafer substrate, a lower-layer wire portion that is provided on the first oxide film, a nitride film that is laminated so as to cover the first oxide film and the lower-layer wire portion, a sidewall portion that is laminated on the nitride film and is formed in a frame shape, a cavity portion that is partitioned by the sidewall portion, and a MEMS structure that is disposed in the cavity portion, in which the nitride film includes a through hole reaching the lower-layer wire portion, and in which the MEMS structure is electrically connected to the lower-layer wire portion by an electrical connection portion provided in the through hole. | 02-27-2014 |
20140054730 | SYSTEM AND METHOD FOR FORMING A BURIED LOWER ELECTRODE IN CONJUNCTION WITH AN ENCAPSULATED MEMS DEVICE - A system and method for forming a sensor device with a buried first electrode includes providing a first silicon portion with an electrode layer and a second silicon portion with a device layer. The first silicon portion and the second silicon portion are adjoined along a common oxide layer formed on the electrode layer of the first silicon portion and the device layer of the second silicon portion. The resulting multi-silicon stack includes a buried lower electrode that is further defined by a buried oxide layer, a highly-doped ion implanted region, or a combination thereof. The multi-silicon stack has a plurality of silicon layers and silicon dioxide layers with electrically isolated regions in each layer allowing for both the lower electrode and an upper electrode. The multi-silicon stack further includes a spacer that enables the lower electrode to be accessible from a topside of the sensor device. | 02-27-2014 |
20140054731 | MEMS PRESSURE SENSOR WITH MULTIPLE MEMBRANE ELECTRODES - In one embodiment, a MEMS sensor includes a first fixed electrode in a first layer, a cavity defined above the first fixed electrode, a membrane extending over the cavity, a first movable electrode defined in the membrane and located substantially directly above the first fixed electrode, and a second movable electrode defined at least partially within the membrane and located at least partially directly above the cavity. | 02-27-2014 |
20140061824 | MEMS PACKAGING SCHEME USING DIELECTRIC FENCE - A packaging scheme for MEMS device is provided. A method of packaging MEMS device in a semiconductor structure includes forming an insulation fence that surrounds the MEMS device on the semiconductor structure. The method further includes attaching a wafer of dielectric material to the insulation fence. The lid wafer, the insulation fence, and the semiconductor structure enclose the MEMS device. | 03-06-2014 |
20140070335 | ELECTRONIC DEVICE - According to one embodiment, an electronic device includes a drive circuit on a semiconductor substrate, an insulating region including a first insulating part provided on the semiconductor substrate and formed of interlayer insulating films, and a second insulating part provided on the first insulating part, and covering the drive circuit, an element for high-frequency, which is provided on the insulating region, is driven by the drive circuit, an interconnect including a first conductive part in the first insulating part, and a second conductive part in the second insulating part, and transmitting a drive signal from the drive circuit to the element for high-frequency, and a resistive element between the second conductive part and the element for high-frequency. | 03-13-2014 |
20140070336 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - Method for manufacturing a semiconductor device includes the steps of forming a lower electrode pattern on a substrate, forming a first interlayer insulating layer on the lower electrode pattern, forming an upper electrode pattern on the first interlayer insulating layer, forming a second interlayer insulating layer on the upper electrode pattern, forming an etch blocking layer on a side of the upper electrode pattern, wherein the etch blocking layer passes through the first interlayer insulating layer, forming a cavity which exposes the side of the etch blocking layer by etching the second interlayer insulating layer, and forming a contact ball in the cavity. | 03-13-2014 |
20140070337 | INTEGRATED CIRCUIT INCLUDING AN ENVIRONMENTAL SENSOR - An integrated circuit and a method of making the same. The integrated circuit includes a semiconductor substrate including at least one environmental sensor. The integrated circuit also includes a cap layer located on a major surface of the substrate. The integrated circuit further includes at least one elongate channel for allowing access of said sensor to an environment surrounding the integrated circuit. | 03-13-2014 |
20140070338 | Taxel-addressable matrix of vertical nanowire piezotronic transistors - A tactile sensing matrix includes a substrate, a first plurality of elongated electrode structures, a plurality of vertically aligned piezoelectric members, an insulating layer infused into the piezoelectric members and a second plurality of elongated electrode structures. The first plurality of elongated electrode structures is disposed on the substrate along a first orientation. The vertically aligned piezoelectric members is disposed on the first plurality of elongated electrode structures and form a matrix having columns of piezoelectric members disposed along the first orientation and rows of piezoelectric members disposed along a second orientation that is transverse to the first orientation. The second plurality of elongated electrode structures is disposed on the insulating layer along the second orientation. The elongated electrode structures form a Schottky contact with the piezoelectric members. When pressure is applied to the piezoelectric members, current flow therethrough is modulated. | 03-13-2014 |
20140070339 | THROUGH SILICON VIA INCLUDING MULTI-MATERIAL FILL - An apparatus includes a substrate having at least one via disposed in the substrate, wherein the substrate includes a trench having a substantially trapezoidal cross-section, the trench extending through the substrate between a lower surface of the substrate and an upper surface of the substrate, wherein the top of the trench opens to a top opening, and the bottom of the trench opens to a bottom opening, the top opening being larger than the bottom opening. The apparatus can include a mouth surrounding the top opening and extending between the upper surface and the top opening, wherein a mouth opening in the upper surface is larger than the top opening of the trench, wherein the via includes a dielectric layer disposed on an inside surface of a trench. The apparatus includes and a fill disposed in the trench, with the dielectric layer sandwiched between the fill and the substrate. | 03-13-2014 |
20140070340 | NORMALLY CLOSED MICROELECTROMECHANICAL SWITCHES (MEMS), METHODS OF MANUFACTURE AND DESIGN STRUCTURES - Normally closed (shut) micro-electro-mechanical switches (MEMS), methods of manufacture and design structures are provided. A structure includes a beam structure that includes a first end hinged on a first electrode and in electrical contact with a second electrode, in its natural state when not actuated. | 03-13-2014 |
20140077316 | WAFER BONDING AND RELATED METHODS AND APPARATUS - Techniques for bonding wafers together are described. The wafers may be bonded via a eutectic bond. In some instances, one wafer has an integrated circuit and a second wafer has a microelectromechanical systems (MEMS) feature. The wafer with an integrated circuit may have a metal formed thereon for bonding purposes and the wafer with the MEMS feature may have a semiconductor formed thereon for bonding purposes. | 03-20-2014 |
20140084392 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - After a TEOS oxide film is formed on the surface of a semiconductor device, a PSG film and an SiN film, which have air permeability, are formed on the surface of the TEOS oxide film. Thereafter, a Poly-Si film is formed thereon. A sacrifice layer is removed by a gaseous HF that passes through the PSG film, the SiN film, and the Poly-Si film, and then, the uppermost layer is covered with a Poly-Si/SiC film. A chip scale package having a thin-film hollow-seal structure can be realized on the semiconductor element. | 03-27-2014 |
20140084393 | MICRO ELECTRO MECHANICAL SYSTEMS DEVICE - Disclosed herein is a micro electro mechanical systems (MEMS) device including: a mass body; a first fixed part provided at an outer side of the mass body; and a first flexible part having one end connected to a distal end of the mass body and the other end connected to the first fixed part, wherein the mass body is rotatably connected to the first flexible part. | 03-27-2014 |
20140091404 | ACCELERATION SENSOR - A first sensor section installed in an acceleration sensor employs a first elastic member which is elastically movable according to acceleration in the first and third directions and is stiff against acceleration in second direction so as to restrict elasticity in second direction. Thereby, the first sensor section is provided as a biaxial acceleration sensor which detects the first and third directional acceleration according to a change of electrostatic capacity between a first weight (i.e. the first movable electrode) made movable according to acceleration and the first fixed electrode. A second sensor section installed in the acceleration sensor is structurally identical with the first sensor section and configured to detect acceleration in second and third directions. Thereby, such combination of the first sensor section and the second sensor section constitutes a three-dimensional acceleration sensor. | 04-03-2014 |
20140091405 | HYBRID INTEGRATED PRESSURE SENSOR COMPONENT - A pressure sensor component includes a MEMS component having at least one pattern element that is able to be deflected perpendicular to the component plane, which is equipped with at least one electrode of a measuring capacitor device, and an ASIC component having integrated circuit elements and at least one back end stack, at least one counter-electrode of the measuring capacitor device being developed in a metallization plane of the back end stack. The MEMS component is mounted on the back end pile of the ASIC component. The MEMS component includes at least one pressure-sensitive diaphragm pattern and is mounted on the ASIC component in such a way that the pressure-sensitive diaphragm pattern spans a cavity between the MEMS component and the back end stack of the ASIC component. | 04-03-2014 |
20140097508 | ACCELEROMETER AND ITS FABRICATION TECHNIQUE - An accelerometer has E-shaped resilient beams to isolate stress and reduce deformation. A top cap silicon wafer and a bottom cap silicon wafer are both coupled with a measurement mass to form a capacitor. The measurement mass has a mass, range-of-motion stops, and resilient beams located within a support frame. The range-of-motion stops are coupled to the support frame by connection beams, and the mass is coupled with the range-of-motion stops by groups of E-shaped resilient beams. The ends of each resilient beam are connected to the range-of-motion stops, and the middle of each resilient beam is connected to the mass. | 04-10-2014 |
20140103460 | MEMS Device and Method of Manufacturing a MEMS Device - A method for manufacturing a MEMS device is disclosed. Moreover a MEMS device and a module including a MEMS device are disclosed. An embodiment includes a method for manufacturing MEMS devices includes forming a MEMS stack on a first main surface of a substrate, forming a polymer layer on a second main surface of the substrate and forming a first opening in the polymer layer and the substrate such that the first opening abuts the MEMS stack. | 04-17-2014 |
20140103461 | MEMS Devices and Fabrication Methods Thereof - A method for fabricating a MEMS device includes providing a micro-electro-mechanical system (MEMS) substrate having a sacrificial layer on a first side, providing a carrier including a plurality of cavities, bonding the first side of the MEMS substrate on the carrier, forming a first bonding material layer on a second side of the MEMS substrate, applying a sacrificial layer removal process to the MEMS substrate, providing a semiconductor substrate including a second bonding material layer and bonding the semiconductor substrate on the second side of the MEMS substrate. | 04-17-2014 |
20140103462 | MEMS Devices and Methods for Forming the Same - A method includes forming a Micro-Electro-Mechanical System (MEMS) device on a front surface of a substrate. After the step of forming the MEMS device, a through-opening is formed in the substrate, wherein the through-opening is formed from a backside of the substrate. The through-opening is filled with a dielectric material, which insulates a first portion of the substrate from a second portion of the substrate. An electrical connection is formed on the backside of the substrate. The electrical connection is electrically coupled to the MEMS device through the first portion of the substrate. | 04-17-2014 |
20140103463 | MEMS SENSOR PACKAGE SYSTEMS AND METHODS - Embodiments relate to sensor and sensing devices, systems and methods. In an embodiment, a micro-electromechanical system (MEMS) device comprises at least one sensor element; a framing element disposed around the at least one sensor element; at least one port defined by the framing element, the at least one port configured to expose at least a portion of the at least one sensor element to an ambient environment; and a thin layer disposed in the at least one port. | 04-17-2014 |
20140110799 | ELECTRONIC DEVICE AND ITS MANUFACTURING METHOD - An electronic device includes a substrate, a sidewall that is disposed on the substrate and forms a cavity, a first layer that is disposed on the sidewall and covers the cavity, a second layer that is formed on the first layer and has a region disposed outside an outline of the first layer in a plan view, a dielectric layer disposed below the region of the second layer disposed outside the outline of the first layer in a plan view, and a functional element disposed inside the cavity. | 04-24-2014 |
20140110800 | Method for manufacturing a cap for a mems component, and hybrid integrated component having such a cap - A manufacturing method for a cap, for a hybrid vertically integrated component having a MEMS component a relatively large cavern volume having a low cavern internal pressure, and a reliable overload protection for the micromechanical structure of the MEMS component. A cap structure is produced in a flat cap substrate in a multistep anisotropic etching, and includes at least one mounting frame having at least one mounting surface and a stop structure, on the cap inner side, having at least one stop surface, the surface of the cap substrate being masked for the multistep anisotropic etching with at least two masking layers made of different materials, and the layouts of the masking layers and the number and duration of the etching steps being selected so that the mounting surface, the stop surface, and the cap inner side are situated at different surface levels of the cap structure. | 04-24-2014 |
20140117469 | TSV-MEMS COMBINATION - A through-substrate via (TSV)-MEMS combination includes a TSV die including a substrate and a plurality of TSVs which extend of a full thickness of the substrate. The TSV die includes a top side surface including circuitry and top side bonding pads thereon, a bottom side surface including bottom side bonding features thereon, and a through-hole through the full thickness of the substrate. A microelectromechanical systems (MEMS) die having a floating sensing structure including solder balls thereon is bound to the top side bonding pads or bottom side bonding features of the TSV die. A layer of adhesive material is surrounding the solder balls, which can provide a sealant ring for the TSV-MEMS bonds. | 05-01-2014 |
20140117470 | BACKSIDE BULK SILICON MEMS - An integrated circuit device that comprises a single semiconductor substrate, a device layer formed on a frontside of the single semiconductor substrate, a redistribution layer formed on a backside of the single semiconductor substrate, a through silicon via (TSV) formed within the single semiconductor substrate that is electrically coupled to the device layer and to the redistribution layer, a logic-memory interface (LMI) formed on a backside of the single semiconductor substrate that is electrically coupled to the redistribution layer, and a MEMS device formed on the backside of the single semiconductor substrate that is electrically coupled to the redistribution layer. | 05-01-2014 |
20140117471 | Micromechanical component having a bond joint - A micromechanical component includes a substrate and a first oxide layer on the substrate, the first oxide layer having an aperture. The component further includes a conductive functional layer, which is provided on the first oxide layer in the region of the aperture, and a metal layer, which is provided on the functional layer, for producing a bond joint. A second oxide layer is provided on lateral faces of the functional layer for insulating the functional layer. | 05-01-2014 |
20140117472 | MICROMECHANICAL COMPONENT - A micromechanical component includes a first space in which a first sensor is situated and a second space in which a second sensor is situated, different pressures prevailing in the first and second spaces, one of the two spaces extending via a third space to a first lattice structure which is situated in an edge region of the component and is essentially hermetically sealed. | 05-01-2014 |
20140124877 | CONDUCTIVE INTERCONNECT INCLUDING AN INORGANIC COLLAR - A conductive interconnect includes an inorganic collar. The conductive interconnect includes a conductive support layer. The conductive interconnect also includes a conductive material on the conductive support layer. The conductive interconnect further includes an inorganic collar partially surrounding the conductive material. The inorganic collar is also disposed on sidewalls of the conductive support layer. | 05-08-2014 |
20140131818 | Method for the Prevention of Suspended Silicon Structure Etching During Reactive Ion Etching - The present disclosure is directed to a device and its method of manufacture in which a protective region is formed below a suspended body. The protective region allows deep reactive ion etching of a bulk silicon body to form a MEMS device without encountering the various problems presented by damage to the silicon caused by backscattering of oxide during overetching periods of DRIE processes. | 05-15-2014 |
20140131819 | PROCESS FOR MANUFACTURING A LID FOR AN ELECTRONIC DEVICE PACKAGE, AND LID FOR AN ELECTRONIC DEVICE PACKAGE - A process for manufacturing a packaged microelectromechanical device includes: forming a lid having a face and a cavity open on the face; coating the face of the lid and walls of the cavity with a metal layer containing copper; and coating the metal layer with a protective layer. | 05-15-2014 |
20140131820 | METHOD OF FABRICATION OF AI/GE BONDING IN A WAFER PACKAGING ENVIRONMENT AND A PRODUCT PRODUCED THEREFROM - A method of bonding of germanium to aluminum between two substrates to create a robust electrical and mechanical contact is disclosed. An aluminum-germanium bond has the following unique combination of attributes: (1) it can form a hermetic seal; (2) it can be used to create an electrically conductive path between two substrates; (3) it can be patterned so that this conduction path is localized; (4) the bond can be made with the aluminum that is available as standard foundry CMOS process. This has the significant advantage of allowing for wafer-level bonding or packaging without the addition of any additional process layers to the CMOS wafer. | 05-15-2014 |
20140151820 | GAS-DIFFUSION BARRIERS FOR MEMS ENCAPSULATION - A technique for forming an encapsulated microelectromechanical system (MEMS) device includes forming an integrated circuit using a substrate, forming a barrier using the substrate, and forming a MEMS device using the substrate. The method includes encapsulating the MEMS device in a cavity. The barrier is disposed between the integrated circuit and the cavity and inhibits the integrated circuit from outgassing into the cavity. The barrier may be substantially impermeable to gas migration from the integrated circuit. | 06-05-2014 |
20140151821 | MEMS STRUCTURE WITH ADAPTABLE INTER-SUBSTRATE BOND - A MEMS structure incorporating multiple joined substrates and a method for forming the MEMS structure are disclosed. An exemplary MEMS structure includes a first substrate having a bottom surface and a second substrate having a top surface substantially parallel to the bottom surface of the first substrate. The bottom surface of the first substrate is connected to the top surface of the second substrate by an anchor, such that the anchor does not extend through either the bottom surface of the first substrate or the top surface of the second substrate. The MEMS structure may include a bonding layer in contact with the bottom surface of the first substrate, and shaped to at least partially envelop the anchor. | 06-05-2014 |
20140151822 | Structured Gap for a MEMS Pressure Sensor - A method of fabricating a pressure sensor includes performing a chemical vapor deposition (CVD) process to deposit a first sacrificial layer having a first thickness onto a substrate. A portion of the first sacrificial layer is then removed down to the substrate to form a central region of bare silicon. One of a thermal oxidation process and an atomic layer deposition process is then performed to form a second sacrificial layer on the substrate having a second thickness in the central region that is less than the first thickness. A cap layer is then deposited over the first and second sacrificial layers. The second sacrificial layer is removed from the central region, and the first and second sacrificial layers are removed from a perimeter region that at least partially surrounds the central region on the substrate to form a contiguous, structured gap between the cap layer and the substrate, the structured gap having a first width in the central region and a second width in the perimeter region with the second width being greater than the first width. | 06-05-2014 |
20140151823 | MEMS DEVICES AND METHODS OF FORMING SAME - The present invention provides a MEMS structure comprising confined sacrificial oxide layer and a bonded Si layer. Polysilicon stack is used to fill aligned oxide openings and MEMS vias on the sacrificial layer and the bonded Si layer respectively. To increase the design flexibility, some conductive polysilicon layer can be further deployed underneath the bonded Si layer to form the functional sensing electrodes or wiring interconnects. The MEMS structure can be further bonded to a metallic layer on top of the Si layer and the polysilicon stack. | 06-05-2014 |
20140159174 | FLOWMETER - A flowmeter that improves a corrosion resistance is provided. | 06-12-2014 |
20140167188 | REDUCING MEMS STICTION BY INTRODUCTION OF A CARBON BARRIER - A mechanism for reducing stiction in a MEMS device by decreasing an amount of carbon from TEOS-based silicon oxide films that can accumulate on polysilicon surfaces during fabrication is provided. A carbon barrier material film is deposited between one or more polysilicon layer in a MEMS device and the TEOS-based silicon oxide layer. This barrier material blocks diffusion of carbon into the polysilicon, thereby reducing accumulation of carbon on the polysilicon surfaces. By reducing the accumulation of carbon, the opportunity for stiction due to the presence of the carbon is similarly reduced. | 06-19-2014 |
20140167189 | REDUCING MEMS STICTION BY DEPOSITION OF NANOCLUSTERS - A mechanism for reducing stiction in a MEMS device by decreasing surface area between two surfaces that can come into close contact is provided. Reduction in contact surface area is achieved by increasing surface roughness of one or both of the surfaces. The increased roughness is provided by forming a micro-masking layer on a sacrificial layer used in formation of the MEMS device, and then etching the surface of the sacrificial layer. The micro-masking layer can be formed using nanoclusters. When a next portion of the MEMS device is formed on the sacrificial layer, this portion will take on the roughness characteristics imparted on the sacrificial layer by the etch process. The rougher surface decreases the surface area available for contact in the MEMS device and, in turn, decreases the area through which stiction can be imparted. | 06-19-2014 |
20140167190 | Monolithic Package for Housing Microelectromechanical Systems - A sensor package for a microelectromechanical system (MEMS) is provided. The sensor package comprises a slot for receiving a MEMS, a bonding area in, or adjacent to, the slot for bonding the MEMS to the package and at least one package electrode to engage an electrode pad on the MEMS. Each package electrode is in communication with a conductor pad for connecting the MEMS to an electronic device. | 06-19-2014 |
20140175571 | METHOD FOR MANUFACTURING A MICROMECHANICAL SYSTEM COMPRISING A REMOVAL OF SACRIFICIAL MATERIAL THROUGH A HOLE IN A MARGIN REGION - A method for manufacturing a micromechanical system includes creating a sacrificial layer at a substrate surface. A structural material is deposited at a sacrificial layer surface and at a support structure for later supporting the structural material. At least one hole is created in the structural material extending from an exposed surface of the structural material to the surface of the sacrificial layer. The at least one hole leads to a margin region of the sacrificial layer. The sacrificial layer is removed using a removal process through the at least one hole, to obtain a cavity between the surface of the substrate and the structural material. The method also includes filling the at least one hole and a portion of the cavity beneath the at least one hole close to the cavity. A corresponding micromechanical system and a microelectromechanical transducer are also described. | 06-26-2014 |
20140175572 | MEMS DEVICE WITH MULTIPLE ELECTRODES AND FABRICATING METHOD THEREOF - A MEMS device with a first electrode, a second electrode and a third electrode is disclosed. These electrodes are disposed on a substrate in such a manner that (1) a pointing direction of the first electrode is in parallel with a normal direction of the substrate, (2) a pointing direction of the third electrode is perpendicular to the pointing direction of the first electrode, (3) the second electrode includes a sensing portion and a stationary portion, (4) the first electrode and the sensing portion are configured to define a sensing capacitor, and (5) the third electrode and the stationary portion are configured to define a reference capacitor. This arrangement facilitates the MEMS device such as a differential pressure sensor, differential barometer, differential microphone and decoupling capacitor to be miniaturized. | 06-26-2014 |
20140183669 | RESONANT SENSOR WITH ASYMMETRIC GAPPED CANTILEVERS - A resonant sensor is provided. The resonant sensor may have a structure including a base portion, a mass portion, and a mechanical beam connecting the base portion to the mass portion. In addition, the structure may include a first sensing beam formed from a sensing material responsive to mechanical strain where a gap is formed between the sensing beam and the mechanical beam. | 07-03-2014 |
20140183670 | Capacitive Intravascular Pressure-Sensing Devices and Associated Systems and Methods - Intravascular devices, systems, and methods are disclosed. In some embodiments, the intravascular devices are guide wires that include a capacitive pressure-sensing component disposed at a distal portion of the guide wire. Methods of making such intravascular devices, including various manufacturing and assembling techniques, are disclosed. Systems associated with such intravascular devices and methods of using such devices and systems are also disclosed. | 07-03-2014 |
20140191341 | Method and Apparatus for a Semiconductor Structure - A semiconductor structure may include a first device having first surface with a first bonding layer formed thereon and a second device having a first surface with a second bonding layer formed thereon. The first bonding layer may provide an electrically conductive path to at least one electrical device in the first device. The second bonding layer may provide an electrically conductive path to at least one electrical device in the second device. One of the first or the second devices may include MEMS electrical devices. The first and/or the second bonding layers may be formed of a getter material, which may provide absorption for outgassing. | 07-10-2014 |
20140191342 | MEMS SENSOR - There is provided a MEMS sensor including a signal processing LSI equipped with a temperature sensor for measuring temperature of a sensor, and a MEMS sensor chip overlaid on the signal processing LSI, the MEMS sensor chip being mounted on a heat generating part of the signal processing LSI. This MEMS sensor decreases the effects caused by thermally triggered changes in temperature characteristics. | 07-10-2014 |
20140203379 | INTEGRATION OF LAMINATE MEMS IN BBUL CORELESS PACKAGE - An apparatus including a die including a first side and an opposite second side including a device side with contact points and lateral sidewalls defining a thickness of the die; a build-up carrier coupled to the second side of the die, the build-up carrier including a plurality of alternating layers of conductive material and insulating material, wherein at least one of the layers of conductive material is coupled to one of the contact points of the die; and at least one device within the build-up carrier disposed in an area void of a layer of patterned conductive material. A method and an apparatus including a computing device including a package including a microprocessor are also disclosed. | 07-24-2014 |
20140210018 | MICROELECTROMECHANICAL SYSTEM DEVICES HAVING CRACK RESISTANT MEMBRANE STRUCTURES AND METHODS FOR THE FABRICATION THEREOF - Methods for fabricating crack resistant Microelectromechanical (MEMS) devices are provided, as are MEMS devices produced pursuant to such methods. In one embodiment, the method includes forming a sacrificial body over a substrate, producing a multi-layer membrane structure on the substrate, and removing at least a portion of the sacrificial body to form an inner cavity within the multi-layer membrane structure. The multi-layer membrane structure is produced by first forming a base membrane layer over and around the sacrificial body such that the base membrane layer has a non-planar upper surface. A predetermined thickness of the base membrane layer is then removed to impart the base membrane layer with a planar upper surface. A cap membrane layer is formed over the planar upper surface of the base membrane layer. The cap membrane layer is composed of a material having a substantially parallel grain orientation. | 07-31-2014 |
20140210019 | LOW-COST PACKAGE FOR INTEGRATED MEMS SENSORS - An integrated MEMS sensor package is disclosed. The package comprises a sensor chip with a top surface and a bottom surface. The top surface comprises an opening. The bottom surface is attached to a substrate with electrical inter-connects. A lid is coupled to the top surface with an adhesive material. The lid may have an opening to expose the sensor chip to ambient environment. | 07-31-2014 |
20140217521 | MEMS Device With Stress Relief Structures - An encapsulated MEMS device includes stress-relief trenches in a region of its substrate that surrounds the movable micromachined structures and that is covered by a cap, such that the trenches are fluidly exposed to a cavity between the substrate and the cap. A method of fabricating a MEMS device includes fabricating stress-relief trenches through a substrate and fabricating movable micromachined structures, and capping the device prior art encapsulating the device. | 08-07-2014 |
20140231934 | ELECTRICAL COMPONENT AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, an electrical component comprises a substrate, a functional element formed on the substrate, a first layer configured to form a cavity which stores the functional element on the substrate, the first layer having through holes, the first layer having a first recessed portion and a first projecting portion on an upper surface thereof, and the first layer having different film thicknesses in a direction perpendicular to a surface of the substrate, and a second layer formed on the first layer and configured to close the through holes. | 08-21-2014 |
20140231935 | MULTI-AXIAL ACCELERATION SENSOR AND METHOD OF MANUFACTURING THE SAME - The present invention provides a multi-axial acceleration sensor and a method of manufacturing the multi-axial acceleration sensor. The method includes: providing a substrate having a lead plane; disposing a first sensor chip onto the lead plane, wherein a wire bonding plane of the first sensor chip is perpendicular to the lead plane; and disposing a second sensor chip onto the lead plane, wherein a wire bonding plane of the second sensor chip is in parallel with the lead plane. | 08-21-2014 |
20140231936 | MICRO-ELECTRO-MECHANICAL SYSTEM (MEMS) AND RELATED ACTUATOR BUMPS, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are provided. The method of forming a MEMS structure includes forming fixed actuator electrodes and a contact point on a substrate. The method further includes forming a MEMS beam over the fixed actuator electrodes and the contact point. The method further includes forming an array of actuator electrodes in alignment with portions of the fixed actuator electrodes, which are sized and dimensioned to prevent the MEMS beam from collapsing on the fixed actuator electrodes after repeating cycling. The array of actuator electrodes are formed in direct contact with at least one of an underside of the MEMS beam and a surface of the fixed actuator electrodes. | 08-21-2014 |
20140231937 | METHOD FOR MANUFACTURING A PROTECTIVE LAYER AGAINST HF ETCHING, SEMICONDUCTOR DEVICE PROVIDED WITH THE PROTECTIVE LAYER AND METHOD FOR MANUFACTURING THE SEMICONDUCTOR DEVICE - A method for manufacturing a protective layer for protecting an intermediate structural layer against etching with hydrofluoric acid, the intermediate structural layer being made of a material that can be etched or damaged by hydrofluoric acid, the method comprising the steps of: forming a first layer of aluminium oxide, by atomic layer deposition, on the intermediate structural layer; performing a thermal crystallization process on the first layer of aluminium oxide, forming a first intermediate protective layer; forming a second layer of aluminium oxide, by atomic layer deposition, above the first intermediate protective layer; and performing a thermal crystallization process on the second layer of aluminium oxide, forming a second intermediate protective layer and thereby completing the formation of the protective layer. The method for forming the protective layer can be used, for example, during the manufacturing steps of an inertial sensor such as a gyroscope or an accelerometer. | 08-21-2014 |
20140239421 | SURFACE CHARGE MITIGATION LAYER FOR MEMS SENSORS - A semiconductor device includes a substrate. At least one transducer is provided on the substrate. The at least one transducer includes at least one electrically conductive circuit element. A dielectric layer is deposited onto the substrate over the at least one transducer. A surface charge mitigation layer formed of a conductive material is deposited onto the outer surface of the dielectric layer with the surface charge mitigation layer being electrically coupled to ground potential. The surface charge mitigation layer may be deposited to a thickness of 10 nm or less, and the transducer may comprise a microelectromechanical systems (MEMS) device, such as a MEMS pressure sensor. The surface charge mitigation layer may be patterned to include pores to enhance the flexibility as well as the optical properties of the mitigation layer. | 08-28-2014 |
20140239422 | ELECTRONIC DEVICE, PACKAGE, ELECTRONIC APPARATUS, AND MOVING OBJECT - A physical quantity sensor includes an IC chip and a package base mounted with the IC chip. The package base includes a first wiring layer provided with bonding pads connected to the IC chip via a bonding wire, a second wiring layer overlapping the first wiring layer in plan view, and an insulating layer provided between the first wiring layer and the second wiring layer. A contour of a wiring pattern provided on the second wiring layer (of the second wiring layer) is arranged in a position not overlapping the bonding pads in plan view. | 08-28-2014 |
20140246737 | MEMS VIBRATOR, METHOD OF MANUFACTURING MEMS VIBRATOR, ELECTRONIC DEVICE, AND MOVING OBJECT - A MEMS vibrator includes an insulating portion, a first electrode provided on one surface of the insulating portion, a fixed portion, and a function portion, a second electrode provided so that at least a portion thereof overlaps the first electrode at a distance therefrom. The second electrode comes into contact with the function portion and extends from the fixed portion. | 09-04-2014 |
20140252506 | SEMI-CONDUCTOR SENSOR FABRICATION - Methods of fabricating semiconductor sensor devices include steps of fabricating a hermetically sealed MEMS cavity enclosing a MEMS sensor, while forming conductive vias through the device. The devices include a first semi-conductor layer defining at least one conductive via lined with an insulator and having a lower insulating surface; a central dielectric layer above the first semiconductor layer; a second semiconductor layer in contact with the at least one conductive via, and which defines a MEMS cavity; a third semiconductor layer disposed above the second semiconductor layer, and which includes a sensor element aligned with the MEMS cavity; a cap bonded to the third semiconductor to enclose and hermetically seal the MEMS cavity; wherein the third semiconductor layer separates the cap and the second semiconductor layer. | 09-11-2014 |
20140252507 | SELF-SEALING MEMBRANE FOR MEMS DEVICES - Embodiments of the present disclosure are related to MEMS devices having a suspended membrane that are secured to and spaced apart from a substrate with a sealed cavity therebetween. The membrane includes openings with sidewalls that are closed by a dielectric material. In various embodiments, the cavity between the membrane and the substrate is formed by removing a sacrificial layer through the openings. In one or more embodiments, the openings in the membrane are closed by depositing the dielectric material on the sidewalls of the openings and the upper surface of the membrane. | 09-11-2014 |
20140252508 | MEMS Device with a Capping Substrate - An integrated circuit device includes a dielectric layer disposed onto a first substrate, the dielectric layer having a sacrificial cavity formed therein. The circuit also includes a membrane layer formed onto the dielectric layer and suspended over the sacrificial cavity, and a capping substrate bonded to the membrane layer such that a second cavity is formed, the second cavity being connected to the sacrificial cavity though a via formed into the membrane layer. | 09-11-2014 |
20140252509 | MEMS DEVICE AND CORRESPONDING MICROMECHANICAL STRUCTURE WITH INTEGRATED COMPENSATION OF THERMO-MECHANICAL STRESS - A micromechanical structure of a MEMS device, integrated in a die of semiconductor material provided with a substrate and having at least a first axis of symmetry lying in a horizontal plane, has a stator structure, which is fixed with respect to the substrate, and a rotor structure, having a suspended mass, mobile with respect to the substrate and to the stator structure as a result of an external action, the stator structure having fixed sensing electrodes capacitively coupled to the rotor structure; a compensation structure is integrated in the die for compensation of thermo-mechanical strains. The compensation structure has stator compensation electrodes, which are fixed with respect to the substrate, are capacitively coupled to the rotor structure, and are arranged symmetrically to the fixed sensing electrodes with respect to the first axis of symmetry. | 09-11-2014 |
20140252510 | SIGNAL BOOSTING APPARATUS AND METHOD OF BOOSTING SIGNALS - A signal boosting apparatus and a method of boosting signals applied in the MEMS are disclosed. The signal boosting apparatus includes a substrate, an oxide layer, and a signal transmission layer. The substrate has a doped region. The doped region has a plurality of conductive carriers. These conductive carriers have the same polarity as an electronic signal. The oxide layer is located on the substrate, and the signal transmission layer is located on the oxide layer. The signal transmission layer can receive and boost the electronic signal. | 09-11-2014 |
20140252511 | MEMS APPARATUS - A MEMS apparatus includes a pillar, a supporter, and a solder. The pillar has a first side and a second side opposite to the first side. The supporter supports the pillar. The supporter is adjacent to the pillar, but the supporter is not connected to the pillar. The supporter has a third side and a fourth side opposite to the third side. The supporter includes a plurality of first confined layers and a plurality of second confined layers. These first confined layers and these second confined layers are overlapped with each other. The second side and the third side are adjacent to each other. The solder is located between the second side and the third side. The solder is also located at the first side and the fourth side. The solder is utilized to combine the pillar and the supporter. The solder also isolates the pillar and the supporter. | 09-11-2014 |
20140264643 | METHODS OF FORMING BURIED ELECTROMECHANICAL STRUCTURES COUPLED WITH DEVICE SUBSTRATES AND STRUCTURES FORMED THEREBY - Methods of forming integrated MEMS structures are described. Those methods and structures may include forming at least one MEMS structure on a first substrate, forming a first bonding layer on a top surface of the first substrate, and then coupling the first bonding layer disposed on the first substrate to a second substrate, wherein the second substrate comprises a device layer. The bonding may comprise a layer transfer process, wherein an integrated MEMS device is formed. | 09-18-2014 |
20140264644 | MEMS Method and Structure - MEMS structures and methods utilizing a locker film are provided. In an embodiment a locker film is utilized to hold and support a moveable mass region during the release of the moveable mass region from a surrounding substrate. By providing additional support during the release of the moveable mass, the locker film can reduce the amount of undesired movement that can occur during the release of the moveable mass, and preventing undesired etching of the sidewalls of the moveable mass. | 09-18-2014 |
20140264645 | INTEGRATED STRUCTURE WITH BIDIRECTIONAL VERTICAL ACTUATION - A Micro-Electro-Mechanical Systems (MEMS) device includes a first substrate with a first surface and a second surface, the first substrate including a base layer, a moveable beam disposed on the base layer, at least one metal layer, and one or more standoffs disposed on the base layer such that one or more metal layers are situated on the top surface of the one or more standoffs. The MEMS device further includes a second substrate including one or more metal layers bonded to the one or more standoffs resulting in an electrical connection between at least a portion of the one or more metal layers of the second substrate and one or more of the at least one electrode on the bottom surface and the at least one electrode on the top surface. | 09-18-2014 |
20140264646 | Microelectromechanical system and method - A microelectromechanical system, including a first element and a second element, the first element having a first conductive surface facing a second conductive surface of the second element; wherein at least one of the first element and the second element is operable to constrainedly move nearer and farther from the other element; and at least one insulating separating member which is operable to mechanically maintain a separation between the first surface and the second surface, wherein a minimal distance between a first projection of a first contact area of the insulating separating member and a second projection of a second contact area of the insulating separating member is larger than a minimal separation maintained by the insulating separating member between the first element and the second element. | 09-18-2014 |
20140264647 | METHOD OF FORMING MONOLITHIC CMOS-MEMS HYBRID INTEGRATED, PACKAGED STRUCTURES - A method of forming a monolithic CMOS-MEMS hybrid integrated, packaged device comprising the steps of: providing a semiconductor substrate; forming MEMS or NEMS materials on the substrate having conductive, structural, or dielectric layers; forming at least one opening(s) on the semiconductor substrate; positioning on the substrate at least one prefabricated MEMS, NEMS, or semiconductor chip(s), wherein the chip(s) comprise a side facing the substrate; applying at least one filler material(s) in the opening(s) on the semiconductor substrate; applying at least one metallization layer electrically connecting chip(s) to the MEMS or NEMS materials; and performing at least one micro or nano fabrication etching step to remove a portion of the MEMS or NEMS materials. | 09-18-2014 |
20140264648 | MEMS Integrated Pressure Sensor Devices and Methods of Forming Same - A method embodiment includes providing a micro-electromechanical (MEMS) wafer including a polysilicon layer having a first and a second portion. A carrier wafer is bonded to a first surface of the MEMS wafer. Bonding the carrier wafer creates a first cavity. A first surface of the first portion of the polysilicon layer is exposed to a pressure level of the first cavity. A cap wafer is bonded to a second surface of the MEMS wafer opposite the first surface of the MEMS wafer. The bonding the cap wafer creates a second cavity comprising the second portion of the polysilicon layer and a third cavity. A second surface of the first portion of the polysilicon layer is exposed to a pressure level of the third cavity. The first cavity or the third cavity is exposed to an ambient environment. | 09-18-2014 |
20140264649 | MICROMECHANICAL STRUCTURE AND CORRESPONDING PRODUCTION PROCESS - A micromechanical structure includes a substrate, a micromechanical functional structure, and a conductor track arrangement. The substrate has a top side, and the micromechanical functional structure is formed in the substrate on the top side. The conductor track arrangement is formed above the top side of the substrate, and the conductor track arrangement includes at least two insulation layers of non-conductive material and a conductor track layer of conductive material located between the at least two insulation layers. | 09-18-2014 |
20140284729 | ELECTRICAL COMPONENT AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, an electrical component comprises a substrate, a functional element formed on the substrate, a first layer which includes through holes, and forms a cavity that stores the functional element on the substrate, and a second layer which is formed on the first layer, and closes the through holes. The first layer includes a first film, a second film on the first film, and a third film on the second film. A Young's modulus of the second film is higher than a Young's modulus of the first film and the third film. | 09-25-2014 |
20140284730 | MEMS DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a MEMS device comprises a first electrode provided on a support substrate, a burying insulating film formed at the sides of the first electrode, and a second electrode opposed to the first electrode, having ends extending outside the ends of the first electrode and able to move in the direction it is opposed to the first electrode. | 09-25-2014 |
20140284731 | SEMICONDUCTOR DEVICE - A semiconductor device includes a substrate that is made of a semiconductor material and has a main surface formed with a recess. The semiconductor device also includes a wiring layer formed on the substrate, an electronic element housed in the recess, and a sealing resin covering at least a part of the electronic element. | 09-25-2014 |
20140291779 | Semiconductor Devices and Methods for Manufacturing Semiconductor Devices - A method includes a step of performing a time multiplexed etching process, wherein the last etching step of the time multiplexed etching process is of a first time duration. After performing the time multiplexed etching process, an etching step having a second time duration is performed, wherein the second time duration is greater than the first time duration. | 10-02-2014 |
20140291780 | MEMS DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a MEMS device including a first electrode provided on a support substrate, a second electrode opposed to the first electrode, having at least one end part overlapping the first electrode, and able to move in a direction it is opposed to the first electrode, and beam parts provided on the support substrate and supporting the second electrode. The surface of that part of the first electrode, which opposes the end part of the second electrode, is set at a lower level than the surface of that part of the second electrode, which opposes a center part of the second electrode. | 10-02-2014 |
20140291781 | METHOD OF PACKAGING A MEMS TRANSDUCER DEVICE AND PACKAGED MEMS TRANSDUCER DEVICE - A packaged MEMS transducer device comprising: a die, including: a semiconductor body having a front side and a back side, opposite to one another in a first direction, at least one cavity extending through the semiconductor body between the front side and the back side, and at least one membrane extending on the front side at least partially suspended over the cavity; and a package designed to house the die on an inner surface thereof. The transducer device moreover includes a sealing layer extending on the back side of the semiconductor body for sealing the cavity, and includes a paste layer extending between the sealing layer and the inner surface of the package for firmly coupling the die to the package. | 10-02-2014 |
20140299947 | INERTIAL ANGULAR SENSOR OF BALANCED MEMS TYPE AND METHOD FOR BALANCING SUCH A SENSOR - An inertial angular sensor of MEMS type has a support of at least two masses which are mounted movably with respect to the support, at least one electrostatic actuator and at least one electrostatic detector. The masses are suspended in a frame itself connected by suspension means to the support. The actuator and the detector are designed to respectively produce and detect a vibration of the masses, and a method for balancing such a sensor provided with at least one load detector mounted between the frame and the support and with at least one electrostatic spring placed between the frame and one of the masses and slaved so as to ensure dynamic balancing of the sensor as a function of a measurement signal of the load sensor. | 10-09-2014 |
20140312435 | MEMS DEVICE WITH STRESS ISOLATION AND METHOD OF FABRICATION - A MEMS device ( | 10-23-2014 |
20140312436 | METHOD OF FABRICATING MEMS DEVICE HAVING RELEASE ETCH STOP LAYER - A method of fabricating a microelectromechanical (MEMS) device includes bonding a transducer wafer to a substrate wafer along a bond interface. An unpatterned transducer layer included within the transducer wafer is patterned. A release etch process is then performed during which a sacrificial layer is exposed to a selected release etchant to remove at a least a portion of the sacrificial layer through the openings in the patterned transducer layer. A release etch stop layer is formed between the sacrificial layer and the bond interface prior to exposing the sacrificial layer to the release etchant. The release etch stop layer prevents the ingress of the selected release etchant into the region of the MEMS device containing the bond interface during the release etch process. | 10-23-2014 |
20140312437 | ELECTRONIC DEVICE, INTEGRATED CIRCUIT, ELECTRONIC APPARATUS, AND MOVING OBJECT - An electronic device includes a vibrating element that detects a predetermined physical quantity, an integrated circuit that is electrically connected to the vibrating element, and a ceramic package. The ceramic package is provided with a first external terminal and a second external terminal to which a constant potential is supplied. The first external terminal is electrically connected to the second external terminal in a first mode, and is electrically connected to an internal node of the integrated circuit in a second mode. | 10-23-2014 |
20140312438 | PHYSICAL QUANTITY SENSOR, ELECTRONIC APPARATUS, AND MOVING OBJECT - A physical quantity sensor includes a sensor element, an integrated circuit that is electrically connected to the sensor element, and a ceramic package (base body) on which the integrated circuit is mounted. A first conductor pattern (interconnection pattern) for electrical connection with the outside is provided on one surface of the ceramic package. A second conductor pattern is provided to be electrically connected to the interconnection pattern. The second conductor pattern includes an interconnection pattern that passes through the inside of the ceramic package, and a metallized region that is exposed on the other surface of the ceramic package. The interconnection pattern is longer than a distance between the one surface and the other surface of the ceramic package. | 10-23-2014 |
20140319627 | CHIP PACKAGE AND A METHOD OF MANUFACTURING THE SAME - In various embodiments, a chip package is provided. The chip package may include at least one chip having a plurality of pressure sensor regions and encapsulation material encapsulating the chip. | 10-30-2014 |
20140319628 | PHYSICAL QUANTITY DETECTION DEVICE AND PHYSICAL QUANTITY DETECTOR - A physical quantity detection device includes a glass substrate, a substrate including a physical quantity detection part and bonded to a first surface of the glass substrate with a hermetically sealed space being formed inside the substrate, and a function membrane formed on a second surface of the glass substrate opposite to the first surface. The function membrane prevents the second surface of the glass substrate from coming into contact with moisture in the atmosphere. | 10-30-2014 |
20140332909 | INTEGRATED CHIP WITH MICRO-ELECTRO-MECHANICAL SYSTEM AND INTEGRATED CIRCUIT MOUNTED THEREIN AND METHOD FOR MANUFACTURING THE SAME - The invention relates to an integrated chip with an MEMS and an integrated circuit mounted therein and a method for manufacturing the same. The method includes the steps of: S | 11-13-2014 |
20140339653 | SENSOR CHIP HAVING A MICRO INDUCTOR STRUCTURE - A sensor chip has a supporting structure layer and a micro-inductor layer formed on the supporting structure layer and having an inductance. The micro-inductor layer comprises an insulating layer, at least one magnetic layer, and a micro-coil layer. When an external physical quantity is applied on sensor chip, the micro-inductor layer can deform correspondingly to generate a variation of the inductance. The variation of the inductance can be measured by an inductance measurement circuit. The inductance measurement circuit can be an external circuit or be integrated into the sensor chip. | 11-20-2014 |
20140339654 | MICROPATTERNED COMPONENT AND METHOD FOR MANUFACTURING A MICROPATTERNED COMPONENT - A micropatterned component, for measuring accelerations and/or yaw rates, including a substrate having a principal plane of extension of the substrate, an electrode, and a further electrode; the electrode having a principal plane of extension of the electrode, and the further electrode having a principal plane of extension of the further electrode; the principal plane of extension of the electrode being set parallelly to a normal direction perpendicular to the principal plane of extension of the substrate; the principal plane of extension of the further electrode being set parallelly to the normal direction; the electrode having an electrode height extending in the normal direction; the electrode having a flow channel extending completely through the electrode in a direction parallel to the principal plane of extension of the substrate; the flow channel having a channel depth extending parallelly to the normal direction; the channel depth being less than the electrode height. | 11-20-2014 |
20140339655 | MEMS PACKAGE STRUCTURE - A MEMS package structure, including a substrate, an interconnecting structure, an upper metallic layer, a deposition element and a packaging element is provided. The interconnecting structure is disposed on the substrate. The MEMS structure is disposed on the substrate and within a first cavity. The upper metallic layer is disposed above the MEMS structure and the interconnecting structure, so as to form a second cavity located between the upper metallic layer and the interconnecting structure and communicates with the first cavity. The upper metallic layer has at least a first opening located above the interconnecting structure and at least a second opening located above the MEMS structure. Area of the first opening is greater than that of the second opening. The deposition element is disposed above the upper metallic layer to seal the second opening. The packaging element is disposed above the upper metallic layer to seal the first opening. | 11-20-2014 |
20140339656 | MEMS PRESSURE TRANSDUCER ASSEMBLY - An assembly ( | 11-20-2014 |
20140346619 | DETECTING SUDDEN CHANGES IN ACCELERATION IN SEMICONDUCTOR DEVICE OR SEMICONDUCTOR PACKAGING CONTAINING SEMICONDUCTOR DEVICE - An approach for detecting sudden changes in acceleration in a semiconductor device or semiconductor package containing the semiconductor device is disclosed. In one embodiment, a piezoelectric sensor is embedded in a semiconductor die. The piezoelectric sensor is configured to sense a mechanical force applied to the semiconductor die. An excessive force indicator is coupled to the piezoelectric sensor. The excessive force indicator is configured to generate an excessive force indication in response to the piezoelectric sensor sensing that the mechanical force applied to the semiconductor die has exceeded a predetermined threshold indicative of an excessive mechanical force. | 11-27-2014 |
20140353772 | MICROELECTRONIC PACKAGES INCLUDING PATTERNED DIE ATTACH MATERIAL AND METHODS FOR THE FABRICATION THEREOF - Embodiments of microelectronic packages and methods for fabricating microelectronic packages are provided. In one embodiment, the fabrication method includes printing a patterned die attach material onto the backside of a wafer including an array of non-singulated microelectronic die each having an interior keep-out area, such as a central keep-out area. The die attach material, such as a B-stage epoxy, is printed onto the wafer in a predetermined pattern such that the die attach material does not encroaching into the interior keep-out areas. The wafer is singulated to produce singulated microelectronic die each including a layer of die attach material. The singulated microelectronic die are then placed onto leadframes or other package substrates with the die attach material contacting the package substrates. The layer of die attach material is then fully cured to adhere an outer peripheral portion of the singulated microelectronic die to its package substrate. | 12-04-2014 |
20140353773 | METHOD FOR FORMING A SUSPENDED MEMBRANE - The present disclosure is directed to a device that includes a substrate and a sensor formed on the substrate. The sensor includes a chamber formed from a plurality of integrated cavities, a membrane above the substrate, the membrane having a plurality of openings, each opening positioned above one of the cavities, and a plurality of diamond shaped anchors positioned between the membrane and the substrate, the anchors positioned between each of the cavities. A center of each opening is also a center of one of the cavities. | 12-04-2014 |
20140353774 | METHODS FOR STICTION REDUCTION IN MEMS SENSORS - A method of the invention includes reducing stiction of a MEMS device by providing a conductive path for electric charge collected on a bump stop formed on a substrate. The bump stop is formed by depositing and patterning a dielectric material on the substrate, and the conductive path is provided by a conductive layer deposited on the bump stop. The conductive layer can also be roughened to reduce stiction. | 12-04-2014 |
20140353775 | WAFER-LEVEL PACKAGING OF INTEGRATED DEVICES, AND MANUFACTURING METHOD THEREOF - A wafer-level packaging, comprising: a first semiconductor body integrating a MEMS structure; a second semiconductor body, including a surface electrical-contact region and an ASIC coupled to the MEMS structure and to said electrical-contact region; a first coating layer, made of resin, which englobes and protects the first body, the second body, and the electrical-contact region; at least one first conductive through via, which extends through the first coating layer in an area corresponding, and electrically coupled, to the first electrical-contact region; an electrical-contact pad, which extends over the first coating layer, electrically coupled to the first conductive through via; a third semiconductor body, integrating an electronic circuit, glued on the first coating layer; a second coating layer, made of resin, which englobes and protects the third body; at least one second conductive through via, which extends completely through the second coating layer in an area corresponding, and electrically coupled, to the electrical-contact pad; and a further electrical-contact pad electrically coupled to the second conductive through via. | 12-04-2014 |
20140353776 | MEMS Structure with Adaptable Inter-Substrate Bond - A MEMS structure incorporating multiple joined substrates and a method for forming the MEMS structure are disclosed. An exemplary MEMS structure includes a first substrate having a bottom surface and a second substrate having a top surface substantially parallel to the bottom surface of the first substrate. The bottom surface of the first substrate is connected to the top surface of the second substrate by an anchor, such that the anchor does not extend through either the bottom surface of the first substrate or the top surface of the second substrate. The MEMS structure may include a bonding layer in contact with the bottom surface of the first substrate, and shaped to at least partially envelop the anchor. | 12-04-2014 |
20140353777 | ELECTRICAL DEVICE INCLUDING A FUNCTIONAL ELEMENT IN A CAVITY - A substrate includes a functional element. An insulating first film forms a cavity which stores the functional element, together with the substrate, and includes a plurality of through-holes. An insulating second film covers the plurality of through-holes, is formed on the first film, and has a gas permeability which is higher than that of the first film. An insulating third film is formed on the second film and has a gas permeability which is lower than the second film. An insulating fourth film is formed on the third film and has an elasticity which is larger than the third film. | 12-04-2014 |
20140353778 | CAPACITIVE PRESSURE SENSING SEMICONDUCTOR DEVICE - A capacitive pressure sensing semiconductor device is provided, which has pressure resistance against pressure applied by a pressing member and can detect the pressure surely and efficiently. The pressure sensing semiconductor device includes a pressure detecting part, which detects pressure as a change in capacitance, and a package that receives the pressure detecting part within. The pressure detecting part includes a first electrode and a second electrode disposed to oppose the first electrode, with a determined distance therebetween. Capacitance is formed between the first electrode and the second electrode, and changes according to a change in said distance caused by pressure transmitted to the first electrode by a pressing member. The package also includes a pressure transmitting member that transmits, to the first electrode of the pressure detecting part, the pressure applied by the pressing member. | 12-04-2014 |
20140361387 | CHIP ARRANGEMENT AND METHOD FOR MANUFACTURING A CHIP ARRANGEMENT - A chip arrangement may include: a mold compound; and a microelectromechanical systems device at least partially embedded in the mold compound. | 12-11-2014 |
20140367805 | MEMS structure and method of forming the same - A method of forming a MEMS structure, in which an etch stop layer is formed to be buried within the inter-dielectric layer and, during an etch of the substrate and the inter-dielectric layer from backside to form a chamber, the etch stop layer protect the remaining inter-dielectric layer. The chamber thus formed has an opening at a backside of the substrate, a ceiling opposite to the opening, and a sidewall joining the ceiling. The sidewall may further include a portion of the etch stop layer. | 12-18-2014 |
20140367806 | FUNCTIONAL ELEMENT, ELECTRONIC APPARATUS, AND MOVING OBJECT - A functional element includes a first electrode section, a second electrode section, a first wiring line connected to the first electrode section, and a second wiring line connected to the second electrode section, the first wiring line is provided with at least one first intersecting section intersecting with a wiring line other than the second wiring line, the second wiring line includes at least one second intersecting section intersecting with a wiring line other than the first wiring line, and a difference between a number of the first intersecting sections and a number of the second intersecting sections satisfies a condition one of equal to and lower than 50% with respect to larger one of the number of the first intersecting sections and the number of the second intersecting sections. | 12-18-2014 |
20140367807 | ELECTRIC DEVICE AND METHOD OF MANUFACTURING THE SAME - There is provided an electric device including a base member, a beam elastically deformable to bend upward and having an outline partially defined by a slit formed in the base member, a conductive pattern provided on a top surface of the beam, a contact electrode provided above the conductive pattern, the contact electrode coming into contact with the conductive pattern, and a bridge electrode elastically deformable, the bridge electrode connecting the conductive pattern and a portion of the base member outside the outline. | 12-18-2014 |
20140374846 | INTEGRATED SOI PRESSURE SENSOR HAVING SILICON STRESS ISOLATION MEMBER - In one embodiment a pressure sensor is provided. The pressure sensor includes a housing having an input port configured to allow a media to enter the housing. A support is mounted within the housing, the support defining a first aperture extending therethrough. A stress isolation member is mounted within the first aperture of the support, the stress isolation member defining a second aperture extending therethrough, wherein the stress isolation member is composed of silicon. sensor die bonded to the stress isolation member. The sensor die includes a silicon substrate having an insulator layer on a first side of the silicon substrate; and sensing circuitry disposed in the insulator layer on the first side, wherein a second side of the silicon substrate is exposed to the second aperture of the stress isolation member and the second side is reverse of the first side. | 12-25-2014 |
20140374847 | PACKAGING METHOD FOR MEMS DEVICES - In a method of packaging micro-electro-mechanical systems (MEMS) devices, an interposer board is provided having a first surface and an opposing second surface, with the interposer board including a plurality of electrical contacts on the second surface. A plurality of shim layers are bonded to the first surface of the interposer board, and a plurality of MEMS dies are each separately bonded to a respective one of the shim layers. Each of the MEMS dies are electrically connected to the interposer board by wire bonding. A plurality of covers are attached to the first surface of the interposer board over each of the MEMS dies to produce packaged MEMS devices. Each of the MEMS dies resides in a sealed cavity defined by a respective one of the covers and are substantially isolated from thermal stress. | 12-25-2014 |
20140374848 | SEMICONDUCTOR SENSOR DEVICE WITH METAL LID - A semiconductor sensor device is packaged using a lid in which one or more dies are mounted to a substrate within the lid housing and one or more other dies are mounted to the substrate outside of the lid housing. The dies located outside of the lid housing may be encapsulated in a molding compound. In one embodiment, the lid has a vent hole and an active region of a pressure-sensing die located inside the lid housing is covered by a pressure-sensitive gel that together enable ambient atmospheric pressure immediately outside the sensor device to reach the active region of the pressure-sensing die. The sensor device may also have one or more other types of sensor dies, such as an acceleration-sensing die, to form a multi-sensor device. | 12-25-2014 |
20140374849 | ANGULAR RATE SENSOR WITH QUADRATURE ERROR COMPENSATION - An angular rate sensor includes a substrate, a drive mass flexibly coupled to the substrate, and a sense mass suspended above the substrate and flexibly coupled to the drive mass via flexible support elements. An electrode structure is mechanically coupled to, but electrically isolated from, the drive mass and is spaced apart from the substrate so that it is not in contact with the substrate. The electrode structure is configured to produce a signal that indicates movement of the sense mass relative to the electrode when the sensor is subjected to angular velocity. When the angular rate sensor experiences quadrature error, the drive mass, the sense mass, and the electrode structure move together relative to the sense axis. Since the sense mass and the electrode structure move together in response to quadrature error, there is little relative motion between the sense mass and the electrode structure so that quadrature error is largely eliminated. | 12-25-2014 |
20140374850 | Apparatus and Method for Shielding and Biasing in MEMS Devices Encapsulated by Active Circuitry - One or more conductive shielding plates are formed in a standard ASIC wafer top metal layer, e.g., for blocking cross-talk from MEMS device structure(s) on the MEMS wafer to circuitry on the ASIC wafer when the MEMS device is capped directly by the ASIC wafer in a wafer-level chip scale package. Generally speaking, a shielding plate should be at least slightly larger than the MEMS device structure it is shielding (e.g., a movable MEMS structure such as an accelerometer proof mass or a gyroscope resonator), and the shielding plate cannot be in contact with the MEMS device structure during or after wafer bonding. Thus, a recess is formed to ensure that there is sufficient cavity space away from the top surface of the MEMS device structure. The shielding plate is electrically conductive and can be biased, e.g., to the same voltage as the opposing MEMS device structure in order to maintain zero electrostatic attraction force between the MEMS device structure and the shielding plate. | 12-25-2014 |
20140374851 | MEMS DEVICE AND METHOD FOR FABRICATING MEMS DEVICES | 12-25-2014 |
20140374852 | Electrical Shielding in a MEMS Leadframe Package - A lead frame packaged electronic chip. The packaged electronic chip includes a MEMS device, an integrated circuit and a wire bond electrically coupling the MEMS device and the integrated circuit. The packaged electronic chip is encased in a molding material. The packaged electronic chip further includes a mechanism that shields the wire bond and the input/output pads that couple the MEMS device and the integrated circuit from electromagnetic and radio frequency interference. | 12-25-2014 |
20140374853 | COMPONENT INCLUDING MEANS FOR REDUCING ASSEMBLY-RELATED MECHANICAL STRESSES AND METHODS FOR MANUFACTURING SAME - Measures are provided for stress decoupling between a semiconductor component and its mounting support, these measures being implementable very easily, inexpensively and in a space-saving manner, regardless of the substrate thickness of the component, and not being limited to soldered connections but instead also being usable in conjunction with other mounting and joining techniques. These measures relate to components, which include at least one electrical and/or micromechanical functionality and at least one wiring level, which is formed in a layer structure on a main surface of the component substrate, at least one mounting surface being implemented in the wiring level to establish a mechanical and/or electrical connection of the component to a support. The at least one mounting surface is spring mounted and is separated from the layer structure in at least some areas for this purpose. | 12-25-2014 |
20140374854 | VERTICAL MOUNT PACKAGE AND WAFER LEVEL PACKAGING THEREFOR - Vertical mount packages and methods for making the same are disclosed. A method for manufacturing a vertical mount package includes providing a device substrate with a plurality of device regions on a front surface, and a plurality of through-wafer vias. MEMS devices or integrated circuits are formed or mounted onto the device regions. A capping substrate having recesses is mounted over the device substrate, enclosing the device regions within cavities defined by the recesses. A plurality of aligned through-wafer contacts extend through the capping substrate and the device substrate. The device substrate and capping substrate can be singulated by cutting through the aligned through-wafer contacts, with the severed through-wafer contacts forming vertical mount leads. A vertical mount package includes a device sealed between a device substrate and a capping substrate. At least of the side edges of the package includes exposed conductive elements for vertical mount leads. | 12-25-2014 |
20150008540 | MEMS-CMOS INTEGRATED DEVICES, AND METHODS OF INTEGRATION AT WAFER LEVEL - A method for forming an integrated semiconductor device includes providing a first wafer, providing a second wafer, and bonding the first wafer over the second wafer. The first wafer includes a first substrate having a microelectromechanical system (MEMS) device layer. The second wafer includes a second substrate having at least one active device, and at least one interconnect layer over the second substrate. The MEMS device layer is connected with the at least one interconnect layer. The method further includes forming at least one conductive plug through the first substrate and the MEMS device layer and inside the at least one interconnect layer, etching the second substrate and the at least one interconnect layer to form a cavity extending from a surface of the second substrate to the MEMS device layer, and etching the first substrate and the MEMS device layer to form a MEMS device interfacing with the cavity. | 01-08-2015 |
20150008541 | MEMS PRESSURE SENSORS AND FABRICATION METHOD THEREOF - A MEMS capacitive pressure sensor is provided. The pressure sensor includes a substrate having a first region and a second region, and a first dielectric layer formed on the substrate. The pressure sensor also includes a first electrode layer formed on the first dielectric layer, and a second dielectric layer having first openings formed on the first electrode layer. Further, the pressure sensor includes conductive sidewalls connecting with the first electrode layer formed on sidewalls of the first openings, and a second electrode layer with a portion formed on the second dielectric layer in the second region and the rest suspended over the conductive sidewalls in the first region. Further, the pressure sensor also includes a chamber between the conductive sidewalls and the second electrode layer; and a third dielectric layer formed on the second electrode layer exposing a portion of the second electrode layer in the first region. | 01-08-2015 |
20150014793 | PRESSURE SENSOR HAVING DOWN-SET FLAG - A semiconductor sensor device has a lead frame having an outer frame with wire bond pads and a die pad to which a pressure sensor die is mounted. The die pad is vertically offset from the outer frame and wire bond pads by tie bars that have down set structures. The die pad has an opening, and the sensor die is mounted on the first die attach pad such that the opening provides access to an active region of the sensor die. Pressure sensitive gel is applied over the active region of the sensor die. Molding compound covers the sensor die and gel. The molding compound has a hole corresponding to the opening in the die pad to enable ambient atmospheric pressure outside of the sensor device to reach the sensor die via the pressure sensitive gel. | 01-15-2015 |
20150014794 | Integrated Circuit Provided with a Device for Detecting its Spatial Orientation and/or a Modification of this Orientation - An integrated circuit includes a mechanical device for detection of spatial orientation and/or of change in orientation of the integrated circuit. The device is formed in the BEOL and includes an accommodation whose sides include metal portions formed within various metallization levels. A mobile metal component is accommodated within the accommodation. A monitor inside the accommodation defines a displacement area for the metal component and includes electrically conductive elements disposed at the periphery of the displacement area. The component is configured so as to, under the action of the gravity, come into contact with the two electrically conductive elements in response to a given spatial orientation of the integrated circuit. A detector is configured to detect an electrical link passing through the component and the electrically conductive elements. | 01-15-2015 |
20150021717 | REDUCING MICROELECTROMECHANICAL SYSTEMS STICTION BY FORMATION OF A SILICON CARBIDE LAYER - A mechanism is provided for reducing stiction in a MEMS device by forming a near-uniform silicon carbide layer on silicon surfaces using carbon from TEOS-based silicon oxide sacrificial films used during fabrication. By using the TEOS as a source of carbon to form an antistiction coating, all silicon surfaces can be coated, including those that are difficult to coat using standard self-assembled monolayer (SAM) processes (e.g., locations beneath the proof mass). Controlled processing parameters, such as temperature, length of time for annealing, and the like, provide for a near-uniform silicon carbide coating not provided by previous processes. | 01-22-2015 |
20150021718 | APPARATUS AND METHOD FOR REDUCED STRAIN ON MEMS DEVICES - A method and apparatus for coupling a MEMS device to a substrate is disclosed. The method includes providing a substrate with a conductor disposed over the substrate, adhering the MEMS device to the substrate, wherein a first elastomer adheres the MEMS device to the substrate. The MEMS device is electrically connected to the conductor using a wire bond. | 01-22-2015 |
20150021719 | FUNCTIONAL DEVICE, ELECTRONIC APPARATUS, AND MOVING OBJECT - A functional device includes a movable body displaceable along a first axis, a fixed section configured to support the movable body in a coupling section, a movable electrode section extending from the movable body, a fixed electrode section arranged to be opposed to the movable electrode section, and an extending section extending from the fixed section and including an opposed section opposed to a side surface of the movable electrode section. The distance between the opposed section and the movable electrode section is smaller than the distance between the fixed electrode section and the movable electrode section. | 01-22-2015 |
20150021720 | DEVICE COMPRISING A FLUID CHANNEL FITTED WITH AT LEAST ONE MICROELECTRONIC OR NANOELECTRONIC SYSTEM, AND METHOD FOR MANUFACTURING SUCH A DEVICE - A device comprising a substrate comprising at least one microelectronic and/or nanoelectronic structure comprising at least one sensitive portion and one fluid channel ( | 01-22-2015 |
20150028432 | ASSEMBLY AND PACKAGING OF MEMS DEVICE - A Micro Electro Mechanical systems (MEMS) device includes a solder bump on a substrate, a CMOS-MEMS die comprising a CMOS die and a MEMS die, and stud bumps on the CMOS die. The MEMS die is disposed between the CMOS die and the substrate. The stud bumps and the solder bumps are positioned to provide an electrical connection between the CMOS die and the substrate. | 01-29-2015 |
20150028433 | ENCAPSULATION STRUCTURE INCLUDING A MECHANICALLY REINFORCED CAP AND WITH A GETTER EFFECT - A structure ( | 01-29-2015 |
20150028434 | RESONANT TRANSDUCER, MANUFACTURING METHOD THEREFOR, AND MULTI-LAYER STRUCTURE FOR RESONANT TRANSDUCER - A resonant transducer includes a silicon single crystal substrate, a silicon single crystal resonator disposed over the silicon single crystal substrate, a shell made of silicon, surrounding the resonator with a gap, and forming a chamber together with the silicon single crystal substrate, an exciting module configured to excite the resonator, a vibration detecting module configured to detect vibration of the resonator, a first layer disposed over the chamber, the first layer having a through-hole, a second layer disposed over the first layer, a third layer covering the first layer and the second layer, and a projection extending from the second layer toward the resonator, the projection being spatially separated from the resonator, the projection being separated from the first layer by a first gap, the second layer being separated from the first layer by a second gap, the first gap is communicated with the second gap. | 01-29-2015 |
20150035089 | MEMS DEVICE AND METHOD OF FORMING THE SAME - A method for forming a MEMS device is provided. The method includes the following steps of providing a substrate having a first portion and a second portion; fabricating a membrane type sensor on the first portion of the substrate; and fabricating a bulk silicon sensor on the second portion of the substrate. | 02-05-2015 |
20150035090 | STACKED DIE PACKAGE FOR MEMS RESONATOR SYSTEM - In a packaging structure for a microelectromechanical-system (MEMS) resonator system, a resonator-control chip is mounted on a lead frame having a plurality of electrical leads, including electrically coupling a first contact on a first surface of the resonator-control chip to a mounting surface of a first electrical lead of the plurality of electrical leads through a first electrically conductive bump. A MEMS resonator chip is mounted to the first surface of the resonator-control chip, including electrically coupling a contact on a first surface of the MEMS resonator chip to a second contact on the first surface of the resonator-control chip through a second electrically conductive bump. The MEMS resonator chip, resonator-control chip and mounting surface of the first electrical lead are enclosed within a package enclosure that exposes a contact surface of the first electrical lead at an external surface of the packaging structure. | 02-05-2015 |
20150035091 | PROCESS FOR MANUFACTURING A PACKAGED DEVICE, IN PARTICULAR A PACKAGED MICRO-ELECTRO-MECHANICAL SENSOR, HAVING AN ACCESSIBLE STRUCTURE, SUCH AS A MEMS MICROPHONE AND PACKAGED DEVICE OBTAINED THEREBY - In order to manufacture a packaged device, a die having a sensitive region is bonded to a support, and a packaging mass of moldable material is molded on the support so as to surround the die. During molding of the packaging mass, a chamber is formed, which faces the sensitive region and is connected to the outside environment. To this end, a sacrificial mass of material that may evaporate/sublimate is dispensed on the sensitive region; the packaging mass is molded on the sacrificial mass; a through hole is formed in the packaging mass to extend as far as the sacrificial mass; the sacrificial mass is evaporated/sublimated through the hole. | 02-05-2015 |
20150035092 | SENSORS AND METHOD OF OPERATING SENSOR - Sensors and methods of operating sensors are described herein. One sensor includes a number of III-nitride strain sensitive devices and a number of passive electrical components that connects each of them to one of the III-nitride strain sensitive devices. | 02-05-2015 |
20150035093 | INERTIAL AND PRESSURE SENSORS ON SINGLE CHIP - In one embodiment, the process flow for a capacitive pressures sensor is combined with the process flow for an inertial sensor. In this way, an inertial sensor is realized within the membrane layer of the pressure sensor. The device layer is simultaneously used as z-axis electrode for out-of-plane sensing in the inertial sensor, and/or as the wiring layer for the inertial sensor. The membrane layer (or cap layer) of the pressure sensor process flow is used to define the inertial sensor sensing structures. Insulating nitride plugs in the membrane layer are used to electrically decouple the various sensing structures for a multi-axis inertial sensor, allowing for fully differential sensing. | 02-05-2015 |
20150041927 | MEMS DEVICE WITH DIFFERENTIAL VERTICAL SENSE ELECTRODES - A MEMS device includes a first sense electrode and a first portion of a sense mass formed in a first structural layer, where the first sense electrode is fixedly coupled with the substrate and the first portion of the sense mass is suspended over the substrate. The MEMS device further includes a second sense electrode and a second portion of the sense mass formed in a second structural layer. The second sense electrode is spaced apart from the first portion of the sense mass in a direction perpendicular to a surface of the substrate, and the second portion of the sense mass is spaced apart from the first sense electrode in the same direction. A junction is formed between the first and second portions of the sense mass so that they are coupled together and move concurrently in response to an imposed force. | 02-12-2015 |
20150041928 | WAFER ENCAPSULATED MICROELECTROMECHANICAL STRUCTURE - A cavity is formed within a first substrate together with trenches that separate first and second portions of the first substrate from each other and from the remainder of the first substrate. The first portion of the first substrate is disposed within the cavity and constitutes a microelectromechanical structure, while the second portion of the substrate is disposed at least partly within the cavity and constitutes a first portion of an electrical contact. A second substrate is secured to the first substrate over the cavity to define a chamber containing the microelectromechanical structure. The second substrate has a first portion that constitutes a second portion of the electrical contact and is disposed in electrical contact with the second portion of the first substrate such that the electrical contact extends from within the chamber to an exterior of the chamber. | 02-12-2015 |
20150048461 | DEVICE WITH A MICRO- OR NANOSCALE STRUCTURE - A device with a micro- or nanoscale structure representing one or more of a mechanical structure, a sensing element, an active and/or passive electrical circuitry, comprises a component ( | 02-19-2015 |
20150048462 | SENSOR PACKAGE AND METHOD OF FORMING SAME | 02-19-2015 |
20150054095 | CAPACITIVE MICRO-MACHINED ULTRASONIC TRANSDUCER AND METHOD OF SINGULATING THE SAME - A capacitive micro-machined ultrasonic transducer (CMUT) and a method of singulating the same. Singulating CMUTs may include forming first trenches in regions of a device wafer defining a plurality of ultrasonic transducer structures, the device wafer including a plurality of the ultrasonic transducer structures, forming an ultrasonic transducer wafer having a plurality of ultrasonic transducers by bonding an electrode pad wafer supplying electricity to the plurality of ultrasonic transducers and the device wafer, and dicing the ultrasonic transducer wafer to form the plurality of ultrasonic transducers by cutting the plurality of ultrasonic transducer structures on the first trench and the electrode pad wafer below the first trench. | 02-26-2015 |
20150054096 | REDUCING MEMS STICTION BY INTRODUCTION OF A CARBON BARRIER - A mechanism for reducing stiction in a MEMS device by decreasing an amount of carbon from TEOS-based silicon oxide films that can accumulate on polysilicon surfaces during fabrication is provided. A carbon barrier material film is deposited between one or more polysilicon layer in a MEMS device and the TEOS-based silicon oxide layer. This barrier material blocks diffusion of carbon into the polysilicon, thereby reducing accumulation of carbon on the polysilicon surfaces. By reducing the accumulation of carbon, the opportunity for stiction due to the presence of the carbon is similarly reduced. | 02-26-2015 |
20150061044 | SEQUENTIAL WAFER BONDING - Embodiments of methods of fabricating a sensor device includes attaching a first wafer to a sensor wafer with a first bond material, and attaching a second wafer to the sensor wafer with a second bond material, the second bond material having a lower bonding temperature than the first bond material. After attaching the second wafer, an opening (e.g., a trench cut) through the second wafer is formed, and an adhesive material is provided through the opening to further secure the second wafer to the sensor wafer. Embodiments of sensor devices formed using such methods include a first device cavity having a first pressure, and a second device cavity having a second pressure. | 03-05-2015 |
20150061045 | MEMS Device - A MEMS device includes a first chip and a MEMS chip. The first chip has a mounting surface and includes at least an integrated circuit. The MEMS chip has a main surface on which a first set of contact pads for contacting the MEMS device and a second set of contact pads for contacting the first chip are arranged. The first chip is mechanically attached and electrically connected to the second set of contact pads via the mounting surface facing the main surface. The mounting surface of the first chip is at least 25% smaller than the main surface of the MEMS chip. | 03-05-2015 |
20150061046 | WAFER LEVEL METHOD OF SEALING DIFFERENT PRESSURE LEVELS FOR MEMS SENSORS - The present disclosure relates to a method of forming a plurality of MEMs device having a plurality of chambers with different pressures on a substrate, and an associated apparatus. In some embodiments, the method is performed by providing a device wafer having a plurality of microelectromechanical system (MEMs) devices. A cap wafer is bonded onto the device wafer in a first ambient environment having a first pressure. The bonding forms a plurality of chambers abutting the plurality of MEMs devices, which are held at the first pressure. One or more openings are formed in one or more of the plurality of chambers. The one or more openings in the one or more of the plurality of chambers are then sealed in a different ambient environment having a different pressure, thereby causing the one or more of the plurality of chambers to be held at the different pressure. | 03-05-2015 |
20150061047 | CAPACITIVE PRESSURE SENSORS AND FABRICATION METHODS THEREOF - A capacitive pressure sensor is provided. The capacitive pressure sensor includes a substrate; and a first electrode formed in one surface of the substrate and vertical to the surface of the substrate. The capacitive pressure sensor also includes a second electrode with a portion facing the first sub-electrode, a portion facing the second sub-electrode and a portion formed in the other surface of the substrate. Further, the capacitive pressure sensor includes a first chamber between the first electrode and the second electrode and a second chamber formed in the second electrode. Further, the pressure sensor also includes a first sealing layer formed on the second electrode; and a second sealing layer formed on the other surface of the substrate. | 03-05-2015 |
20150069537 | PACKAGE-ON-PACKAGE SEMICONDUCTOR SENSOR DEVICE - A semiconductor sensor device has a MCU die and an acceleration-sensing die mounted on a die paddle of a lead frame. The MCU die is connected to leads of the lead frame with first bond wires and the acceleration-sensing die is connected to the MCU die with second bond wires. An interposer is flip-chip mounted on a top surface of the MCU die. The MCU die, acceleration-sensing die and a portion of the interposer are covered with a molding compound. A pre-packaged pressure sensor is flip-chip mounted on a top, exposed surface of the interposer. The interposer provides electrical connection between the pre-packaged pressure sensor and the MCU die. | 03-12-2015 |
20150069538 | MICRO-ELECTRO-MECHANICAL SYSTEM DEVICE HAVING DIFFERENTIAL CAPACITORS OF CORRESPONDING SIZES - The invention provides a micro-electro-mechanical device having differential capacitor of corresponding sizes, which includes a substrate; a top fixed electrode; a bottom fixed electrode; a mass, having a top electrode and a bottom electrode, wherein the top electrodes form a top capacitor with the top fixed electrode and the bottom electrodes form a bottom capacitor with the bottom fixed electrode; a top fixed electrode extension wall having an upper end connected to the top fixed electrode and a lower end connected to the substrate; and a bottom fixed electrode extension wall having a lower end connected to the substrate through the bottom electrode, wherein the bottom fixed electrode extension wall has no upper end connected to the top fixed electrode, and total areas of the top fixed electrode extension wall and the top fixed electrode facing the mass are substantially equal to total areas of the bottom fixed electrode extension wall and the bottom fixed electrode facing the mass. | 03-12-2015 |
20150076626 | ELECTRONIC DEVICE - According to one embodiment, an electronic device includes a substrate, a first electrode provided stationary above the substrate and used for a variable capacitor, a second electrode provided movable above or below the first electrode and used for the variable capacitor, a first protective insulation film provided on a first surface of the first electrode, the first surface facing the second electrode, and a second protective insulation film provided on a second surface of the second electrode, the second surface facing the first electrode. | 03-19-2015 |
20150084138 | INTEGRATED CIRCUIT HAVING VARYING SUBSTRATE DEPTH AND METHOD OF FORMING SAME - A semiconductor device is formed such that a semiconductor substrate of the device has a non-uniform thickness. A cavity is etched at a selected side of the semiconductor substrate, and the selected side is then fusion bonded to another substrate, such as a carrier substrate. After fusion bonding, the side of the semiconductor substrate opposite the selected side is ground to a defined thickness. Accordingly, the semiconductor substrate has a uniform thickness except in the area of the cavity, where the substrate is thinner. Devices that benefit from a thinner substrate, such as an accelerometer, can be formed over the cavity. | 03-26-2015 |
20150091107 | DIE BOND DESIGN FOR MEDIUM PRESSURE SENSOR - A micro-electromechanical pressure transducer formed from a silicon die centers itself on a pedestal, formed from either a metal or a dielectric, by applying a predetermined amount of liquid epoxy adhesive to the square, top surface of the pedestal and allowing the liquid adhesive to distribute itself over the top surface. A MEMS die placed atop the liquid adhesive is centered on the top surface by surface tension between sides of the die and the top surface. | 04-02-2015 |
20150097253 | Sealed MEMS Devices with Multiple Chamber Pressures - A MEMS apparatus has a substrate, a cap forming first and second chambers with the base, and movable microstructure within the first and second chambers. To control pressures, the MEMS apparatus also has a first outgas structure within the first chamber. The first outgas structure produces a first pressure within the first chamber, which is isolated from the second chamber, which, like the first chamber, has a second pressure. The first pressure is different from that in the second pressure (e.g., a higher pressure or lower pressure). | 04-09-2015 |
20150102432 | Method of Improving Getter Efficiency by Increasing Superficial Area - The present disclosure relates to a method of gettering that provides for a high efficiency gettering process by depositing a gettering material on a roughened substrate surface, and an associated apparatus. In some embodiments, the method is performed by providing a substrate into a processing chamber having residual gases. One or more cavities are formed in the substrate at locations between bonding areas on a top surface of the substrate. Respective cavities have roughened interior surfaces that vary in a plurality of directions. A getter layer is deposited into the one or more cavities. The roughened interior surfaces of the one or more cavities enable the substrate to more effectively absorb the residual gases, thereby increasing the efficiency of the gettering process. | 04-16-2015 |
20150102433 | PACKAGE STRUCTURE HAVING MEMS ELEMENT - A package structure having at least an MEMS element is provided, including a chip having electrical connecting pads and the MEMS element; a lid disposed on the chip to cover the MEMS element and having a metal layer provided thereon; first sub-bonding wires electrically connecting to the electrical connecting pads; second sub-bonding wires electrically connecting to the metal layer; an encapsulant disposed on the chip, wherein the top ends of the first and second sub-bonding wires are exposed from the encapsulant; and metallic traces disposed on the encapsulant and electrically connecting to the first sub-bonding wires. The package structure advantageously features reduced size, relatively low costs, diverse bump locations, and an enhanced EMI shielding effect. | 04-16-2015 |
20150102434 | MICROELECTROMECHANICAL DEVICE WITH PROTECTION FOR BONDING AND PROCESS FOR MANUFACTURING A MICROELECTROMECHANICAL DEVICE - A microelectromechanical device includes: a substrate; a semiconductor die, bonded to the substrate and incorporating a microstructure; an adhesive film layer between the die and the substrate; and a protective layer between the die and the adhesive film layer. The protective layer has apertures, and the adhesive film layer adheres to the die through the apertures of the protective layer. | 04-16-2015 |
20150108591 | VIBRATOR, MANUFACTURING METHOD OF VIBRATOR, ELECTRONIC DEVICE, ELECTRONIC APPARATUS, AND MOVING OBJECT - A vibrator includes a base, a lid, and a functional element that is stored in a cavity formed by the base and the lid, in which the lid is provided with a sealing hole that penetrates through the lid and a sealing member that air-tightly seals the sealing hole, and in which the functional element includes a diffusion object shielding portion having a region of an accommodation opening which overlaps at least part of a region of a first opening of the sealing hole on a surface of the lid on the cavity side in a plan view of the functional element and the lid. | 04-23-2015 |
20150115376 | MEMS Device with Outgassing Shield - A capped micromachined device has a movable micromachined structure in a first hermetic chamber and one or more interconnections in a second hermetic chamber that is hermetically isolated from the first hermetic chamber, and a barrier layer on its cap where the cap faces the first hermetic chamber, such that the first hermetic chamber is isolated from outgassing from the cap. | 04-30-2015 |
20150115377 | MEMS DEVICE WITH INTEGRATED TEMPERATURE STABILIZATION - An apparatus for providing localized heating as well as protection for a vibrating MEMS device. A cap over a MEMS gyroscope includes an embedded temperature sensor and a heater. The temperature sensor is a trace made of a material with a known temperature/resistance coefficient, which loops back along itself to reduce electromagnetic interference. The heater is a resistive metal trace which also loops back along itself. The temperature sensor and the heater provide localized temperature stabilization for the MEMS gyroscope to reduce temperature drift in the MEMS gyroscope. | 04-30-2015 |
20150115378 | METHOD FOR MANUFACTURING A DIE ASSEMBLY HAVING A SMALL THICKNESS AND DIE ASSEMBLY RELATING THERETO - A method for manufacturing a die assembly, including the steps of: bonding a first wafer of semiconductor material to a second wafer, the second wafer including a respective semiconductor body having a respective initial thickness and forming an integrated electronic circuit; and subsequently reducing the initial thickness of the semiconductor body of the second wafer; and subsequently bonding the second wafer to a third wafer, the third wafer forming a micro-electromechanical sensing structure. | 04-30-2015 |
20150123217 | Micromechanical sensor unit and method for manufacturing micromechanical sensor units - A method for manufacturing a micromechanical sensor unit, the micromechanical sensor unit including a substrate and a sealing cap, in the first method step the substrate and the sealing cap being configured and joined in such a way that, as a result of bonding the sealing cap and the substrate, a first cavity, which has a first pressure and in which a first sensor element is situated, and a second cavity, which has a second pressure and in which a second sensor element is situated, are manufactured, in a second method step a sealable channel leading into the first cavity being created, in a third method step the first pressure in the first cavity being established via the sealable channel. | 05-07-2015 |
20150123219 | ELECTRODE SYSTEM FOR A MICROMECHANICAL COMPONENT - An electrode system for a micromechanical component, including: at least one first functional layer including electrodes formed therein, at least one second functional layer, and at least one third functional layer, the third functional layer being usable as an electrical printed conductor, the third functional layer being at least sectionally completely free of oxide material. | 05-07-2015 |
20150123220 | LOW-PROFILE STACKED-DIE MEMS RESONATOR SYSTEM - A low-profile packaging structure for a microelectromechanical-system (MEMS) resonator system includes an electrical lead having internal and external electrical contact surfaces at respective first and second heights within a cross-sectional profile of the packaging structure and a die-mounting surface at an intermediate height between the first and second heights. A resonator-control chip is mounted to the die-mounting surface of the electrical lead such that at least a portion of the resonator-control chip is disposed between the first and second heights and wire-bonded to the internal electrical contact surface of the electrical lead. A MEMS resonator chip is mounted to the resonator-control chip in a stacked die configuration and the MEMS resonator chip, resonator-control chip and internal electrical contact and die-mounting surfaces of the electrical lead are enclosed within a package enclosure that exposes the external electrical contact surface of the electrical lead at an external surface of the packaging structure. | 05-07-2015 |
20150129991 | CMOS-MEMS INTEGRATED DEVICE INCLUDING MULTIPLE CAVITIES AT DIFFERENT CONTROLLED PRESSURES AND METHODS OF MANUFACTURE - An integrated MEMS device comprises two substrates where the first and second substrates are coupled together and have two enclosures there between. One of the first and second substrates includes an outgassing source layer and an outgassing barrier layer to adjust pressure within the two enclosures. The method includes depositing and patterning an outgassing source layer and a first outgassing barrier layer on the substrate, resulting in two cross-sections. In one of the two cross-sections a top surface of the outgassing source layer is not covered by the outgassing barrier layer and in the other of the two cross-sections the outgassing source layer is encapsulated in the outgassing barrier layer. The method also includes depositing conformally a second outgassing barrier layer and etching the second outgassing barrier layer such that a spacer of the second outgassing barrier layer is left on sidewalls of the outgassing source layer. | 05-14-2015 |
20150137276 | MECHANISMS FOR FORMING MICRO-ELECTRO MECHANICAL SYSTEM DEVICE - Embodiments of mechanisms for forming a micro-electro mechanical system (MEMS) device are provided. The MEMS device includes a CMOS substrate, a cap substrate, and a MEMS substrate bonded between the CMOS substrate and the cap substrate. The MEMS substrate includes a first movable element and a second movable element. The MEMS device also includes a first closed chamber and a second closed chamber, which are between the MEMS substrate and the cap substrate. The first movable element is in the first closed chamber, and the second movable element is in the second closed chamber. A first pressure of the first closed chamber is higher than a second pressure of the second closed chamber. | 05-21-2015 |
20150137277 | SEMICONDUCTOR SENSOR CHIPS - Semiconductor sensor chips are provided. In some embodiments, a semiconductor sensor chip can include at least one wire bond pad on one side thereof, at least one bond pad on another, opposite side thereof, and at least one through-silicon via (TSV) extending therebetween and electrically connected to the bond pads on opposite sides of the chip. Each of the bond pads can have a wire attached thereto. In some embodiments, a semiconductor sensor chip can include a pressure sensor, a substrate, and a resistor in a well that provides p-n junction isolation from a body of the substrate. In some embodiments, a semiconductor sensor chip can include a plurality of wire bonds pads with a wire soldered to each of the bond pads. Each of the wires can be soldered with a longitudinal length thereof soldered to its associated bond pad. | 05-21-2015 |
20150137278 | SEMICONDUCTOR PACKAGE WITH GEL FILLED CAVITY - A semiconductor device package is assembled using a jig that alters the shape of gel material disposed in a cavity in the package. In one embodiment, a jig having a concave bottom surface is inserted onto uncured gel material disposed within a cavity in a housing of the package to change a top surface of the gel from having a concave shape to a convex shape. The gel is then cured with the jig in place. When the jig is subsequently removed, the cured gel retains the convex shape, which helps to avoid any bond wires from being exposed. The re-shaped gel material reduces internal stresses during thermal cycling and can therefore reduce permanent damage to the package otherwise resulting from such thermal cycling. | 05-21-2015 |
20150137279 | MULTI-DIE SENSOR DEVICE - A semiconductor device includes a lead frame having a flag and leads that surround the flag. The leads include a dummy lead that has first and second wire bonding areas. A first die is attached on the flag and electrically connected to the first wire bonding area. The first die and the first wire bonding area are encapsulated with a molding material and a cavity with an opening is formed above the first die. The second wire bonding area is exposed in the cavity. A second die is placed in the cavity and electrically connected to the second wire bonding area such that the second die is electrically connected to the first die by way of the dummy lead. | 05-21-2015 |
20150137280 | STRUCTURES AND FORMATION METHODS OF MICRO-ELECTRO MECHANICAL SYSTEM DEVICE - A structure and a formation method of a micro-electro mechanical system (MEMS) device are provided. The MEMS device includes a cap substrate and a MEMS substrate bonded with the cap substrate. The MEMS substrate includes a first movable element and a second movable element. The MEMS device also includes a first enclosed space surrounded by the MEMS substrate and the cap substrate, and the first movable element is in the first enclosed space. The MEMS device further includes a second enclosed space surrounded by the MEMS substrate and the cap substrate, and the second movable element is in the second enclosed space. In addition, the MEMS device includes a pressure-changing layer in the first enclosed space. | 05-21-2015 |
20150137281 | PHYSICAL QUANTITY MEASUREMENT SENSOR - A physical quantity measurement sensor includes: a ceramic package including a plate provided with a flow port through which a fluid to be measured flows; an electronic component including a sensing element housed in the package to detect the pressure of the fluid to be measured having flown through the flow port; a terminal provided on an exterior of the package; a lid attached to a wall of the package; and a metal attachment piece used to attach the package to the mount member, the attachment piece being engaged with the mount member while holding the package. | 05-21-2015 |
20150137282 | Flow Sensor, Method for Manufacturing Flow Sensor and Flow Sensor Module - A flow sensor structure seals the surface of an electric control circuit and part of a semiconductor device via a manufacturing method that prevents occurrence of flash or chip crack when clamping the semiconductor device via a mold. The flow sensor structure includes a semiconductor device having an air flow sensing unit and a diaphragm, and a board or lead frame having an electric control circuit for controlling the semiconductor device, wherein a surface of the electric control circuit and part of a surface of the semiconductor device is covered with resin while having the air flow sensing unit portion exposed. The flow sensor structure may include surfaces of a resin mold, a board or a pre-mold component surrounding the semiconductor device that are continuously not in contact with three walls of the semiconductor device orthogonal to a side on which the air flow sensing unit portion is disposed. | 05-21-2015 |
20150137283 | MEMS Devices, Packaged MEMS Devices, and Methods of Manufacture Thereof - MEMS devices, packaged MEMS devices, and methods of manufacture thereof are disclosed. In one embodiment, a microelectromechanical system (MEMS) device includes a first MEMS functional structure and a second MEMS functional structure. An interior region of the second MEMS functional structure has a pressure that is different than a pressure of an interior region of the first MEMS functional structure. | 05-21-2015 |
20150145074 | MEMS Device - A MEMS device includes a fixed electrode and a movable electrode arranged isolated and spaced from the fixed electrode by a distance. The movable electrode is suspended against the fixed electrode by one or more spacers including an insulating material, wherein the movable electrode is laterally affixed to the one or more spacers. | 05-28-2015 |
20150145075 | MEMS DEVICES UTILIZING A THICK METAL LAYER OF AN INTERCONNECT METAL FILM STACK - A MEMS device, such as an accelerometer or gyroscope, fabricated in interconnect metallization compatible with a CMOS microelectronic device. In embodiments, a proof mass has a first body region utilizing a thick metal layer that is separated from a thin metal layer. The thick metal layer has a film thickness that is significantly greater than that of the thin metal layer for increased mass. The proof mass further includes a first sensing structure comprising the thin metal layer, but lacking the thick metal layer for small feature sizes and increased capacitive coupling to a surrounding fame that includes a second sensing structure comprising the thin metal layer, but also lacking the thick metal layer. In further embodiments, the frame is released and includes regions with the thick metal layer to better match film stress-induced static deflection of the proof mass. | 05-28-2015 |
20150145076 | SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF - There is provided a semiconductor package including: an application specific integrated circuit (ASIC) chip including a first bump ball and a second bump ball formed inwardly of the first bump ball; a micro electro mechanical system (MEMS) sensor electrically connected to the second bump ball; a lead frame electrically connected to the first bump ball and including a through hole formed therein; and a molded part covering the ASIC chip, the MEMS sensor, and the lead frame, wherein the ASIC chip is disposed above the lead frame. | 05-28-2015 |
20150145077 | METHOD OF STACKING A PLURALITY OF DIES TO FORM A STACKED SEMICONDUCTOR DEVICE, AND STACKED SEMICONDUCTOR DEVICE - A method of stacking a plurality of first dies to a respective plurality of second dies, each one of the first dies having a surface including a surface coupling region which is substantially flat, each one of the second dies having a respective surface including a respective surface coupling region which is substantially flat, the method comprising the steps of: forming, by means of a screen printing technique, an adhesive layer on the first dies at the respective surface coupling regions; and arranging the surface coupling region of each second die in direct physical contact with a respective adhesive layer of a respective first die among said plurality of first dies. | 05-28-2015 |
20150291414 | MEMS DEVICE HAVING CONDUCTIVE MICROSTRUCTURES LATERALLY SURROUNDED BY OXIDE MATERIAL - A MEMS device includes a first substrate structure and a second substrate structure. The first substrate structure has a conductive microstructure and an oxide material surrounding lateral side of the conductive microstructure. A thickness of the conductive microstructure and a thickness of the oxide material are approximately equivalent. The second substrate structure has an active region of the MEMS device, and the second substrate structure is coupled in spaced apart relationship with the first substrate structure to produce a cavity between the structures. The active region of the MEMS device is suspended above the cavity and the conductive microstructure underlies the cavity. The conductive microstructure is formed from a polysilicon structure layer and a local oxidation of silicon process is implemented to thermally grow the oxide material using the polysilicon of the structural layer. The second substrate structure may be coupled to the first substrate structure by fusion bonding. | 10-15-2015 |
20150291416 | MEMS DEVICE AND METHOD OF FORMING THE SAME - According to an exemplary embodiment, a method of forming a MEMS device is provided. The method includes the following operations: providing a substrate; forming a first layer formed of titanium nitride over the substrate; and forming a second layer formed of titanium over the first layer. According to an exemplary embodiment, a MEMS device is provided. The device includes: a substrate; a first layer formed of titanium nitride over the substrate; and a second layer formed of titanium over the first layer. According to an exemplary embodiment, a getter structure is provided. The structure includes: a first layer formed of titanium nitride over a substrate; and a second layer formed of titanium over the first layer. | 10-15-2015 |
20150298964 | INHIBITING PROPAGATION OF SURFACE CRACKS IN A MEMS DEVICE - A microelectromechanical systems (MEMS) device includes a structural layer having a top surface. The top surface includes surface regions that are generally parallel to one another but are offset relative to one another such that a stress concentration location is formed between them. Laterally propagating shallow surface cracks have a tendency to form in the structural layer, especially near the joints between the surface regions. A method entails fabricating the MEMS device and forming trenchesin the top surface of the structural layer of the MEMS device. The trenches act as a crack inhibition feature to largely prevent the formation of deep cracks in structural layer which might otherwise result in MEMS device failure. | 10-22-2015 |
20150298965 | ALUMINUM NITRIDE (AlN) DEVICES WITH INFRARED ABSORPTION STRUCTURAL LAYER - A micro-electro-mechanical system device is disclosed. The micro-mechanical system device comprises a first silicon substrate comprising: a handle layer comprising a first surface and a second surface, the second surface comprises a cavity; an insulating layer deposited over the second surface of the handle layer; a device layer having a third surface bonded to the insulating layer and a fourth surface; a piezoelectric layer deposited over the fourth surface of the device layer; a metal conductivity layer disposed over the piezoelectric layer; a bond layer disposed over a portion of the metal conductivity layer; and a stand-off formed on the first silicon substrate; wherein the first silicon substrate is bonded to a second silicon substrate, comprising: a metal electrode configured to form an electrical connection between the metal conductivity layer formed on the first silicon substrate and the second silicon substrate. | 10-22-2015 |
20150298968 | MEMS CAPPING METHOD - A method for fabricating a MEMS device includes providing a substrate having a front surface and a back surface, and forming a protruding engagement member on the front surface of the substrate. The protruding engagement member has an inner periphery defining a groove and an outer periphery. The method also includes forming a first trench having a first depth along the outer periphery, forming a patterned mask layer on the protruding engagement member covering the groove and exposing a portion of the first trench. The method further includes etching the exposed portion of the first trench to form a second trench having a second depth, removing the patterned mask layer, bonding the substrate with a MEMS substrate to form the MEMS device, and thinning the back surface to within the second depth. The method prevents dust from being deposited on the MEMS substrate as in the case of cutting. | 10-22-2015 |
20150307345 | ELECTRONIC DEVICE AND MANUFACTURING METHOD OF THE SAME - According to one embodiment, an electronic device includes a MEMS element formed on an underlying region, and a stack film covering the MEMS element and forming a cavity part inside, wherein the stack film includes a first layer having a hole, a second layer provided on the first layer and covering the hole, a third layer provided on the second layer and formed of an oxide, and a fourth layer provided on the third layer and formed of a nitride. | 10-29-2015 |
20150315011 | MEMS STRUCTURE, ELECTRONIC APPARATUS, AND MOVING OBJECT - A MEMS structure includes: a substrate; a lower electrode disposed above the substrate; an upper electrode including a movable portion disposed facing and spaced from the lower electrode; and a projection projecting from a surface of the movable portion on a side facing the lower electrode, the projection being composed of a material different from that of the movable portion. | 11-05-2015 |
20150321901 | Semiconductor Devices and Methods of Forming Thereof - In accordance with an embodiment of the present invention, a method of forming a semiconductor device includes forming a sacrificial layer over a first surface of a workpiece having the first surface and an opposite second surface. A membrane is formed over the sacrificial layer. A through hole is etched through the workpiece from the second surface to expose a surface of the sacrificial layer. At least a portion of the sacrificial layer is removed from the second surface to form a cavity under the membrane. The cavity is aligned with the membrane. | 11-12-2015 |
20150321904 | MULTI-DIE MEMS PACKAGE - This document refers to multi-die micromechanical system (MEMS) packages. In an example, a multi-die MEMS package can include a controller integrated circuit (IC) configured to couple to a circuit board, a MEMS IC mounted to a first side of the controller IC, a through silicon via extending through the controller IC between the first side and a second side of the controller IC, the second side opposite the first side, and wherein the MEMS IC is coupled to the through silicon via. | 11-12-2015 |
20150329353 | SENSOR INTEGRATION WITH AN OUTGASSING BARRIER AND A STABLE ELECTRICAL SIGNAL PATH - The present disclosure relates to a structure and method of forming a MEMS-CMOS integrated circuit with an outgassing barrier and a stable electrical signal path. An additional poly or metal layer is embedded within the MEMS die to prevent outgassing from the CMOS die. Patterned conductors formed by a damascene process and a direct bonding between the two dies provide a stable electrical signal path. | 11-19-2015 |
20150336789 | BARIUM TITANATE NANOWIRE THEIR ARRAYS AND ARRAY BASED DEVICES - A nano-electromechanical system comprises piezoelectric vertically aligned BaTiO | 11-26-2015 |
20150336790 | Fabrication of Tungsten MEMS Structures - Thick (i.e., greater than two microns), fine-grained, low-stress tungsten MEMS structures are fabricated at low temperatures, particularly for so-called “MEMS last” fabrication processes (e.g., when MEMS structures are fabricated after electronic circuitry is fabricated). Means for very accurately etching structural details from the deposited tungsten layer and for strongly and stably anchoring the tungsten layer to an underlying substrate are disclosed. Also, means for removing a sacrificial layer underlying the mobile tungsten layer without damaging the tungsten or allowing it to be drawn down and stuck by surface tension is disclosed. | 11-26-2015 |
20150353342 | MEMS and Method for Forming the Same - A method and apparatus are provided to prevent or reduce stiction of a MEMS device. The MEMS device may include a protrusion extending from a surface of the MEMS device. During manufacture, the protrusion may be connected across an opening in the MEMS device to a sidewall of the substrate. Before manufacture of the MEMS device is completed, at least a portion of the protrusion connecting the MEMS device to the substrate may be removed. During operation, the protrusion may provide stiction prevention or reduction for the surface from which the first protrusion may extend. A plurality of protrusions may be formed along a plurality of surfaces for the MEMS device to prevent or reduce stiction along the corresponding surfaces. Protrusions may also be formed on devices surrounding or encapsulating the MEMS device to prevent or reduce stiction of the MEMS device to the surrounding or encapsulating devices. | 12-10-2015 |
20150353346 | Component including two semiconductor elements between which at least two hermetically tightly sealed cavities having different internal pressures are formed and method for manufacturing such a component - For the targeted influencing of the internal pressure within a cavity between two elements of a component, a getter material or an outgassing material is situated in an additional cavity between the two elements. After the two elements are bonded to one another, the additional cavity is still to be joined via a connecting opening to the cavity. The getter material or the outgassing material is then activated so that gasses are bound in the additional cavity and in the connected cavity, or an outgassing takes place. Only when the sought internal pressure has established itself in the connected cavity is the connecting opening to the additional cavity closed. In this way, the getter material or the outgassing material is only used for establishing a defined internal pressure, but no longer has any influence on the internal pressure within the cavity during ongoing operation of the component. | 12-10-2015 |
20150353348 | GLASS WAFER ASSEMBLY - A glass wafer assembly is disclosed. In one aspect, the glass wafer assembly comprises a first glass wafer and a second glass wafer that are bonded by a conductive sealing ring. The conductive sealing ring defines a substantially hermetically sealed cavity between the first glass wafer and the second glass wafer. In another aspect, the first glass wafer and the second glass wafer each comprise a plurality of conductive through glass vias (TGVs). At least one active device is disposed in the substantially hermetically sealed cavity and can be electrically coupled to a conductive TGV in the first glass wafer and a conductive TGV in the second glass wafer to enable flexible electrical routing through the glass wafer assembly without wire bonding and over molding. As a result, it is possible to reduce footprint and height while improving radio frequency (RF) performance of the glass wafer assembly. | 12-10-2015 |
20150353349 | COMPONENT INCLUDING TWO SEMICONDUCTOR ELEMENTS, WHICHARE BONDED TO ONE ANOTHER VIA A STRUCTURED BONDING LAYER, ANDMETHOD FOR MANUFACTURING A COMPONENT OF THIS TYPE - Measures are provided for improving and simplifying metallic bonding processes which enable a reliable initiation of the bonding process and thus contribute to a uniform bonding. The present method provides a further option for using bonding layers. The method in the case of which the two semiconductor elements are bonded to one another via a bond of at least one metallic starting layer and at least one further starting layer provides that the two starting layers are structured in such a way that the layer areas which are assigned to one another have differently sized areal extents. Moreover, the layer thicknesses of the two starting layers should be selected in such a way that the layer areas which are assigned to one another meet the material ratio necessary for the bonding process. | 12-10-2015 |
20150360935 | VERTICALLY HYBRIDLY INTEGRATED ASSEMBLY HAVING AN INTERPOSER FOR STRESS-DECOUPLING OF A MEMS STRUCTURE, AND METHOD FOR ITS MANUFACTURE - A structural concept for a vertically hybridly integrated assembly having at least one MEMS component is provided, whose MEMS structure is developed at least partially in the front side of the component and which is electrically contactable via at least one connection pad on the front side of the component. This structural concept is able to be realized in an uncomplicated and cost-effective manner and allows the largely stress-free mounting of the MEMS structure within the chip stack and also ensures a reliable electrical linkage of the MEMS component to further component parts of the assembly. For this purpose, the structural concept provides for mounting the MEMS assembly headfirst on a further component of the chip stack via an interposer and for electrically linking it to the further component via at least one plated contacting in the interposer. | 12-17-2015 |
20150368090 | MICRO-ELECTRO-MECHANICAL SYSTEM (MEMS) STRUCTURES AND DESIGN STRUCTURES - Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are disclosed. The method includes forming a Micro-Electro-Mechanical System (MEMS) beam structure by venting both tungsten material and silicon material above and below the MEMS beam to form an upper cavity above the MEMS beam and a lower cavity structure below the MEMS beam. | 12-24-2015 |
20150368091 | MEMS DEVICES UTILIZING A THICK METAL LAYER OF AN INTERCONNECT METAL FILM STACK - A MEMS device, such as an accelerometer or gyroscope, fabricated in interconnect metallization compatible with a CMOS microelectronic device. In embodiments, a proof mass has a first body region utilizing a thick metal layer that is separated from a thin metal layer. The thick metal layer has a film thickness that is significantly greater than that of the thin metal layer for increased mass. The proof mass further includes a first sensing structure comprising the thin metal layer, but lacking the thick metal layer for small feature sizes and increased capacitive coupling to a surrounding frame that includes a second sensing structure comprising the thin metal layer, but also lacking the thick metal layer. In further embodiments, the frame is released and includes regions with the thick metal layer to better match film stress-induced static deflection of the proof mass. | 12-24-2015 |
20150368097 | METHODS FOR PRODUCING A CAVITY WITHIN A SEMICONDUCTOR SUBSTRATE - A method for producing at least one cavity within a semiconductor substrate includes dry etching the semiconductor substrate from a surface of the semiconductor substrate at at least one intended cavity location in order to obtain at least one provisional cavity. The method includes depositing a protective material with regard to a subsequent wet-etching process at the surface of the semiconductor substrate and at cavity surfaces of the at least one provisional cavity. Furthermore, the method includes removing the protective material at least at a section of a bottom of the at least one provisional cavity in order to expose the semiconductor substrate. This is followed by electrochemically etching the semiconductor substrate at the exposed section of the bottom of the at least one provisional cavity. A method for producing a micromechanical sensor system in which this type of cavity formation is used and a corresponding MEMS are also disclosed. | 12-24-2015 |
20150375990 | Micromechanical sensor device - A micromechanical sensor device includes: a MEMS element; an ASIC element; a bonding structure provided between the MEMS element and the ASIC element; a layer assemblage having insulating layers and functional layers disposed alternatingly on one another; a sensing element movable in a sensing direction provided in at least one of the functional layers; a spacing element for providing a defined spacing between the MEMS element and the ASIC element being provided by way of a further functional layer; an abutment element having the spacing element and a first bonding layer being disposed on the sensing element; and an insulating layer being disposed on the ASIC element in an abutment region of the abutment element. | 12-31-2015 |
20150375992 | SEMICONDUCTOR ARRANGEMENT AND FORMATION THEREOF - A semiconductor arrangement and methods of formation are provided. The semiconductor arrangement includes a micro-electro mechanical system (MEMS). A via opening is formed through a substrate, first dielectric layer and a first plug of the MEMS. The first plug comprises a first material, where the first material has an etch selectivity different than an etch selectivity of the first dielectric layer. The different etch selectivity of first plug allows the via opening to be formed relatively quickly and with a relatively high aspect ratio and desired a profile, as compared to forming the via opening without using the first plug. | 12-31-2015 |
20160009547 | MEMS DEVICES HAVING DISCHARGE CIRCUITS | 01-14-2016 |
20160009548 | MICROELECTROMECHANICAL SYSTEMS HAVING CONTAMINANT CONTROL FEATURES | 01-14-2016 |
20160016787 | SENSOR MODULE AND SEMICONDUCTOR CHIP - A sensor module and semiconductor chip. One embodiment provides a carrier. A semiconductor chip includes a first recess and a second recess and a main surface of the semiconductor chip. The semiconductor chip is mounted to the carrier such that the first recess forms a first cavity with the carrier and the second recess forms a second cavity with the carrier. The first cavity is in fluid connection with the second cavity. | 01-21-2016 |
20160016788 | SOI SUBSTRATE, PHYSICAL QUANTITY SENSOR, SOI SUBSTRATE MANUFACTURING METHOD, AND PHYSICAL QUANTITY SENSOR MANUFACTURING METHOD - A capacitance type physical quantity sensor includes: a first substrate; and a second substrate bonded to the first substrate through an insulating film. The second substrate includes first and second groove portions at a place of the second substrate facing an end portion of the first and second support units formed on the first substrate on a side opposite to the movable unit. A part of the end portion of the first support unit protrudes over the first groove portion. A part of the end portion of the second support unit protrudes over the second groove portion. | 01-21-2016 |
20160023893 | SUSPENDED MEMBRANE FOR CAPACITIVE PRESSURE SENSOR - Embodiments of a method for forming a suspended membrane include depositing a first electrically conductive material above a sacrificial layer and within a boundary trench. The first electrically conductive material forms a corner transition portion above the boundary trench. The method further includes removing a portion of the first electrically conductive material that removes at least a portion of uneven topography of the first electrically conductive material. The method further includes depositing a second electrically conductive material. The second electrically conductive material extends beyond the boundary trench. The method further includes removing the sacrificial layer through etch openings and forming a cavity below the second electrically conductive material. The first electrically conductive material defines a portion of a sidewall boundary of the cavity. | 01-28-2016 |
20160023894 | PACKAGED SEMICONDUCTOR SENSOR DEVICE WITH LID - A method for assembling a packaged semiconductor device includes mounting a pressure-sensing die onto a die paddle of a metal lead frame. A pressure-sensitive gel is dispensed into a recess of a lid, and the lead frame is mated with the lid such that the pressure-sensing die is immersed in the pressure-sensitive gel within the recess of the lid. | 01-28-2016 |
20160031702 | MEMS DEVICE AND PROCESS FOR RF AND LOW RESISTANCE APPLICATIONS - MEMS device for low resistance applications are disclosed. In a first aspect, the MEMS device comprises a MEMS wafer including a handle wafer with one or more cavities containing a first surface and a second surface and an insulating layer deposited on the second surface of the handle wafer. The MEMS device also includes a device layer having a third and fourth surface, the third surface bonded to the insulating layer of the second surface of handle wafer; and a metal conductive layer on the fourth surface. The MEMS device also includes CMOS wafer bonded to the MEMS wafer. The CMOS wafer includes at least one metal electrode, such that an electrical connection is formed between the at least one metal electrode and at least a portion of the metal conductive layer. | 02-04-2016 |
20160035959 | MANUFACTURING METHOD FOR A PIEZOELECTRIC LAYER ARRANGEMENT AND CORRESPONDING PIEZOELECTRIC LAYER ARRANGEMENT - A manufacturing method is provided for a piezoelectric layer arrangement and a corresponding piezoelectric layer arrangement. The manufacturing method includes the steps: depositing a first electrode layer on a substrate; depositing a first insulating layer on the first electrode layer; forming a through opening in the first insulating layer to expose the first electrode layer within the through opening; depositing a piezoelectric layer on the first insulating layer and on the first electrode layer within the through opening; back-polishing the resulting structure to form a planar surface, on which a piezoelectric layer area, surrounded by the first insulating layer, is exposed; and depositing and structuring a second electrode layer on the first insulating layer, which contacts the piezoelectric layer area. | 02-04-2016 |
20160039663 | CHIP PACKAGE AND METHOD OF MANUFACTURING THE SAME - A method includes forming a bump on a lower surface of an interposer. A first insulation layer is formed to cover the lower surface and bump. A trench is formed extending from the lower towards an upper surface of the interposer. A polymer supporting adhesive layer is formed to surround the bump and couples between the interposer and a semiconductor chip. The semiconductor chip has at least a sensing component and a conductive pad electrically connected to the sensing component, and the bump is connected to the conductive pad. A via is formed extending from the upper towards the lower surface. A second insulation layer is formed to cover the upper surface and the via. A redistribution layer is formed on the second insulation layer and in the via. A packaging layer is formed to cover the redistribution layer and has a second opening. | 02-11-2016 |
20160052778 | USE OF METAL NATIVE OXIDE TO CONTROL STRESS GRADIENT AND BENDING MOMENT OF A RELEASED MEMS STRUCTURE - A MEMS device is formed by forming a sacrificial layer over a substrate and forming a first metal layer over the sacrificial layer. Subsequently, the first metal layer is exposed to an oxidizing ambient which oxidizes a surface layer of the first metal layer where exposed to the oxidizing ambient, to form a native oxide layer of the first metal layer. A second metal layer is subsequently formed over the native oxide layer of the first metal layer. The sacrificial layer is subsequently removed, forming a released metal structure. | 02-25-2016 |
20160052784 | MEMS DEVICES AND METHOD OF MANUFACTURING - A MEMS sensor includes a sensor die configured to generate a sensor signal and a pedestal layer disposed on the sensor die. The pedestal layer includes a channel defined therein about a pedestal of the pedestal layer. The pedestal is configured to be mounted to a housing. A method for manufacturing MEMS sensors can include disposing a pedestal layer on a sensor layer, wherein the sensor layer defines a plurality of sensor dies to be cut therefrom. The method further includes defining a respective channel in the pedestal layer for each sensor die, thereby creating a pedestal for each sensor die. | 02-25-2016 |
20160054189 | CAPACITIVE PRESSURE SENSORS - A capacitive pressure sensor is provided. The capacitive pressure sensor includes a substrate; and a first electrode formed in one surface of the substrate and vertical to the surface of the substrate. The capacitive pressure sensor also includes a second electrode with a portion facing the first sub-electrode, a portion facing the second sub-electrode and a portion formed in the other surface of the substrate. Further, the capacitive pressure sensor includes a first chamber between the first electrode and the second electrode and a second chamber formed in the second electrode. Further, the pressure sensor also includes a first sealing layer formed on the second electrode; and a second sealing layer formed on the other surface of the substrate. | 02-25-2016 |
20160060098 | MICRO ELECTRO MECHANICAL SYSTEM - According to an embodiment, a MEMS includes a substrate; a substrate; a membrane arranged above the substrate; a first conductor with a first plane, the first conductor being connected to the membrane; and a second conductor with a second plane facing the first plane, the second conductor being arranged with a gap between the first conductor and the second conductor, wherein relative positions of the first conductor and the second conductor change in a direction in which an area of the first plane facing the second plane changes. | 03-03-2016 |
20160060099 | PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes forming a beam structure and an electrode on an insulator layer, remote from the beam structure. The method further includes forming at least one sacrificial layer over the beam structure, and remote from the electrode. The method further includes forming a lid structure over the at least one sacrificial layer and the electrode. The method further includes providing simultaneously a vent hole through the lid structure to expose the sacrificial layer and to form a partial via over the electrode. The method further includes venting the sacrificial layer to form a cavity. The method further includes sealing the vent hole with material. The method further includes forming a final via in the lid structure to the electrode, through the partial via. | 03-03-2016 |
20160060100 | MEMS SENSOR INTEGRATED WITH A FLIP CHIP - A method and system for providing a MEMS sensor integrated with a flip chip are disclosed. In a first aspect, the system comprises a MEMS sensor, at least one flip chip coupled to the MEMS sensor, and at least one through-silicon via (TSV) that electrically connects the at least one flip chip to the MEMS sensor. In a second aspect, the system comprises a MEMS sensor that includes a CMOS coupled to a MEMS structure, wherein the CMOS comprises a substrate coupled to an interconnect in contact with the MEMS structure. The system further comprises a plurality of flip chips coupled to the substrate, a plurality of TSV that electrically connect the plurality of flip chips to the interconnect, and a plurality of layers on the substrate to provide electrical connections between the plurality of flip chips and from the plurality of flip chips to at least one external component. | 03-03-2016 |
20160060103 | High Vacuum Sealing for Sensor Platform Process - A method for manufacturing a microelectromechanical systems (MEMS) device is provided. According to the method, a semiconductor structure is provided. The semiconductor structure includes an integrated circuit (IC) substrate, a dielectric layer arranged over the IC substrate, and a MEMS substrate arranged over the IC substrate and the dielectric layer to define a cavity between the MEMS substrate and the IC substrate. The MEMS substrate includes a MEMS hole in fluid communication with the cavity and extending through the MEMS substrate. A sealing layer is formed over or lining the MEMS hole to hermetically seal the cavity with a reference pressure while the semiconductor structure is arranged within a vacuum having the reference pressure. The semiconductor structure resulting from application of the method is also provided. | 03-03-2016 |
20160061677 | VARIOUS STRESS FREE SENSOR PACKAGES USING WAFER LEVEL SUPPORTING DIE AND AIR GAP TECHNIQUE - Sensor packages and manners of formation are described. In an embodiment, a sensor package includes a supporting die characterized by a recess area and a support anchor protruding above the recess area. A sensor die is bonded to the support anchor such that an air gap exists between the sensor die and the recess area. The sensor die includes a sensor positioned directly above the air gap. | 03-03-2016 |
20160064641 | LOW VOLTAGE TRANSISTOR AND LOGIC DEVICES WITH MULTIPLE, STACKED PIEZOELECTRONIC LAYERS - A piezoelectronic transistor device includes a first piezoelectric (PE) layer, a second PE layer, and a piezoresistive (PR) layer arranged in a stacked configuration, wherein an electrical resistance of the PR layer is dependent upon an applied voltage across the first and second PE layers by an applied pressure to the PR layer by the first and second PE layers. A piezoelectronic logic device includes a first and second piezoelectric transistor (PET), wherein the first and second PE layers of the first PET have a smaller cross sectional area than those of the second PET, such that a voltage drop across the PE layers of the first PET creates a first pressure in the PR layer of the first PET that is smaller than a second pressure in the PR layer of the second PET created by the same voltage drop across the PE layers of the second PET. | 03-03-2016 |
20160068387 | SEMICONDUCTOR CAVITY PACKAGE USING PHOTOSENSITIVE RESIN - A packaged device ( | 03-10-2016 |
20160068388 | RELEASE CHEMICAL PROTECTION FOR INTEGRATED COMPLEMENTARY METAL-OXIDE-SEMICONDUCTOR (CMOS) AND MICRO-ELECTRO-MECHANICAL (MEMS) DEVICES - Systems and methods that protect CMOS layers from exposure to a release chemical are provided. The release chemical is utilized to release a micro-electro-mechanical (MEMS) device integrated with the CMOS wafer. Sidewalls of passivation openings created in a complementary metal-oxide-semiconductor (CMOS) wafer expose a dielectric layer of the CMOS wafer that can be damaged on contact with the release chemical. In one aspect, to protect the CMOS wafer and prevent exposure of the dielectric layer, the sidewalls of the passivation openings can be covered with a metal barrier layer that is resistant to the release chemical. Additionally or optionally, an insulating barrier layer can be deposited on the surface of the CMOS wafer to protect a passivation layer from exposure to the release chemical. | 03-10-2016 |
20160069763 | SEMICONDUCTOR SENSOR DEVICE FORMED WITH GEL SHEET - A method for assembling a pressure sensor device uses a pressure-sensitive gel material that is applied to an active region of a pressure-sensing integrated circuit (IC) die. A molding compound is dispensed over the pressure-sensitive gel material to encapsulate the gel material. A portion of the molding compound is then removed to expose the gel material to an ambient environment outside of the packaged semiconductor device. | 03-10-2016 |
20160075550 | MEMS ELEMENT AND MANUFACTURING METHOD OF THE SAME - According to one embodiment, a MEMS element is disclosed. The element includes a substrate, a first electrode provided on the substrate, a second electrode disposed above the first electrode, a film including a connection hole defined by an inner wall communicating with the second electrode, the film and the substrate constituting a cavity in which the first electrode and the second electrode are contained. The element further includes an interconnect connected to the second electrode. The interconnect includes a portion arranged in the connection hole. | 03-17-2016 |
20160075551 | BACKSIDE BULK SILICON MEMS - An integrated circuit device that comprises a single semiconductor substrate, a device layer formed on a frontside of the single semiconductor substrate, a redistribution layer formed on a backside of the single semiconductor substrate, a through silicon via (TSV) formed within the single semiconductor substrate that is electrically coupled to the device layer and to the redistribution layer, a logic-memory interface (LMI) formed on a backside of the single semiconductor substrate that is electrically coupled to the redistribution layer, and a MEMS device formed on the backside of the single semiconductor substrate that is electrically coupled to the redistribution layer. | 03-17-2016 |
20160083246 | MEMS DEVICE AND PROCESS - A MEMS capacitive transducer with increased robustness and resilience to acoustic shock. The transducer structure includes a flexible membrane supported between a first volume and a second volume, and at least one variable vent structure in communication with at least one of the first and second volumes. The variable vent structure includes at least one moveable portion which is moveable in response to a pressure differential across the moveable portion so as to vary the size of a flow path through the vent structure. The variable vent may be formed through the membrane and the moveable portion may be a part of the membrane, defined by one or more channels, that is deflectable away from the surface of the membrane. The variable vent is preferably closed in the normal range of pressure differentials but opens at high pressure differentials to provide more rapid equalisation of the air volumes above and below the membrane. | 03-24-2016 |
20160083248 | MEMS DEVICE AND FABRICATION METHOD THEREOF - The present disclosure provides a method for forming micro-electro-mechanical-system (MEMS) devices. The method includes providing a plurality of wafers; bonding a front surface of at least a first wafer onto a front surface of a second wafer; trimming an edge of and thinning the at least first wafer after the at least first wafer is bonded onto the second wafer; and bonding a first supporting plate onto a front surface of a third wafer. The method further includes thinning a back surface of the third wafer and forming alignment marks on a thinned back surface of the third wafer; bonding a second supporting plate onto the thinned back surface of the third wafer according to the alignment marks; and removing the first supporting plate and bonding the at least first wafer onto the third wafer according to the alignment marks to form a stack structure. | 03-24-2016 |
20160090298 | PACKAGES FOR STRESS-SENSITIVE DEVICE DIES - An integrated device package is disclosed. The package includes a substrate comprising a cavity through a top surface of the substrate. A first integrated device die is positioned in the cavity. The first integrated device die includes one or more active components. A second integrated device die is attached to the top surface of the substrate and positioned over the cavity. The second integrated device die covers the cavity. Encapsulant can cover the second integrate device die. | 03-31-2016 |
20160096723 | MEMS DIE AND METHODS WITH MULTIPLE-PRESSURE SEALING - The present subject matter relates to systems and methods for sealing one or more MEMS devices within an encapsulated cavity. A first material layer can be positioned on a substrate, the first material layer comprising a first cavity and a second cavity that each have one or more openings out of the first material layer. At least the first cavity can be exposed to a first atmosphere and sealed while it is exposed to the first atmosphere while not sealing the second cavity. The second cavity can then be exposed to a second atmosphere that is different than the first atmosphere, and the second cavity can be sealed while it is exposed to the second atmosphere. | 04-07-2016 |
20160104625 | SYSTEMS AND METHODS FOR HORIZONTAL INTEGRATION OF ACCELERATION SENSOR STRUCTURES - Embodiments relate to integrated circuit sensors, and more particularly to sensors integrated in an integrated circuit structure and methods for producing the sensors. In an embodiment, a sensor device comprises a substrate; a first trench in the substrate; a first moveable element suspended in the first trench by a first plurality of support elements spaced apart from one another and arranged at a perimeter of the first moveable element; and a first layer arranged on the substrate to seal the first trench, thereby providing a first cavity containing the first moveable element and the first plurality of support elements | 04-14-2016 |
20160107882 | MEMS DEVICE WITH GETTER LAYER - A MEMS device comprises a first layer ( | 04-21-2016 |
20160111390 | METHOD FOR MANUFACTURING ELECTRONIC DEVICES - An embodiment for manufacturing electronic devices is proposed. The embodiment includes the following phases: a) forming a plurality of chips in a semiconductor material wafer including a main surface; each chip includes respective integrated electronic components and respective contact pads facing the main surface; said contact pads are electrically coupled to the integrated electronic components; b) attaching at least one conductive ribbon to at least one contact pad of each chip; c) covering the main surface of the semiconductor material wafer and the at least one conductive ribbon with a layer of plastic material; d) lapping an exposed surface of the layer of plastic material to remove a portion of the plastic material layer at least to uncover portions of the at least one conductive ribbon, and e) sectioning the semiconductor material wafer to separate the chips. | 04-21-2016 |
20160116286 | Electronic Device, Electronic Apparatus, and Moving Object - An electronic device includes a vibration element having a detection signal electrode and a drive signal electrode, an IC disposed so as to be opposed to the vibration element, a first wiring pattern located between the IC and the vibration element, and electrically connected to the drive signal electrode, and a shield wiring pattern located on the vibration element side of the first wiring pattern, and electrically connected to a constant potential (ground). | 04-28-2016 |
20160122179 | SENSOR PACKAGE AND MANUFACTURING METHOD THEREOF - The sensor package according to an exemplary embodiment in the present disclosure includes a substrate; and at least one sensor chip mounted on a surface of the substrate, wherein the sensor chip is mounted on the substrate using a face-down bonding scheme. | 05-05-2016 |
20160126446 | PASSIVATION AND ALIGNMENT OF PIEZOELECTRONIC TRANSISTOR PIEZORESISTOR - A method of forming a piezoelectronic transistor (PET) device, the PET device, and a semiconductor including the PET device are described. The method includes forming a first metal layer, forming a layer of a piezoelectric (PE) element on the first metal layer, and forming a second metal layer on the PE element. The method also includes forming a well above the second metal layer, forming a piezoresistive (PR) material in the well and above the well, and forming a passivation layer and a top metal layer above the PR material at the diameter of the PR material above the well, wherein a cross sectional shape of the well, the PR material above the well, the passivation layer, and the top metal layer is a T-shaped structure. The method further includes forming a metal clamp layer as a top layer of the PET device. | 05-05-2016 |
20160126447 | PIEZOELECTRONIC TRANSISTOR WITH CO-PLANAR COMMON AND GATE ELECTRODES - A method of forming a piezoelectronic transistor (PET), the PET, and a semiconductor device including the PET are described. The method includes forming a piezoelectric (PE) element with a trench and forming a pair of electrodes on the PE element in a coplanar arrangement in a first plane, both of the pair of electrodes being on a same side of the PE element. The method also includes forming a piezoresistive (PR) element above the pair of electrodes and forming a clamp above the PR element. Applying a voltage to the pair of electrodes causes displacement of the PE element perpendicular to the first plane. | 05-05-2016 |
20160126448 | INTEGRATING A PIEZORESISTIVE ELEMENT IN A PIEZOELECTRONIC TRANSISTOR - A semiconductor device, a piezoelectronic transistor (PET) device, and a method of fabricating the PET device are described. The method includes forming a first stack of dielectric layers, forming a first metal layer over the first stack, forming a piezoelectric (PE) material on the first metal layer, and forming a second metal layer on the PE material. The method also includes forming a piezoresistive (PR) element on the second metal layer through a gap in a first membrane formed a distance d above the second metal layer. | 05-05-2016 |
20160130135 | PHYSICAL QUANTITY SENSOR, METHOD FOR MANUFACTURING PHYSICAL QUANTITY SENSOR, ELECTRONIC DEVICE, AND MOVING BODY - A physical quantity sensor includes a supporting substrate, an acceleration detecting element that is mounted on the supporting substrate, and a sealing substrate that is bonded to the supporting substrate, and seals the acceleration detecting element, in which a notch portion is formed in a portion of a bonded face to the supporting substrate, in the sealing substrate, and a filling material that is configured by a material which is different from a material configuring the sealing substrate, is arranged in the notch portion. | 05-12-2016 |
20160130136 | ENVIRONMENTAL SENSOR STRUCTURE - A device in which an electronic circuit positioned within a cavity of a package housing is encased by a bubble restrictor material, with a media resistant material overlaying the bubble restrictor material. The bubble restrictor material functions to inhibit the formation and growth of moisture-related bubbles within the material, including at the interfaces of the material and surfaces within the package housing. The media resistant material is resistant to physical and chemical alterations by media within an external environment to which the device is exposed. The media resistant material and bubble resistant material function to transfer a sensed characteristic of the media to the electronic circuit. | 05-12-2016 |
20160130137 | MICROELECTROMECHANICAL SYSTEMS (MEMS) DEVICES AT DIFFERENT PRESSURES - Some embodiments relate to multiple MEMS devices that are integrated together on a single substrate. A device substrate comprising first and second micro-electro mechanical system (MEMS) devices is bonded to a capping structure. The capping structure comprises a first cavity arranged over the first MEMS device and a second cavity arranged over the second MEMS device. The first cavity is filled with a first gas at a first gas pressure. The second cavity is filled with a second gas at a second gas pressure, which is different from the first gas pressure. A recess is arranged within a lower surface of the capping structure. The recess abuts the second cavity. A vent is arranged within the capping structure. The vent extends from a top of the recess to the upper surface of the capping structure. A lid is arranged within the vent and configured to seal the second cavity. | 05-12-2016 |
20160130139 | MEMS Sensor Cap with Multiple Isolated Electrodes - The cap wafer for a MEMS device includes multiple electrically isolated electrodes that can be bonded and electrically connected to separate electrical contacts on a MEMS device wafer. The electrically isolated electrodes can be used for any of a variety of functions, such as for apply a force to a movable MEMS structure on the MEMS device wafer (e.g., for driving resonance of the movable MEMS structure or for adjusting a resonance or sense mode of the movable MEMS structure) or for sensing motion of a movable MEMS structure on the MEMS device wafer. Since the electrodes are electrically isolated, different electrodes may be used for different functions. | 05-12-2016 |
20160137487 | PACKAGE STRUCTURE INCLUDING A CAVITY COUPLED TO AN INJECTION GAS CHANNEL COMPOSED OF A PERMEABLE MATERIAL - A packing structure including:
| 05-19-2016 |
20160137489 | MICROELECTROMECHANICAL SYSTEMS DEVICE - A microelectromechanical systems (MEMS) device includes: a circuit board; a lower cap disposed on the circuit board; a case disposed on the lower cap and having an internal space; a rotating part rotatably mounted in the case; an upper cap disposed on the case and including a reinforcing part disposed above a axis of the rotating part; and a molding layer encasing the lower cap, the case, and the upper cap. | 05-19-2016 |
20160137492 | MEMS AND CMOS INTEGRATION WITH LOW-TEMPERATURE BONDING - The present disclosure relates to method of forming a MEMS device that mitigates the above mentioned difficulties. In some embodiments, the present disclosure relates to a method of forming a MEMS device, which forms one or more cavities within a first side of a carrier substrate. The first side of the carrier substrate is then bonded to a dielectric layer disposed on a micro-electromechanical system (MEMS) substrate, and the MEMS substrate is subsequently patterned to define a soft mechanical structure over the one or more cavities. The dielectric layer is then selectively removed, using a dry etching process, to release the one or more soft mechanical structures. A CMOS substrate is bonded to a second side of the MEMS substrate, by way of a bonding structure disposed between the CMOS substrate and the MEMS substrate, using a low-temperature bonding process. | 05-19-2016 |
20160145095 | ISOLATION STRUCTURE FOR MEMS 3D IC INTEGRATION - A three-dimensional (3D) integrated circuit (IC) includes a first IC and a second IC. The first IC includes a MEMS device and a first bonding structure. The second IC includes a second bonding structure. The first and second bonding structures are bonded together to couple the first IC to the second IC. A conformal barrier layer is disposed over a surface of the second IC nearest the first IC. An etch isolation structure is arranged beneath the surface of the second IC and encloses a sacrificial region which is arranged on either side of the second bonding structure and which is arranged in the second IC. | 05-26-2016 |
20160159640 | MEMS CAVITY SUBSTRATE - In accordance with an example embodiment of this disclosure, a micro-electromechanical system (MEMS) device comprises a substrate, a CMOS die, and a MEMS die, each of which comprises a top side and a bottom side. The bottom side of the CMOS die is coupled to the top side of the substrate, and the MEMS die is coupled to the top side of the CMOS die, and there is a cavity positioned between the CMOS die and the substrate. The cavity may be sealed by a sealing substance, and may be filled with a filler substance (e.g., an adhesive) that is different than the sealing substance (e.g., a gaseous or non-gaseous substance). The cavity may be fully or partially surrounded by one or more downward-protruding portions of the CMOS die and/or one or more upward-protruding portions of the substrate. | 06-09-2016 |
20160167945 | MICROELECTROMECHANICAL SYSTEMS (MEMS) STOPPER STRUCTURE FOR STICTION IMPROVEMENT | 06-16-2016 |
20160167949 | METHOD OF LOWER PROFILE MEMS PACKAGE WITH STRESS ISOLATIONS | 06-16-2016 |
20160167950 | ENCAPSULATED MICROELECTROMECHANICAL STRUCTURE | 06-16-2016 |
20160167952 | MICROELECTRONIC PACKAGES HAVING HERMETIC CAVITIES AND METHODS FOR THE PRODUCTION THEREOF | 06-16-2016 |
20160167954 | MONOLITHICALLY INTEGRATED MULTI-SENSOR DEVICE ON A SEMICONDUCTOR SUBSTRATE AND METHOD THEREFOR | 06-16-2016 |
20160176707 | REDUCING MEMS STICTION BY INCREASING SURFACE ROUGHNESS | 06-23-2016 |
20160178372 | Gyro MEMS Sensor Package | 06-23-2016 |
20160185592 | Method of Selectively Removing an Anti-Stiction Layer on a Eutectic Bonding Area - A microelectromechanical systems (MEMS) package includes a eutectic bonding structure free of a native oxide layer and an anti-stiction layer, while also including a MEMS device having a top surface and sidewalls lined with the anti-stiction layer. The MEMS device is arranged within a MEMS substrate having a first eutectic bonding substructure arranged thereon. A cap substrate having a second eutectic bonding substructure arranged thereon is eutectically bonded to the MEMS substrate with a eutectic bond at the interface of the first and second eutectic bonding substructures. The anti-stiction layer lines a top surface and sidewalls of the MEMS device, but not the first and second eutectic bonding substructures. A method for manufacturing the MEMS package and a process system for selective plasma treatment are also provided. | 06-30-2016 |
20160200565 | RF MEMS ELECTRODES WITH LIMITED GRAIN GROWTH | 07-14-2016 |
20160376143 | MEMS DEVICE WITH ELECTRODES PERMEABLE TO OUTGASSING SPECIES - A MEMS device and method for providing a MEMS device are disclosed. In a first aspect, the MEMS device comprises a first substrate and a second substrate coupled to the first substrate forming a sealed enclosure. A moveable structure is located within the sealed enclosure. An outgassing layer is formed on the first or second substrates and within the sealed enclosure. A first conductive layer is disposed between the moveable structure and the outgassing layer, wherein the first conductive layer allows outgassing species to pass therethrough. | 12-29-2016 |
20170233244 | MEMS Automatic Alignment High-And-Low Comb Tooth and Manufacturing Method Thereof | 08-17-2017 |
20170233249 | MICROELECTROMECHANICAL SYSTEMS (MEMS) DEVICES AT DIFFERENT PRESSURES | 08-17-2017 |
20180022599 | SEMICONDUCTOR ARRANGEMENT AND FORMATION THEREOF | 01-25-2018 |
20180026176 | METHOD FOR MANUFACTURING NIOBATE-SYSTEM FERROELECTRIC THIN-FILM DEVICE | 01-25-2018 |
20190144265 | WAFER LEVEL PACKAGING FOR MEMS DEVICE | 05-16-2019 |
20190144266 | DISCHARGE CIRCUITS, DEVICES AND METHODS | 05-16-2019 |
20190144267 | ELECTRONIC SENSORS WITH SENSOR DIE IN PACKAGE STRUCTURE CAVITY | 05-16-2019 |
20190148174 | SEMICONDUCTOR SENSOR AND METHOD FOR MANUFACTURING THE SAME | 05-16-2019 |
20220135398 | HERMETICALLY SEALED, TOUGHENED GLASS PACKAGE AND METHOD FOR PRODUCING SAME - A hermetically sealed package includes: a base substrate and a cover substrate which define at least part of the package, the base substrate and the cover substrate being hermetically sealed to one another by at least one laser bonding line, the at least one laser bonding line having a height perpendicular to its bonding plane, at least the cover substrate including a toughened layer at its surface, at least on a side opposite the at least one laser bonding line; and at least one functional area enclosed in the package. | 05-05-2022 |
20220140225 | MICRO-ELECTROMECHANICAL SYSTEM DEVICE AND METHOD OF FORMING THE SAME - A micro-electromechanical system (MEMS) device and a method of forming the same, the MEMS device includes a composite substrate, a cavity, a piezoelectric stacking structure and a proof mass. The composite substrate includes a first semiconductor layer, a bonding layer and a second semiconductor layer from bottom to top. The cavity is disposed in the composite substrate, and the cavity is extended from the second semiconductor layer into the first semiconductor layer and not penetrated the first semiconductor layer. The piezoelectric stacking structure is disposed on the composite substrate, with the piezoelectric stacking structure having a suspended region over the cavity. The proof mass is disposed in the cavity to connect to the piezoelectric stacking structure. | 05-05-2022 |