Patents - stay tuned to the technology

Inventors list

Assignees list

Classification tree browser

Top 100 Inventors

Top 100 Assignees


MASKING OF A SUBSTRATE USING MATERIAL RESISTANT TO AN ETCHANT (I.E., ETCH RESIST)

Subclass of:

216 - Etching a substrate: processes

Patent class list (only not empty are listed)

Deeper subclasses:

Class / Patent application numberDescriptionNumber of patent applications / Date published
216049000 Mask resist contains organic compound 60
216047000 Mask is multilayer resist 44
216048000 Mask is exposed to nonimaging radiation 30
216051000 Mask resist contains inorganic material 22
216044000 Mechanically forming pattern into a resist 12
216042000 Resist material applied in particulate form or spray 6
216045000 Mask is reusable (i.e., stencil) 4
20100181288Method of fabrication of micro- and nanofilters - Micro- and nanofilters have a wide range of applications in many fields, including medical diagnostics, drug delivery, medical implants, and hemodialysis. Some issues that limit commercial application of current nanofilters in medicine are low pore density, non-uniform pore size, and the use of materials that are not biocompatible. A method is described to fabricate high porosity polymer and diamond micro- and nanofilters producing smooth, uniform and straight pores of high aspect ratio. Pore size, density, and shape can be predetermined with a high degree of precision by masks and controlled etch. The method combines energetic neutral atom beam lithography and a mask. This technology allows etching polymeric materials in a clean, well-controlled, and charge-free environment, making it very suitable for fabricating nanofilters and other components for biomedical applications.07-22-2010
20110031213Method for Marking Valuable Articles - The disclosure relates to methods of marking valuable items, mainly precious stones and in particular, cut diamonds, and can be used for their identification. To implement the marking procedure, the identification surface of the product is first polished. A marking image, optically visible in reflected light is formed on the polished surface by modifying the identification area of said surface by means of a guided ion beam with a given ion energy. In the modification process, the composition of the surface layer is modified with the possibility of changing the optical properties of modified sites in relation to the optical properties of untreated sites of the identification surface. The modification of the identification surface is carried out by a pulse ion beam through a stencil mask, resulting in implantation of modifier ions into the crystal lattice of the marking area of the surface layer without damaging the covalent bonds between the atoms of the lattice and, accordingly, without damage to the original topography of this layer. Said changes in the optical properties of the marking area are provided through the use as a modifier of such material, the ions of which alter the complex refractive index of the base material upon implantation into its crystal lattice as doping additives.02-10-2011
20140183161Methods and Systems for Site-Isolated Combinatorial Substrate Processing Using a Mask - Embodiments provided herein describe methods and systems for processing substrates. A substrate processing tool includes a housing having a sidewall and a lid. The housing defines a processing chamber. A substrate support is configured to support a substrate within the processing chamber. A plasma generation source is coupled to the housing and in fluid communication with the processing chamber through the lid of the housing. The plasma generation source is configured to provide a plasma activated species into the processing chamber. A mask is positioned within the processing chamber to at least partially shield the substrate from the plasma activated species. The mask includes a plurality of openings configured such that when the mask is in first and second positions, the plasma activated species passes through a respective first and second of the plurality of openings and causes first and second regions on the substrate to be processed.07-03-2014
20160064198PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus that performs plasma processing on a substrate held on a transport carrier including an annular frame and a holding sheet. The apparatus includes a process chamber; a process gas supply unit that supplies process gas to the process chamber; a decompressing mechanism that decompresses the process chamber; a plasma excitation device that generates plasma in the process chamber; a stage in the chamber, on which the transport carrier is loaded; a cooling mechanism for cooling the stage; a cover that partly covers the holding sheet and the frame and that has a window section through which the substrate is partly exposed to plasma; a correction member that presses the frame onto the stage and corrects warpage of the frame; and a movement device that moves the correction member. The correction member is provided separately from the cover to be covered by the cover.03-03-2016
216043000 Adhesively bonding resist to substrate 4
20080264902Method of forming a thin film pattern and method of fabricating a liquid crystal display device - A method of forming a thin film pattern includes: providing a printing roller and a substrate including a thin film; coating the printing roller with an etch-resist solution including a base polymer, a carrier solvent, a tackifier and a surfactant; removing the carrier solvent from the coated etch-resist solution thereby transitioning the etch-resist solution from liquid phase to solid phase; patterning the solid etch-resist; transferring the patterned etch-resist from the printing roller to the substrate; and patterning the thin film corresponding to the transferred etch-resist.10-30-2008
20090107953METHODS FOR FORMING SURFACE FEATURES USING SELF-ASSEMBLING MASKS - A method for producing surface features and an etch masking method. A combination is provided of a block copolymer and additional material. The block copolymer includes a first block of a first polymer covalently bonded to a second block of a second polymer. The additional material is miscible with the first polymer. A film is formed of the combination directly onto a surface of a first layer. Nanostructures of the additional material self-assemble within the first polymer block. The film of the combination and the first layer are etched. The nanostructures have an etch rate lower than an etch rate of the block copolymer and lower than an etch rate of the first layer. The film is removed and features remain on the surface of the first layer. Also included is an etch masking method where the nanostructures mask portions of the first layer from said etchant.04-30-2009
20150053643HOT MELT COMPOSITIONS WITH IMPROVED ETCH RESISTANCE - Hot melt compositions include non-aromatic cyclic (alkyl)acrylates and low acid number waxes. Upon application of actinic radiation, the hot melt compositions cure to form resists. They may be stripped from substrates with high alkaline strippers. The hot melt compositions may be used in the manufacture of printed circuit boards and photovoltaic devices.02-26-2015
20160059537FILM STRUCTURE, PRODUCING METHOD AND ETCHING METHOD - Film, which has a fine structure and is adhered to various materials in an easy and strong manner without an adhesive agent, and a composite structure, film laminate, producing method and etching method, are provided. Solution in which a hydrophobic high molecular compound and a catechol group-containing compound are dissolved in solvent is cast, to form cast film. Dew is condensed on an uncovered surface of the cast film. The solvent and water droplets upon the condensation are evaporated from the cast film, to produce porous film. The porous film has a honeycomb structure in which plural pores are formed in one film surface. The pores are in a substantially equal shape and size, and are arranged regularly at a constant pitch. The film surface on a side having the pores of the porous film is a functional surface having an adhesive property.03-03-2016
216046000 Masking of sidewall 3
20080197109Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask - A multilayer antireflective hard mask structure is disclosed. The structure comprises: (a) a CVD organic layer, wherein the CVD organic layer comprises carbon and hydrogen; and (b) a dielectric layer over the CVD organic layer. The dielectric layer is preferably a silicon oxynitride layer, while the CVD organic layer preferably comprises 70-80% carbon, 10-20% hydrogen and 5-15% nitrogen. Also disclosed are methods of forming and trimming such a multilayer antireflective hard mask structure. Further disclosed are methods of etching a substrate structure using a mask structure that contains a CVD organic layer and optionally has a dielectric layer over the CVD organic layer.08-21-2008
20080217292Registered structure formation via the application of directed thermal energy to diblock copolymer films - Methods for fabricating sublithographic, nanoscale linear microchannel arrays over surfaces without defined features utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. Embodiments of the methods use a multilayer induced ordering approach to align lamellar films to an underlying base film within trenches, and localized heating to anneal the lamellar-phase block copolymer film overlying the trenches and outwardly over the remaining surface.09-11-2008
20120118855METHOD FOR EDGE SEALING BARRIER FILMS - An edge-sealed barrier film composite. The composite includes a substrate and at least one initial barrier stack adjacent to the substrate. The at least one initial barrier stack includes at least one decoupling layer and at least one barrier layer. One of the barrier layers has an area greater than the area of one of the decoupling layers. The decoupling layer is sealed by the first barrier layer within the area of barrier material. An edge-sealed, encapsulated environmentally sensitive device is provided. A method of making the edge-sealed barrier film composite is also provided.05-17-2012
Entries
DocumentTitleDate
20080210663METHOD FOR MANUFACTURING A PROBE - The present invention provides a probe manufacturing method in which, after a metal material for a probe is deposited on a base table, the probe can be detached from the base table relatively easily. A sacrificial layer is formed on a base table. The sacrificial layer is partially removed so as to form a recess in the sacrificial layer. A mask that exposes an area formed in a desired probe flat surface shape containing the recess is formed on the sacrificial layer. A probe material exhibiting different etching resistance characteristics from those of the sacrificial layer is deposited in the area exposed from the mask. By the deposition of the material, a coupling portion corresponding to the recess and a probe that is integral with the coupling portion are formed. After the mask is removed, the sacrificial layer is removed with use of etchant. Thereafter, the probe held on the base table at the coupling portion is detached from the base table together with the coupling portion.09-04-2008
20080223823Video rate-enabling probes for atomic force microscopy - Method for producing a probe for atomic force microscopy with a silicon nitride cantilever and an integrated single crystal silicon tetrahedral tip with high resonant frequencies and low spring constants intended for high speed AFM imaging.09-18-2008
20080223824COLUMNAR STRUCTURED MATERIAL AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a dot pattern includes the steps of preparing a structured material composed of a plurality of columnar members containing a first component and a region containing a second component different from the first component surrounding the columnar members, with the structured material being formed by depositing the first component and the second component on a substrate, and removing the columnar members from the structured material to form a porous material having a columnar hole. In addition, a material is introduced into the columnar hole portions of the porous material to form a dot pattern, and the porous material is removed.09-18-2008
20080230514METHOD OF PRODUCING NANOPATTERNED TEMPLATES - Nanopatterned substrates can be prepared by a method that includes forming a block copolymer film on a substrate, annealing the block copolymer film, surface reconstructing the annealed block copolymer film, coating an etch-resistant layer on the surface reconstructed block copolymer film, etching the resist-coated block copolymer film to create an etched article comprising a nanopatterned substrate, and separating the etch-resistant layer and the block copolymer film from the nanopatterned substrate. The method is applicable to a wide variety of substrate materials, avoids any requirement for complicated procedures to produce long-range order in the block copolymer film, and avoids any requirement for metal functionalization of the block copolymer.09-25-2008
20080230515Power module member manufactured by wet treatment, and wet treatment method and wet treatment equipment thereof - The present invention provides a method of forming a circuit pattern on an integrally bonded member, the method not requiring a correction step of a laminate film or a resist film which has been necessary at the time of wet treatment of the integrally bonded member. After a circuit pattern forming metal plate is bonded on a part of a ceramic substrate so as to expose an outer peripheral edge portion of the ceramic substrate in an integrally bonded member, the integrally bonded member is set on a treating apparatus while being covered with a masking member having a window portion from which the circuit pattern forming metal plate of the integrally bonded member is exposed. Further, the integrally bonded member is pressed with an appropriate pressure from a base plate side so that a boundary surface between a portion surrounding the window portion in the masking member and an exposed surface of the ceramic substrate which is exposed in a metal-ceramic bonded member has a state not allowing a liquid to pass therethrough. Thereafter, a treatment solution for wet treatment is injected from an injection pipe to be in contact with the circuit pattern forming metal plate.09-25-2008
20080251498PHASE CHANGE MEMORY DEVICE AND FABRICATIONS THEREOF - A method for forming a memory device is disclosed. A dielectric layer is formed on a substrate. A Sn doped phase change layer is formed on the dielectric layer. A patterned mask layer is formed on the Sn doped phase change layer. The Sn doped phase change layer is etched by an etchant comprising fluorine-based etchant added with chlorine using the patterned mask layer as a mask to pattern the Sn doped phase change layer. An electrode is formed, electrically connecting the patterned Sn doped phase change layer.10-16-2008
20080257861Method of manufacturing self-ordered nanochannel-array and method of manufacturing nanodot using the nanochannel-array - A method of manufacturing a nanochannel-array and a method of fabricating a nanodot using the nanochannel-array are provided. The nanochannel-array manufacturing method includes: performing first anodizing to form a first alumina layer having a channel array formed by a plurality of cavities on an aluminum substrate; etching the first alumina layer to a predetermined depth and forming a plurality of concave portions on the aluminum substrate, wherein each concave portion corresponds to the bottom of each channel of the first alumina layer; and performing second anodizing to form a second alumina layer having an array of a plurality of channels corresponding to the plurality of concave portions on the aluminum substrate. The array manufacturing method makes it possible to obtain finely ordered cavities and form nanoscale dots using the cavities.10-23-2008
20080257862Method of chemical mechanical polishing of a copper structure using a slurry having a multifunctional activator - The present invention relates to aqueous slurry/solution compositions for the Chemical Mechanical Polishing/Planarization (“CMP”) of substrates. In particular, the novel slurries/solutions of the present invention contain a multifunctional activator which provides increased copper removal rate to the aqueous polishing slurry/solution while suppressing isotropic chemical etch and dishing of copper lines.10-23-2008
20090001049METHODS OF ETCHING ARTICLES VIA MICROCONTACT PRINTING - Improved methods of forming a patterned self-assembled monolayer on a surface and derivative articles are provided. According to one method, an elastomeric stamp is deformed during and/or prior to using the stamp to print a self-assembled molecular monolayer on a surface. According to another method, during monolayer printing the surface is contacted with a liquid that is immiscible with the molecular monolayer-forming species to effect controlled reactive spreading of the monolayer on the surface. Methods of printing self-assembled molecular monolayers on nonplanar surfaces and derivative articles are provided, as are methods of etching surfaces patterned with self-assembled monolayers, including methods of etching silicon. Optical elements including flexible diffraction gratings, mirrors, and lenses are provided, as are methods for forming optical devices and other articles using lithographic molding. A method for controlling the shape of a liquid on the surface of an article is provided, involving applying the liquid to a self-assembled monolayer on the surface, and controlling the electrical potential of the surface.01-01-2009
20090014414SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING SYSTEM, AND COMPUTER-READABLE STORAGE MEDIUM - A substrate processing method includes preparing a substrate having a low-k interlayer dielectric film as a to-be-etched film and a photoresist film, formed on the low-k interlayer insulating film, serving as an etching mask with a predetermined circuit pattern; etching the low-k interlayer insulating film through the photoresist film to form grooves and/or holes in the low-k interlayer insulating film; ashing the photoresist film by using hydrogen radicals generated by bring a hydrogen-containing gas into contact with a catalyst of a high temperature; and recovering damage to the low-k interlayer insulating film due to the ashing by supplying a specific recovery gas. The method further includes recovering damage to the low-k interlayer insulating film due to the etching by supplying a specific recovery gas.01-15-2009
20090045165Semiconductor device manufacturing method and storage medium - An object of the present invention is to obtain a favorable etching shape in etching an organic film formed on a substrate.02-19-2009
20090057266LINE EDGE ROUGHNESS CONTROL - In one embodiment, a method includes providing a plasma etch reactor including a vacuum chamber and an electrode disposed inside of the chamber, and providing a stack to be etched over the electrode, the stack including a patterned photoresist over a dielectric layer. The method further includes providing a chamber pressure between about 75 mT and about 150 mT, flowing gases including CF03-05-2009
20090065476METHOD FOR MANUFACTURING LIQUID DISCHARGE HEAD - A method for manufacturing a substrate for a liquid discharge head having a silicon substrate provided with a supply port of a liquid comprises steps of preparing a substrate which is provided with a passive film on one side face thereof, has a first recess and a second recess provided therein so as to penetrate from the one side face into the inner part through the passive film, wherein the recesses satisfy a relation of a×tan 54.7 degrees≦d, when a is defined as a distance between the first recess and the second recess, and d is defined as a depth of the second recess, and forming the supply port by anisotropically etching the crystal from the one side face.03-12-2009
20090090693METHOD FOR FABRICATING MICROMACHINED STRUCTURES - A method for fabricating micromachined structures is provided. At least one cavity is formed on a substrate and then a dielectric material different from the material of the substrate is filled in the at least one cavity. Next, a circuitry layer including a first etch-resistant layer and a dielectric layer is formed above the at least one cavity filled with the dielectric material. A portion of the circuitry layer exposed by the first etch-resistant layer is then etched. Finally, the dielectric material in the at least one cavity is etched out.04-09-2009
20090090694SHAPING A COVER GLASS - The fabrication of a touch sensor panel having co-planar single-layer touch sensors fabricated on the back side of a cover glass is disclosed. It can be desirable from a manufacturing perspective to perform all thin-film processing steps on a motherglass before separating it into separate parts. To perform thin-film processing on a motherglass before separation, a removable sacrificial layer such as a photoresist can be applied over the thin-film layers. Next, the motherglass can be scribed and separated, and grinding and polishing steps can be performed prior to removing the sacrificial layer. In alternative embodiments, after the protective sacrificial layer is applied, the bulk of the coverglass can be dry-etched using a very aggressive anisotropic etching that etches primarily in the z-direction. In this embodiment, the etching can be patterned using photolithography to create rounded corners or any other shape. The photoresist can then be removed.04-09-2009
20090107952Sacrificial Compositions And Methods Of Fabricating A Structure Using Sacrificial Compositions - Compositions, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary composition, among others, includes a polymer and a catalytic amount of a negative tone photoinitiator.04-30-2009
20090120904METHOD AND DEVICE FOR MANUFACTURING STRUCTURE HAVING PATTERN, AND METHOD FOR MANUFACTURING MOLD - A structure having a pattern is manufactured. An elastically deformable process target is elastically deformed in an inplane direction from a first state. A first pattern is formed on the process target deformed. The elastically deformed process target is made close to or returned to the first state, thereby to form a second pattern having a size and a shape at least one of which differs from those of the first pattern.05-14-2009
20090127226PROCESS FOR PRODUCING SELF-SUPPORTING TITANIUM AND NICKEL LAYERS - A process for producing a self-supporting layer made of a titanium and nickel alloy with superelastic and/or shape memory properties has the following steps: a substrate entirely or at least mainly made of silicon is provided, a layer of said alloy is applied to a surface of the substrate, the substrate with the desired form is cut out of a wafer or formed by a wafer with the desired form; at least some zones of the lateral surfaces of the substrate adjoining the zones of the surface of the substrate which receive the layer are subjected to an etching process; a layer of said alloy is applied to the surface of the substrate; and the substrate is removed from the applied layer. Also disclosed is a substrate suitable for carrying out the process and an object, in particular an implant, comprising at least one layer produced by this process.05-21-2009
20090152238SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING SYSTEM - A solvent vapor is made to adhere efficiently to the surface of a resist pattern without using an ultraviolet irradiation process to improve processing accuracy, to reduce processing time and to suppress the diffusion of the solvent outside a substrate processing system.06-18-2009
20090152239PROCESS FOR PRODUCING A CHIP USING A MOLD - A mold capable of a highly accurate alignment with a member to be processed in such a state that a photocurable resin material is disposed between the mold and the member to be processed, and is constituted by a substrate 06-18-2009
20090179003SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS AND RECORDING MEDIUM - An ashing process in which an etching mask is removed through ashing by supplying hydrogen radicals toward a wafer W being heated to a predetermined temperature and a restoration process in which the film quality of a low dielectric constant insulating film having been damaged during an etching process is restored while, at the same time, rendering the low dielectric constant insulating film exposed at a recessed portion into a hydrophobic state by supplying a gas containing a β-diketone compound with an ignition point equal to or higher than 300° C. toward the wafer W having undergone the ashing process, are executed.07-16-2009
20100000968METHOD FOR FORMING CONTINUOUS CHANNEL OF THE SURFACE OF CASTING ROLL FOR THE TWIN ROLL STRIP CASTING PROCESS - Disclosed is a method of forming a continuous channel for gas discharge in the surface of a casting roll for twin roll strip casting, and particularly, a method of forming a continuous channel for gas discharge on the surface of a casting roll for twin roll strip casting, suitable for the formation of dimples on the surface of a casting roll for a twin roll strip caster, which includes applying a photoresist on the entire surface of a casting roll, conducting patterning on the applied photoresist, developing the surface of the casting roll, subjected to the patterning, and etching the metal surface of the casting roll, exposed in the developing, and which prevents the generation of dents, enables the manufacture of a cast product having no defects, and realizes wide applicability not only to high Mn steel but also to types of casting steel capable of generating dents or similar defects due to a large amount of volatile as.01-07-2010
20100006540METHOD OF MANUFACTURING A MICROMECHANICAL PART - The invention relates to a method of manufacturing (01-14-2010
20100018950MOVABLE BODY APPARATUS, PATTERN FORMING APPARATUS AND PATTERN FORMING METHOD, DEVICE MANUFACTURING METHOD, MANUFACTURING METHOD OF MOVABLE BODY APPARATUS, AND MOVABLE BODY DRIVE METHOD - A substrate stage and an empty-weight canceling mechanism that supports an empty weight of the substrate stage are made up of separate bodies. Accordingly, the size and weight of the substrate stage (a structure including the substrate stage) can be reduced, compared with the case where the substrate stage and the empty-weight canceling mechanism are integrally configured. Further, due to movement of an X coarse movement stage and a Y coarse movement stage by an X drive mechanism and a Y drive mechanism, the substrate stage is driven in an XY plane and also the empty-weight canceling mechanism that supports the empty weight of the substrate stage is driven. With this operation, the substrate stage can be driven without difficulty even when the substrate stage and the empty-weight canceling mechanism are configured of separate bodies.01-28-2010
20100025366METHOD OF PRODUCING MOLD - A method for producing a mold, used for imprint, by dry etching a substrate made of quartz by using a dry etching apparatus, the method includes: a mask forming step for forming an etching mask having a concave and convex pattern on the substrate, and an etching step for forming a protective film on a side wall of the etching mask and for etching the substrate at the same time.02-04-2010
20100032409FABRICATING A GRAPHENE NANO-DEVICE - Nanoscale graphene structure fabrication techniques are provided. An oxide nanowire useful as a mask is formed on a graphene layer and then ion beam etching is performed. A nanoscale graphene structure is fabricated by removing a remaining oxide nanowire after the ion beam etching.02-11-2010
20100038342PREPARATION OF SUPER WATER REPELLENT SURFACE - A super water repellent surface is prepared by arranging plural spherical beads on a substrate surface to form a (N)-th bead layer, etching the substrate surface with the (N)-th bead layer as an etching mask, arranging plural spherical beads, which are larger than the (N)-th beads in diameter, on the substrate surface to form a (N+1)-th bead layer, etching the substrate surface with the (N+1)-th bead layer as an etching mask, removing the beads from the etched substrate surface and coating a fluorine compound on the substrate surface on which a hierarchical concavo-convex structure has been formed. The (N+1)-th bead layer forming step and the (N+1)-th etching step are repeated N times.02-18-2010
20100051582Cleaning liquid used in process for forming dual damascene structure and a process for treating substrate therewith - It is disclosed a cleaning liquid used in a process for forming a dual damascene structure comprising steps of etching a low dielectric layer (low-k layer) accumulated on a substrate having thereon a metallic layer to form a first etched-space; charging a sacrifice layer in the first etched-space; partially etching the low dielectric layer and the sacrifice layer to form a second etched-space connected to the first etched-space; and removing the sacrifice layer remaining in the first etched-space with the cleaning liquid, wherein the cleaning liquid comprises (a) 1-25 mass % of a quaternary ammonium hydroxide, such as TMAH and choline, (b) 30-70 mass % of a water soluble organic solvent, and (c) 20-60 mass % of water. The cleaning liquid attains in a well balanced manner such effects that a sacrifice layer used for forming a dual damascene structure is excellently removed, and a low dielectric layer is not damaged upon formation of a metallic wiring on a substrate having a metallic layer (such as a Cu layer) and the low dielectric layer formed thereon.03-04-2010
20100065531Methods Of Patterning A Substrate - A method of patterning a substrate in accordance with an embodiment of the invention includes forming a plurality of openings within at least one of photoresist and amorphous carbon. The openings are of common outermost cross sectional shape relative one another. Individual of the openings have at least one lateral open dimension having a degree of variability among the plurality. The photoresist with the plurality of openings is exposed to/treated with a plasma effective to both increase the lateral open size of the openings and at least reduce the degree of variability of said at least one open dimension among the openings. Other aspects and implementations are contemplated.03-18-2010
20100108638Method for producing a mould for nanostructured polymer objects - A mould for objects made of polymer material is produced by successively depositing a barrier thin layer and a thin layer of diamond-like carbon on at least a part of a metal support. The thin layer of diamond-like carbon is then nanopatterned with a predetermined pattern presenting a form factor of more than 1. Nanopatterning is performed by selective chemical etching in dry phase through a hard mask and etching stops at an interface between the thin layer of diamond-like carbon and the barrier thin layer. The hard mask used was formed beforehand on a free surface of the thin layer of diamond-like carbon by selective chemical etching in dry phase performed through a void lattice delineated by nanoparticles deposited beforehand on a free surface of said hard mask. The barrier thin layer and the nanopatterned thin layer of diamond-like carbon form a bilayer coating presenting a thickness comprised between about 100 nm and about 10 μm.05-06-2010
20100108639IMPRINTING MOLD AND METHOD OF PRODUCING IMPRINTING MOLD - An imprinting mold having a recess/protrusion surface. The recess/protrusion surface is made up of a plurality of regions different in the ratio of the area of recesses to the area of protrusions, and a recess/protrusion surface of a region where the recess area percentage is relatively small is formed deeper in recess/protrusion depth than a recess/protrusion surface of a region where the recess area percentage is relatively large.05-06-2010
20100108640DRAWING METHOD, STAMPER MANUFACTURING METHOD, INFORMATION RECORDING MEDIUM MANUFACTURING METHOD, AND DRAWING APPARATUS - A drawing method and a drawing apparatus use a drawing beam to draw a pattern, which will be used when forming a concave/convex pattern, on a resin layer formed on a substrate. When doing so, to prevent insufficient exposure to the drawing beam in certain parts of the pattern that tend to be insufficiently exposed, the number of irradiation of the drawing beam is changed. By doing so, the production of defects in the concave/convex pattern is avoided and the pattern can be made finer.05-06-2010
20100116785METHOD OF MAKING A VARIABLE SURFACE AREA STENT - A stent of variable surface area as determined by stent struts. The stent can have a variable surface area per unit length which accommodates a therapeutic agent. A patterned distribution of therapeutic agent can be provided throughout the stent. The stent can have an increased level of therapeutic agent near an end of the stent. A decreased level of therapeutic agent can be provided near an end of one embodiment of a stent. Indentations can be provided at the surface of the stent with therapeutic agent disposed therein. The stent can be cut with struts of variable thickness to provide the variable stent surface area.05-13-2010
20100140219ELECTROSTATIC FORCE ASSISTED DEPOSITION OF GRAPHENE - An embodiment of a method of depositing graphene includes bringing a stamp into contact with a substrate over a contact area. The stamp has at least a few layers of the graphene covering the contact area. An electric field is developed over the contact area. The stamp is removed from the vicinity of the substrate which leaves at least a layer of the graphene substantially covering the contact area.06-10-2010
20100140220Nano-imprint lithography methods - In forming a pattern on a substrate with reduced pattern error using a mold having an area smaller than an area of the substrate, a first resin pattern is formed on at least a first of a plurality of regions of an etching object layer by imprinting resin applied to the etching object layer using a first mold The etching object layer is then etched using the first resin pattern as an etching mask. A second resin pattern is formed on at least a second of the plurality of regions by imprinting resin applied to the etching object layer using a second mold. The etching object layer is again etched using the second resin pattern as an etching mask.06-10-2010
20100147794SUBSTRATE PLASMA TREATMENT USING MAGNETIC MASK DEVICE - Plasma treatment apparatus and method for treatment of a surface of a substrate. A dielectric barrier discharge electrode structure is provided having a treatment space (06-17-2010
20100147795METHOD FOR THE SELECTIVE SURFACE TREATMENT OF NON-FLAT WORKPIECES - A method for the selective surface treatment of a first workpiece on at least one of the metalized areas of the upper and/or underside, the first workpiece being releasably jointed to at least one additional workpiece on one of its faces so as to be sealed from the exterior in at least one partial area and the selective surface treatment of the areas not covered by the joined section being carried out in a treatment phase. Cooling and distribution of the metalized areas is made easier because the first workpiece is not flat and has on at east one face identically or different metalized areas or cavities across a whole or part of a surface. It also has at least one additional metallic protective layer or an additional metallic coating applied during the selective surface treatment.06-17-2010
20100147796METAL STRUCTURAL BODY-CONTAINING POLYMER FILM, METHOD FOR MANUFACTURING METAL STRUCTURAL BODY-CONTAINING POLYMER FILM, AND METHOD FOR MANUFACTURING PATTERNED STRUCTURAL BODY - A method for manufacturing a patterned structural body by which a patterned structural body having a micropattern can be manufactured, a metal structural body-containing polymer film that can be used in the manufacture of the patterned structural body, and a method for manufacturing the polymer film are provided. The metal structural body-containing polymer film comprises a polymer film that includes a block copolymer having an ion-conductive segment and a non-ion-conductive segment and has a microphase-separated structure including ion-conductive domains and non-ion-conductive domains, and a metal structural body localized at the ion-conductive domains.06-17-2010
20100163525SUBSTRATE PROCESSING METHOD AND STORAGE MEDIUM - Disclosed is a substrate processing method of etching a substrate including a target layer, and a mask layer and an intermediate layer that are stacked on the target layer, to form a pattern on the target layer via the intermediate layer and the mask layer. The intermediate layer is etched under a processing pressure of 100 mTorr (1.33×10 Pa) to 150 mTorr (2.0×10 Pa) by using as a processing gas a mixture gas of CF07-01-2010
20100200543CRYSTAL OSCILLATOR PIECE AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a crystal oscillator piece in which the cross section of its vibrating tine, while not symmetrical in shape, has a principal axis that is oriented parallel to an X axis to suppress the generation of leakage vibration, and a method for manufacturing such a crystal oscillator piece. More specifically, the invention provides a crystal oscillator piece includes a vibrating tine having an upper surface, a lower surface having a larger width than the upper surface, a first side face, and a second side face, and a balance adjusting groove formed in the vibrating tine, wherein the first and second side faces are formed in sloping fashion so that the width of the vibrating tine gradually increases from the upper surface toward the lower surface, and the balance adjusting groove is formed in accordance with the sloping of the first and second side faces so that at least one of two dynamically perpendicular principal axes passing through a centroid on a cross section taken perpendicularly to a longitudinal direction of the vibrating tine becomes substantially parallel to the upper surface or the lower surface. The invention also provides a method for manufacturing such a crystal oscillator piece.08-12-2010
20100200544PRODUCTION PROCESS OF STRUCTURE - A process for producing a structure containing silicon oxide includes a step of forming a first layer of organic spin-on glass on a substrate and a step of forming a second layer of inorganic spin-on glass on the first layer. Thereafter, the first layer is etched by using a pattern formed on the second layer as a mask and then the first layer and the second layer are calcined to prepare the structure containing silicon oxide.08-12-2010
20100206842Novel Method Of Air Gap Pattern For Advanced Back End Of Line (BOEL) Interconnect - An air gap pattern is created for backend of line (BEOL) interconnects. The method includes designing a nano-island pattern, and etching through the designed nano-island pattern to create at least one air gap between wire connects.08-19-2010
20100213169METHOD FOR MANUFACTURING FINE CONCAVE-CONVEX PATTERN AND SHEET FOR MANUFACTURING FINE CONCAVE-CONVEX PATTERN - According to a first aspect of the invention, a method for manufacturing a concave-convex pattern includes the steps of heating a sheet-like member, compressively bonding the sheet-like member, removing the sheet-like member after the compressively bonding, and transferring a pattern shape of a reverse concave-convex pattern layer to a surface of the substrate. The sheet-like member has a concave-convex pattern block on at least one of surfaces thereof, and is given flowability thereto by heating. The reverse concave-convex pattern layer is formed on the one of the surfaces, and continues over two or more concaves of the concave-convex pattern block so that the reverse concave-convex pattern layer meshes at least partially with the concave-convex pattern block. At least the reverse concave-convex pattern layer is left on the substrate. Here, the one of the surfaces has the concave-convex pattern block.08-26-2010
20100219158METHOD FOR DRY ETCHING INTERLAYER INSULATING FILM - A method for dry etching an interlayer insulating film with an ArF resist or KrF resist thereon comprises dry etching fine features into the interlayer insulating film with an etching gas in such a manner as to form a polymer film on the ArF or KrF resist from the etching gas, wherein the etching gas is introduced under a pressure of 0.5 Pa or less, and wherein a Fourier transform infrared spectrum of the polymer film includes a C—F bond peak at about 1200 cm09-02-2010
20100224590METHOD FOR PRODUCING MICRONEEDLE STRUCTURES EMPLOYING ONE-SIDED PROCESSING - A method for forming a hollow microneedle structure includes processing the front side of a wafer to form at least one microneedle projecting from a substrate and a through-bore passing through the microneedle and through a thickness of the substrate. An entire length of the through-bore is formed by a dry etching process performed from the front side of the wafer. Most preferably, upright surfaces of the microneedle structure and the through bore of the structure are formed by dry etching performed via a single mask with differing depths obtained by harnessing aspect ratio limitations of the dry etching process.09-09-2010
20100224591SUBSTRATE PROCESSING METHOD - A substrate processing method is provided to process a substrate having a structure in which a lower photoresist layer, a hard mask layer containing silicon, and an upper photoresist layer are sequentially formed on a target layer to be processed. The substrate processing method includes reducing by using a plasma a width of a first opening formed in the upper photoresist layer, so that the hard mask layer is exposed; reducing by using a plasma a width of a second opening formed in the hard mask layer through the first opening having the reduced width so that the lower photoresist layer is exposed; forming a third opening through the second opening having the reduced width so that the target layer is exposed; and a third width reducing step of reducing a width of the third opening by using a plasma.09-09-2010
20100230385METHOD AND SYSTEM FOR TONE INVERTING OF RESIDUAL LAYER TOLERANT IMPRINT LITHOGRAPHY - A method (and apparatus) of imprint lithography, includes imprinting, via a patterned mask, a pattern into a resist layer on a substrate, and overlaying a cladding layer over the imprinted resist layer. A portion of the cladding layer is used as a hard mask for a subsequent processing.09-16-2010
20100237044PROCESS FOR BONDING A MATERIAL INTO A SOLID SURFACE MATERIAL - A process for bonding a material into a solid surface material that includes the steps of a) etching a pattern into a solid surface material; b) providing a contrasting colored compound; c) applying the contrasting colored compound into the etched pattern within a predetermined time; and d) allowing the applied material to harden and form a chemical bond between the solid surface material and the contrasting colored compound.09-23-2010
20100243602IMAGING POST STRUCTURES USING X AND Y DIPOLE OPTICS AND A SINGLE MASK - A photolithographic method uses different exposure patterns. In one aspect, a photo-sensitive layer on a substrate is subject to a first exposure using optics having a first exposure pattern, such as an x-dipole pattern, followed by exposure using optics having a second exposure pattern, such as a y-dipole pattern, via the same mask, and with the photo-sensitive layer fixed relative to the mask. A 09-30-2010
20100252530ETCHANT COMPOSITION AND METHOD - The present invention provides an etchant composition comprising 10-07-2010
20100258527METHODS OF PROTECTING SURFACES FROM POLISHING RESIDUE - A method of protecting a surface of a device from residual polishing compound comprises applying a thin barrier layer of coating material to the surface of the device; polishing the surface with a polishing compound; rinsing the polishing compound from the device; and removing the thin barrier layer from the surface of the device to remove the residual polishing compound.10-14-2010
20100264113TEMPLATE, METHOD OF MANUFACTURING THE SAME, AND METHOD OF FORMING PATTERN - There is provided a template in which a gap region of a substrate to be processed can be covered with an imprint resist, a method of manufacturing the same, and a method of forming a pattern.10-21-2010
20100264114MICROPROCESSING OF SYNTHETIC QUARTZ GLASS SUBSTRATE - In a process for microprocessing a synthetic quartz glass substrate by wet etching, an organic coating layer of silane or silazane is formed on the substrate, and a photoresist film is formed on the organic coating layer, prior to the wet etching.10-21-2010
20100270263Method for preparing substrate with periodical structure - A method for preparing a substrate with periodical structure, comprising the following steps: (A) providing a substrate and plural nano-sized balls, wherein the nano-sized balls are arranged on the surface of the substrate; (B) depositing a cladding layer on partial surface of the substrate and the gaps between the nano-sized balls; (C) removing the nano-sized balls; (D) etching the substrate by using the cladding layer as a mask; and (E) removing the mask to form a periodical structure on the surface of the substrate. In the present invention, the nano-sized balls are used as a template for forming the mask. Hence, compared with the lithography, when the method of the present invention is used to prepare a substrate with a periodical structure, the duration of the process and the manufacturing cost can be decreased.10-28-2010
20100276391INDUCTIVELY COUPLED PLASMA REACTOR HAVING RF PHASE CONTROL AND METHODS OF USE THEREOF - Methods of operating inductively coupled plasma (ICP) reactors having ICP sources and substrate bias with phase control are provided herein. In some embodiments, a method of operating a first plasma reactor having a source RF generator inductively coupled to the first plasma reactor on one side of a substrate support surface of a substrate support within the first plasma reactor and a bias RF generator coupled to the substrate support on an opposing side of the substrate support surface, wherein the source RF generator and the bias RF generator provide respective RF signals at a common frequency may include selecting a desired value of a process parameter for a substrate to be processed; and adjusting the phase between respective RF signals provided by the source RF generator and the bias RF generator to a desired phase based upon a predetermined relationship between the process parameter and the phase.11-04-2010
20100288728APPARATUS AND METHOD FOR PROCESSING SUBSTRATE - There are provided an apparatus and method for processing a substrate. By using the apparatus and method, plasma processing can be individually performed on each of edge and rear regions of a substrate in a single chamber. The apparatus includes a chamber providing a reaction space; a stage installed in the chamber; a plasma shielding unit installed opposite to the stage in the chamber; a support unit for supporting a substrate between the stage and the plasma shielding unit; a first supply pipe provided at the stage to supply a reaction or non-reaction gas to one surface of the substrate; and second and third supply pipes provided at the plasma shielding unit, the second supply pipe supplying a reaction gas to the other surface of the substrate, the third supply pipe supplying a non-reaction gas to the other surface.11-18-2010
20100294741NANO STRUCTURE FABRICATION - Techniques for nano structure fabrication are provided.11-25-2010
20100301010ETCHANT COMPOSITIONS AND ETCHING METHOD FOR METALS Cu/Mo - The present invention provides an etchant composition suitable for etching metals Cu/Mo, wherein the composition comprises 1 to 25 wt % of hydrogen peroxide on the basis of the total weight of the composition; 0.1 to 15 wt % of amino acid on the basis of the total weight of the composition; 0.1 to 15 wt % of a pH stabilizer on the basis of the total weight of the composition; 0.01 to 2 wt % of fluorine-containing acid on the basis of the total weight of the composition; 0.01 to 3 wt % of an acidic pH adjuster on the basis of the total weight of the composition; and an aqueous medium. The present invention also provides a process for etching metals Cu/Mo with the etchant composition of the present invention.12-02-2010
20100314355Ultrasonic probe - There is provided a crystal resonator (crystal element) in which the probability of chipping occurring in separation is small when there is one supporting section with respect to a frame section of a crystal wafer, and inclined surfaces due to the anisotropy of etching are eliminated. The present invention relates to a crystal resonator manufacturing method such that an AT-cut crystal wafer is etched, a large number of rectangular crystal elements are joined with frame sections by supporting sections, and the crystal elements are mechanically cut away from the frame sections. On both sides of the +X-axis one end section of the crystal element, at least the outer side surface has a planarly tapered projection having a triangular inclined surface with an apex in the −X-axis direction, and the tip end of the projection has processing traces of the etching in the +X-axis direction.12-16-2010
20110006035METHOD FOR MODIFYING SURFACE IN SELECTIVE AREAS AND METHOD FOR FORMING PATTERNS - A method for modifying a surface in selective areas and a method for forming patterns are described. A template is attached to a surface of a sample, and plasma is provided to selectively modify the surface by using the template as a mask. Consequently, a pattern consisting of a modified area and an unmodified area is formed on the surface of the sample.01-13-2011
20110006036Method for Fabricating Membrane having Hydrophilicity and Hydrophobicity - A method for fabricating a membrane is disclosed, to provide both hydrophilicity and hydrophobicity to predetermined positions of a surface of a single membrane. The method for fabricating a membrane includes: preparing a template with nano-scale holes formed on its outer surface; coating a polymer material on a predetermined pattern region of the outer surface of the template; attaching a hydrophilic film on the outer surface of the template; and removing the template from the hydrophilic film.01-13-2011
20110017705Method for Local Etching of the Surface of a Substrate - The invention relates to a method for the local etching of the surface of a substrate, characterised in that it comprises: a) making a gas-pervious polymer pad that comprises three-dimensional patterns on one surface thereof; b) contacting the surface including the pad patterns with the substrate; c) submitting the pad/substrate assembly to a plasma so that the species present in the plasma are accelerated and diffused through the pad until they reach the substrate.01-27-2011
20110056910ETCHING METHOD - Etching is carried out with an etchant that reacts with a metal to be etched to form an insoluble compound. After the etching using the above etchant, etching is carried out using an etchant that does not form an insoluble compound through a reaction with the metal to be etched, whereby the form of an etched portion comes close to a rectangular form, and the side surface of a conductor pattern becomes smooth. Further, after the etching of one surface of a material to be etched is carried out using an etchant that reacts with a metal to be etched to form an insoluble compound nearly from below, the upper and lower sides of the material to be etched is reversed, and the etching of the opposite surface is carried out nearly from below, whereby fine conductor patterns can be formed on both of the surfaces.03-10-2011
20110068081Pattern Forming Method - According to one embodiment, a pattern forming method is disclosed. The method includes contacting a template with light curable resin on a substrate. The template comprises a concave-convex pattern including concave portions and convex portions, and a metal layer provided on a convex portion of the concave-convex pattern. The concave-convex pattern is to be contacted with the light curable resin. The pattern forming method further includes irradiating the light curable resin with light of a predetermined wavelength under a condition ε03-24-2011
20110068082METHOD OF PROCESSING A WORKPIECE IN A PLASMA REACTOR WITH INDEPENDENT WAFER EDGE PROCESS GAS INJECTION - The disclosure concerns a method of processing a workpiece or in a plasma reactor chamber, using independent gas injection at the wafer edge.03-24-2011
20110094993DOUBLE-SIDED TOUCH SENSITIVE PANEL AND FLEX CIRCUIT BONDING - A multi-touch sensor panel can be created using a substrate with column and row traces formed on either side. Metal traces running along the border of the substrate can be used to bring the row traces to the same edge as the column traces. A single flex circuit can be fabricated to connect to the rows and columns on directly opposing sides. Flex printed circuits can be bonded to directly opposing attachment areas of a substrate by cooling one side of the substrate while bonding the other. In addition, “coverlay” material extending over right-angled traces on the flex circuit ensure that those traces do not get shorted should conductive bonding material get squeezed out during bonding. Furthermore, a spacer is placed at the distal end of the flex circuit to apply even bonding pressure over the entire flex circuit attachment area during bonding.04-28-2011
20110120971MICROMACHINED TRANSDUCERS AND METHOD OF FABRICATION - In accordance with an illustrative embodiment, a method of fabricating a transducer is described. The method comprises providing a transducer over a first surface of a substrate, wherein the substrate comprises a thickness. The method further comprises patterning a mask over a second surface. The mask comprises an opening for forming a scribe etch. The method comprises etching through the opening in the mask and into but not through the thickness of the substrate to provide the scribe etch.05-26-2011
20110155692METHOD OF FORMING PATTERNS - A method of forming a pattern includes: first, a material layer to be etched is provided. The material layer can be a dielectric layer within which wires are to be formed within. Next, a patterned hard mask is formed on the material layer. The material layer of the patterned hard mask can be single layer or multiple layers. For example, the patterned hard mask may include at least one metal-atom-containing layer. Then, a pretreatment comprising nitridation, oxidation or UV curing process which can transform the surface property of the at least metal-atom-containing layer is performed on the patterned hard mask. Therefore, the treated metal-atom-containing layer which is treated will not adversely react with the etchant gas. Finally, the dielectric material layer can be etched by taking the patterned hard mask as a mask.06-30-2011
20110174774METHOD OF DESCUMMING PATTERNED PHOTORESIST - A method of descumming a patterned photoresist is provided. First a material layer to be etched is provided. The material layer is covered by a patterned photoresist. Then a descum process is preformed to descum the edge of the patterned photoresist by nitrogen. Finally, the descummed patterned photoresist is used as a mask for etching the material layer.07-21-2011
20110204026PLASMA ASHING METHOD - A plasma ashing method is used for removing a patterned resist film in a processing chamber after etching a portion of a low-k film from an object to be processed in the processing chamber by using the patterned resist film as a mask. The method includes a first step of supplying a reaction product removal gas including at least CO08-25-2011
20110226734PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - In a plasma processing apparatus comprising a processing chamber arranged in a vacuum chamber, a sample stage arranged under the processing chamber and having its top surface on which a wafer to be processed is mounted, a vacuum decompression unit for evacuating the interior of the processing chamber to reduce the pressure therein, and introduction holes arranged above said sample stage to admit process gas into the processing chamber, the wafer having its top surface mounted with a film structure and the film structure being etched by using plasma formed by using the process gas, the film structure is constituted by having a resist film or a mask film, a poly-silicon film and an insulation film laminated in this order from top to bottom on a substrate and before the wafer is mounted on the sample stage and the poly-silicon film underlying the mask film is etched, plasma is formed inside the processing chamber to cover the surface of members inside the processing chamber with a coating film containing a component of Si.09-22-2011
20110226735IMPRINT LITHOGRAPHY - An imprint lithography method is disclosed that includes, after imprinting an imprint lithography template into a layer of imprintable medium to form a pattern in that imprintable medium and fixing that pattern to form a patterned layer of imprintable medium, adding etch resistant material (i.e. a hard mask) to a part of the patterned layer of imprintable medium to reduce a difference between an intended topography and an actual topography of that part of the patterned layer of imprintable medium.09-22-2011
20110226736METHOD OF PATTERNING METAL ALLOY MATERIAL LAYER HAVING HAFNIUM AND MOLYBDENUM - A method of patterning a metal alloy material layer having hafnium and molybdenum. The method includes forming a patterned mask layer on a metal alloy material layer having hafnium and molybdenum on a substrate. The patterned mask layer is used as a mask and an etching process is performed using an etchant on the metal alloy material layer having hafnium and molybdenum so as to form a metal alloy layer having hafnium and molybdenum. The etchant includes at least nitric acid, hydrofluoric acid and sulfuric acid. The patterned mask layer is removed.09-22-2011
20110226737METHOD FOR MAKING A MASTER DISK FOR NANOIMPRINTING PATTERNED MAGNETIC RECORDING DISKS - A method for making a master disk to be used for nanoimprinting patterned-media magnetic recording disks uses sidewall lithography. In one implementation, the master disk substrate has a first pattern of concentric rings formed on it by sidewall lithography, followed by a second pattern of generally radially-directed pairs of parallel lines, also formed by sidewall lithography, with the pairs of parallel lines intersecting the rings. An etching process is then performed, using the upper pattern as an etch mask, to remove unprotected portions of the underlying concentric rings. This leaves a pattern of pillars on the substrate, which then serve as an etch mask for an etching process that etches unprotected portions of the master disk substrate. The resulting master disk then has pillars of substrate material arranged in a pattern of concentric rings and generally radially-directed pairs of parallel lines.09-22-2011
20110240596Methods Using Block Co-Polymer Self-Assembly for Sub-Lithographic Patterning - Block copolymers can be self-assembled and used in methods as described herein for sub-lithographic patterning, for example. The block copolymers can be diblock copolymers, triblock copolymers, multiblock copolymers, or combinations thereof. Such methods can be useful for making devices that include, for example, sub-lithographic conductive lines.10-06-2011
20110240597SUBSTRATE TREATMENT METHOD, COATING FILM REMOVING APPARATUS, AND SUBSTRATE TREATMENT SYSTEM - According to the present invention, during the photolithography processing of a substrate, exposure processing is performed immediately after removal of a coating film on the rear surface of the substrate, and a coating film is formed on the rear surface of the substrate immediately after the exposure processing. Thereafter, etching treatment and so on are performed, and a series of these treatment and processing steps are performed a predetermined number of times. The coating film has been formed on the rear surface of the substrate at the time for the etching treatment, so that even if the coating film gets minute scratches, the rear surface of the substrate itself is protected by the coating film and thus never scratched. Further, since the coating film on the rear surface of the substrate is removed immediately before the exposure processing, the rear surface of the substrate can be flat for the exposure processing.10-06-2011
20110278259PROCESS FOR PRODUCING A CHIP USING A MOLD - A mold capable of a highly accurate alignment with a member to be processed in such a state that a photocurable resin material is disposed between the mold and the member to be processed, and is constituted by a substrate 11-17-2011
20110284499IMPRINT METHOD USING A MOLD AND PROCESS FOR PRODUCING STRUCTURE USING AN IMPRINT APPARATUS - In order to alleviate or suppress curing of a photocurable resin material in an area in which the curing of the photocurable resin material is not intended, exposure of the photocurable resin material to light is suppressed through a non-pattern portion at which a light-blocking member is provided by means of a mold having an imprint pattern portion and the non-pattern portion or is suppressed by disposing a light-blocking member so as not to irradiate the photocurable resin material with light not via the mold.11-24-2011
20110303639METHODS FOR PROCESSING SUBSTRATES HAVING METAL HARD MASKS - Methods of processing metal hard masks are provided herein. In some embodiments, a method for processing a metal hard mask layer having a tri-layer resist disposed thereon is provided. A pattern is etched from a patterned photoresist layer into a second anti-reflective layer using a first plasma comprising chlorine. The pattern is etched into a first anti-reflective layer using a second plasma formed from a second process gas. The second anti-reflective layer is removed using a third plasma comprising chlorine (Cl12-15-2011
20120006786METHOD AND SYSTEM FOR PREPARING A SAMPLE - A system and method for a sample, the method may include manipulating a mask and a sample and thereby exposing different sides of the sample to an ion miller. The manipulating may include rotating the mask and the sample while maintaining the spatial relationship between the sample and the mask unchanged.01-12-2012
20120006787METHOD FOR ADJUSTING RESONANCE FREQUENCIES OF A VIBRATING MICROELECTROMECHANICAL DEVICE - The present invention relates to a method for adjusting the resonant frequencies of a vibrating microelectromechanical (MEMS) device. In one embodiment, the present invention is a method for adjusting the resonant frequencies of a vibrating mass including the steps of patterning a surface of a device layer of the vibrating mass with a mask, etching the vibrating mass to define a structure of the vibrating mass, determining a first set of resonant frequencies of the vibrating mass, determining a mass removal amount of the vibrating mass and a mass removal location of the vibrating mass to obtain a second set of resonant frequencies of the vibrating mass, removing the mask at the mass removal location, and etching the vibrating mass to remove the mass removal amount of the vibrating mass at the mass removal location of the vibrating mass.01-12-2012
20120006788CHEMICAL AMPLIFICATION RESIST COMPOSITION, AND MOLD PREPARATION METHOD AND RESIST FILM USING THE SAME - A chemical amplification resist composition that is used for preparation of a mold, and a mold preparation method and a resist film each using the composition are provided.01-12-2012
20120037594METHOD FOR MANUFACTURING POROUS STRUCTURE AND METHOD FOR FORMING PATTERN - A pattern forming material contains a block copolymer or graft copolymer and forms a structure having micro polymer phases, in which, with respect to at least two polymer chains among polymer chains constituting the block copolymer or graft copolymer, the ratio between N/(Nc−No) values of monomer units constituting respective polymer chains is 1.4 or more, where N represents total number of atoms in the monomer unit, Nc represents the number of carbon atoms in the monomer unit, No represents the number of oxygen atoms in the monomer unit.02-16-2012
20120037595METHOD FOR MANUFACTURING POROUS STRUCTURE AND METHOD FOR FORMING PATTERN - A pattern forming material contains a block copolymer or graft copolymer and forms a structure having micro polymer phases, in which, with respect to at least two polymer chains among polymer chains constituting the block copolymer or graft copolymer, the ratio between N/(Nc-No) values of monomer units constituting respective polymer chains is 1.4 or more, where N represents total number of atoms in the monomer unit, Nc represents the number of carbon atoms in the monomer unit, No represents the number of oxygen atoms in the monomer unit.02-16-2012
20120055902METHOD FOR FINISHING EXTERIOR SURFACE OF INJECTION-MOLDED PRODUCT - A method for finishing an exterior surface of an injection-molded product is provided, in which a metal layer is formed on the exterior surface of the injection-molded product, a photoresist layer is formed on the metal layer, a photomask is placed on the photoresist layer, light is projected onto the photomask, and remaining parts of the metal layer and the photoresist layer except for parts corresponding to a pattern formed on the photomask are removed by etching.03-08-2012
20120103936METHODS FOR REDUCING PHOTORESIST INTERFERENCE WHEN MONITORING A TARGET LAYER IN A PLASMA PROCESS - A method and apparatus for monitoring a target layer in a plasma process having a photoresist layer is provided. The method is useful in removing noise associated with the photoresist layer, and is particularly useful when signals associated with the target layer is weak, such as when detecting an endpoint for a photomask etching process.05-03-2012
20120132616METHOD FOR MAKING A PATTERN FROM SIDEWALL IMAGE TRANSFER - The substrate is provided with a layer of first material, a first etching mask, a covering layer and a second etching mask. The covering layer has a covered main area and an uncovered secondary area. The secondary area of the covering layer is partially etched via the second etching mask to form a salient pattern. Lateral spacers are formed around the salient pattern defining a third etching mask. The second etching mask is eliminated. The covering layer is etched by means of the third etching mask to form a salient pattern in the covering layer and to uncover the first etching mask and the first material. The layer of first material is etched to form the pattern made from the first material.05-31-2012
20120138571PATTERN FORMATION EMPLOYING SELF-ASSEMBLED MATERIAL - In one embodiment, Hexagonal tiles encompassing a large are divided into three groups, each containing ⅓ of all hexagonal tiles that are disjoined among one another. Openings for the hexagonal tiles in each group are formed in a template layer, and a set of self-assembling block copolymers is applied and patterned within each opening. This process is repeated three times to encompass all three groups, resulting in a self-aligned pattern extending over a wide area. In another embodiment, the large area is divided into rectangular tiles of two non-overlapping and complementary groups. Each rectangular area has a width less than the range of order of self-assembling block copolymers. Self-assembled self-aligned line and space structures are formed in each group in a sequential manner so that a line and space pattern is formed over a large area extending beyond the range of order.06-07-2012
20120145668FORMING SLOPED RESIST, VIA, AND METAL CONDUCTOR STRUCTURES USING BANDED RETICLE STRUCTURES - A technique generating sloping resist profiles based on an exposure process uses a reticle having structures surrounded with first and second contrasting interleaved bands below the resolution limit of the stepper used to expose the resist. Exemplary embodiments include a reticle having interleaved, non-overlapping transparent and opaque bands surrounding a transparent feature with an innermost one of the opaque bands bordering the structure, such as a via opening or a metal conductors pattern, resulting in the patterned photoresist having sloped or tapered sides with consistent reproducibility. The slope in the photoresist is then transferred to the underlying layer during an etch using the tapered photoresist as a mask. Alternatively, the sloped resist can have a negative slope angle for patterning metal conductors using a metal lift-off technique.06-14-2012
20120199551MANUFACTURE FILTRATION ELEMENTS - Selective etching techniques are used to manufacture a basic filtration element, which can then be used as a basis for constructing various devices for different applications. In this process, sheets of etchable material are etched from one or both sides of that sheet to form channels in a premasked pattern, which controls the minimum opening of the filtration element. The desired channel opening is only limited by the capability of the photochemical etching system being used. Alternatively, a filter element may be made by rolling or extruding a first sheet to form a plurality of recessed areas bordered by lands, selectively etching or punching through the recessed pattern areas, and bonding a second sheet having a plurality of etched or punched through areas to the first sheet, and, aligning the etched through areas to the second sheet with the recessed areas of the first sheet.08-09-2012
20120217220METHOD USING BLOCK COPOLYMERS FOR MAKING A MASTER MOLD FOR NANOIMPRINTING PATTERNED MAGNETIC RECORDING DISKS WITH CHEVRON SERVO PATTERNS - A method for making a master mold used to nanoimprint patterned magnetic recording disks that have chevron servo patterns with minimal defects uses directed self-assembly of block copolymers. A pattern of chemically modified polymer brush material is formed on the master mold substrate. The pattern includes sets of slanted stripes and interface strips between the sets of slanted stripes. A block copolymer material is deposited on the pattern, which results in directed self-assembly of the block copolymer as lamellae perpendicular to the substrate that are formed into alternating slanted stripes of alternating first and second components of the block copolymer. This component also forms on the interface strips, but as a lamella parallel to the substrate. One of the components is then removed, leaving the remaining component as a grid that acts as a mask for etching the substrate to form the master mold. The disks nanoimprinted by the master mold have reduced defective areas in the transition regions of the chevron servo patterns.08-30-2012
20120223050PRODUCTION OF A SECURITY ELEMENT PROVIDED WITH COLORED MICRO-DEPRESSIONS - A method for producing a security element having microdepressions for security papers, with the microdepressions being colored with a certain color, involves the steps of (a) coating an upper side of a carrier with an embossable layer; (b1) forming microdepressions in the embossable layer to configure an embossed layer; (c) applying the certain color on the upper side, so that the color remains in the microdepressions; (b2) applying a structured protective layer on the coated upper side, wherein the structured protective layer does not cover the microdepressions that are to be colored with the certain color, after step (b1) and before step (c); and removing the structured protective layer and thereby a color toning after step (c).09-06-2012
20120228261SAMPLE PROCESSING DEVICE, SAMPLE PROCESSING SYSTEM, AND METHOD FOR PROCESSING SAMPLE - There is provided a VUV light processing apparatus that can apply vacuum ultraviolet light to the entire surface of a wafer in excellent reproducibility and can process the wafer with VUV (vacuum ultraviolet) light in excellent reproducibility. A VUV light processing apparatus includes: a chamber connected with a gas supply apparatus and an evacuation apparatus, the chamber being capable of reducing the pressure inside the chamber; a plasma light source that generates VUV light including a wavelength of 200 nm or less, the plasma light source including a plasma generating unit that generates plasma in the chamber; and a VUV transmission filter provided between a stage on which a sample to be processed is placed and the sample in the chamber, the VUV transmission filter transmitting the VUV light including a wavelength of 200 nm or less and not transmitting electrons, ions, and radicals in plasma, the VUV transmission filter having the outer diameter size larger than that of the sample.09-13-2012
20120234792LITHOGRAPHY METHOD USING TILTED EVAPORATION - The present invention relates to a lithography method using tilted evaporation, and includes: (1) coating a resist on top of a substrate; (2) patterning the resist using a lithography process; (3) tilt-evaporating a first thin film material on an upper layer of the patterned resist to form a modified pattern mask; (4) evaporating a second thin film material on the top of the substrate with the modified pattern mask; and (5) removing the resist coated on the top of the substrate.09-20-2012
20120255930METHOD FOR PROCESSING A SURFACE - A method for processing a surface involves depositing at least one class of enzymes (10-11-2012
20120267342METHOD OF PRODUCING SUBSTRATE FOR LIQUID EJECTION HEAD - A substrate for a liquid ejection head, including: forming a sacrifice layer on a first surface of a silicon substrate in a region in which a liquid supply port is to open, the sacrifice layer containing aluminum which is selectively etched with respect to the silicon substrate; forming an etching mask on a second surface which is a rear surface of the first surface of the silicon substrate, the etching mask having an opening corresponding to the sacrifice layer; a first etching step of etching the silicon substrate by using the etching mask as a mask and by using a first etchant containing 8 mass % or more and less than 15 mass % of tetramethylammonium hydroxide; and after the first etching step, a second etching step of removing the sacrifice layer by using a second etchant containing 15 mass % or more and 25 mass % or less of tetramethylammonium hydroxide.10-25-2012
20120273459STRIP PASSING APPARATUS, APPARATUS FOR TREATING SURFACE OF STRIP WITH THE SAME, AND METHOD FOR TREATING SURFACE OF STRIP - A passing apparatus includes: upper and lower sealing rolls dealing with variations in a thickness of a proceeding strip, the upper and lower sealing rolls allowing the strip to pass; and a strip sealing unit dealing with variations in a width of the proceeding strip, the strip sealing unit cooperating with the upper and lower sealing rolls to seal the proceeding strip passing through a chamber in a state in which the strip sealing unit surrounds the proceeding strip.11-01-2012
20120298624TARGETS AND PROCESSES FOR FABRICATING SAME - In particular embodiments, the present disclosure provides targets including a metal layer and defining a hollow inner surface. The hollow inner surface has an internal apex. The distance between at least two opposing points of the internal apex is less than about 15 μm. In particular examples, the distance is less than about 1 μm. Particular implementations of the targets are free standing. The targets have a number of disclosed shaped, including cones, pyramids, hemispheres, and capped structures. The present disclosure also provides arrays of such targets. Also provided are methods of forming targets, such as the disclosed targets, using lithographic techniques, such as photolithographic techniques. In particular examples, a target mold is formed from a silicon wafer and then one or more sides of the mold are coated with a target material, such as one or more metals.11-29-2012
20120305526METHOD OF WET ETCHING SUBSTRATES FOR FORMING THROUGH HOLES TO EMBED WAFER LEVEL OPTICAL LENS MODULES - A method of wet etching substrates for forming through holes to embed wafer level optical lens modules is provided. The provided etching method is capable of concurrently etching a plurality of substrates to form the through holes since bidirectional etching process is used. That means, all the desired through holes can be formed simultaneously during the wet etching process. Additionally, a plurality of substrates can be etched by each run of etching process. Thus, the newly provided wet etching method significantly and effectively reduces the process time and manufacturing cost.12-06-2012
20120312778PROCESSES FOR PRODUCING REGULAR REPEATING PATTERNS ON SURFACES OF INTERBODY DEVICES - Processes for producing interbody spinal implants having a body with a top surface, a bottom surface, opposing lateral sides, opposing anterior and posterior portions, a substantially hollow center, and a single vertical aperture; and optionally, one or two integration plates affixed to the body. The processes include applying an additive process, a subtractive process, or both processes to at least one surface of the interbody spinal implant to form a roughened surface topography having a regular repeating pattern. The roughened surface topography is specifically designed to provide certain frictional characteristics, load dispersion, and to influence the biological responses that occur during bone healing and fusion.12-13-2012
20120312779METHODS FOR MANUFACTURING IMPLANTS HAVING INTEGRATION SURFACES - A method of producing an interbody spinal implant. The method includes the steps of obtaining a blank having a top surface, bottom surface, opposing lateral sides, and opposing anterior and posterior portions, and applying a subtractive process (e.g., masked acid etching) to the top surface, the bottom surface, or both surfaces of the blank to form a roughened surface topography. Subsequently, the blank is machined to form the interbody spinal implant, which includes a body having a top surface, a bottom surface, opposing lateral sides, opposing anterior and posterior portions, a substantially hollow center, and a single vertical aperture where the top surface, the bottom surface, or both surfaces of the interbody spinal implant have the roughened surface topography produced by the subtractive process. This simplified method produces more accurate and repeatable implants with fewer process steps and defects, reducing process time and costs.12-13-2012
20120325774METHODS OF MAKING MEDICAL DEVICES - Scaffold-supported metal or pseudometallic film covers suitable for use as medical devices are disclosed together with methods of fabricating the devices. Methods for making the medical devices consist of either providing or forming a scaffold, then depositing a metallic or pseudometallic film cover onto the scaffold in such a manner as to form an integral, substantially monolithic junction between the deposited cover material and the scaffold.12-27-2012
20130001193ALIGNMENT MARKS FOR MULTI-EXPOSURE LITHOGRAPHY - A plurality of reticles for printing structures in the same lithography level includes an alignment structure pattern within a same relative location in each reticle. Each set of process segmentations in a grating has a reticle segmentation pitch, which is common across all gratings in the plurality of reticles. Within each pair of alignment structure patterns that occupy the same relative location in any two of the plurality of reticles, the process segmentations in one reticle are shifted relative to the process segmentations in the other reticle by a fraction of a reticle segmentation pitch. After printing all patterns in the plurality of reticles, a composite printed process segmentation structure on the substrate includes printed segmentation structures that are spaced by 1/n times the printed segmentation pitch. The pattern for the next level can be aligned to the composite printed process segmentation structure in a single alignment operation.01-03-2013
20130008868SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS - According to one embodiment, a substrate processing method is disclosed. The method can include treating a substrate with a first liquid. The substrate has a structural body formed on a major surface of the substrate. The method can include forming a support member supporting the structural body by bringing a second liquid into contact with the substrate wetted by the first liquid, and changing at least a portion of the second liquid into a solid by carrying out at least one of causing the second liquid to react, reducing a quantity of a solvent included in the second liquid, and causing at least a portion of a substance dissolved in the second liquid to be separated. The method can include removing the support member by changing at least a part of the support member from a solid phase to a gaseous phase, without passing through a liquid phase.01-10-2013
20130008869Oblique Parts or Surfaces - Various structures, such as microstructures and wall-like structures, can include parts or surfaces that are oblique. In some implementations, a cantilevered element includes a spring-like portion with a uniformly oblique surface or with another artifact of an oblique radiation technique. In some implementations, when a deflecting force is applied, a spring-like portion can provide deflection and spring force within required ranges. Various oblique radiation techniques can be used, such as radiation of a layer through a prism, and structures having spring-like portions with oblique radiation artifacts can be used in various applications, such as with downward or upward deflecting forces.01-10-2013
20130048602Method of Manufacturing a Metallized Ceramic Substrate - A method of manufacturing a metallized ceramic substrate includes forming a metal layer on a ceramic substrate, and forming on the metal layer a resist having a first patterned resist opening and a second patterned resist opening for the metal layer to be exposed therefrom. A first width of the first patterned resist opening is greater than the thickness of the metal layer, and a second width of the second patterned resist opening is less than the thickness of the metal layer. A wet-etching process is conducted, to form in the first patterned resist opening a patterned metal layer opening and form in the second patterned resist opening a patterned metal layer dent. Therefore, an internal stress between the metal layer and the ceramic substrate is reduced, and the yield rate and reliability of the metallized ceramic substrate is increased.02-28-2013
20130048603METHOD OF FORMING MICROPATTERNS - A method of forming micropatterns separated over a misalignment margin includes forming a first mold pattern including a main pattern and a separation-assist pattern, forming a first spacer mask having a first width around the first mold pattern, forming a second mold pattern using the first spacer mask as an etch mask, forming a second spacer mask having a second width around the second mold pattern, and forming a target pattern using the second spacer mask as an etch mask.02-28-2013
20130062309METHOD AND SYSTEM FOR MODIFYING RESIST OPENINGS USING MULTIPLE ANGLED IONS - A method of reducing roughness in an opening in a surface of a resist material disposed on a substrate, comprises generating a plasma having a plasma sheath and ions therein. The method also includes modifying a shape of a boundary defined between the plasma and the plasma sheath with a plasma sheath modifier so that a portion of the boundary facing the resist material is not parallel to a plane defined by the surface of the substrate. The method also includes providing a first exposure of ions while the substrate is in a first position, the first exposure comprising ions accelerated across the boundary having the modified shape toward the resist material over an angular range with respect to the surface of the substrate.03-14-2013
20130062310SHADOW MASK, METHOD OF MANUFACTURING THE SAME AND METHOD OF FORMING THIN FILM USING THE SAME - A shadow mask, a method of manufacturing the shadow mask, and a method of forming a thin film using the shadow mask are provided. The shadow mask includes an upper layer and a lower layer. The upper layer includes a first opening. The lower layer is formed on a lower surface of the upper layer around the first opening and includes an opening having the same size as the first opening. When the thin film is formed using the shadow mask, the lower layer of the shadow mask is close to the edge of a cavity of a substrate, and a position on which the thin film may be formed as defined by the lower layer of the shadow mask. Therefore, the thickness of the thin film can be uniform.03-14-2013
20130068723Method of Using a Mask to Provide a Patterned Substrate - A method of producing substrates having a patterned mask layer with fine features such as repeating stripes. The method including the steps of forming a substrate having a transfer layer with a predetermined pattern on a first major surface of the substrate; providing the substrate having the transfer layer on the first major surface; providing a structured tool having a body and a plurality of contact portions, the contact portions having a Young's Modulus between about 0.5 Gpa to about 30 Gpa; heating either the structured tool or the substrate; contacting the transfer layer with the structured tool; cooling the transfer layer; and withdrawing the structured tool from the transfer layer such that portions of the transfer layer separate with the structured tool leaving openings in the transfer layer that extend all the way through the transfer layer to the substrate forming the transfer layer with the predetermined pattern.03-21-2013
20130068724METHOD FOR MANUFACTURING A NOZZLE AND AN ASSOCIATED FUNNEL IN A SINGLE PLATE - A method for manufacturing a nozzle and an associated funnel in a single plate comprises providing the single plate, the plate being etchable; providing an etch resistant mask on the plate, the mask having a pattern, wherein the pattern comprises a first pattern part for etching the nozzle and a second pattern part for etching the funnel; covering one of the first pattern part and the second pattern part using a first cover; etching one of the nozzle and funnel corresponding to the pattern part not covered in step (c); removing the first cover; etching the other one of the nozzle and funnel; and removing the etch resistant mask.03-21-2013
20130075360METHOD OF FORMING PATTERN - According to one embodiment, there is provided a method of forming a pattern, includes forming a guide pattern including a first region having a first surface energy and a second region having a second surface energy on a to-be-processed film, the first and second regions alternately arranged in one direction, forming a block copolymer layer on the guide pattern, and causing microphase separation in the block copolymer layer, the microphase-separated structure is a lamellar block copolymer pattern.03-28-2013
20130075361PATTERN FORMING METHOD - A pattern forming method according to an embodiment includes: forming a pattern film on a first substrate, the pattern film having a concave-convex pattern, the pattern film being made of a material containing a first to-be-imprinted agent; forming a material film on a second substrate, the material film containing a second to-be-imprinted agent having a higher etching rate than an etching rate of the first to-be-imprinted agent; transferring the concave-convex pattern of the pattern film onto the material film by applying pressure between the first substrate and the second substrate, with the pattern film being positioned to face the material film, and by curing the second to-be-imprinted agent; detaching the first substrate from the pattern film; and removing the material film by etching, to leave the pattern film on the second substrate.03-28-2013
20130098867Method for Selective Metallization on a Ceramic Substrate - A method of selective metallization on a ceramic substrate includes selectively forming an active brazing material on a predetermined area of a surface of a ceramic substrate, attaching the metal layer to the ceramic substrate with the active brazing material, performing a brazing process on the active brazing material, forming an etching stop layer on the metal layer and performing an etching process, and removing the etching stop layer. The method can be applied to a severe environment, and the conchoidal fracture between the ceramic substrate and the metal layer can also be avoided. The present invention not only simplifies the process but also improves the product yield.04-25-2013
20130098868DRY ETCHING METHOD FOR METAL FILM - A method for performing dry etching on a metal film containing Pt via a mask layer includes performing dry etching on the metal film by generating a plasma of an etching gas including a gaseous mixture of H04-25-2013
20130105440NANOCOMPOSITE NEGATIVE PHOTOSENSITIVE COMPOSITION AND USE THEREOF05-02-2013
20130119014PROTECTIVE TREATMENT FOR POROUS MATERIALS - A method for treating a surface of a porous material in an environment is provided, comprising setting the temperature of the surface to a value T05-16-2013
20130126470STRIPPING SOLUTION FOR PHOTOLITHOGRAPHY AND PATTERN FORMATION METHOD - A stripping solution for photolithography including hydrofluoric acid, a basic compound represented by general formula (b-1), and water. In the formula, R05-23-2013
20130126471EVALUATION OF ETCHING CONDITIONS FOR PATTERN-FORMING FILM - In conjunction with a photomask blank comprising a transparent substrate, a pattern-forming film, and an etch mask film, a set of etching conditions for the pattern-forming film is evaluated by measuring a first etching clear time (C05-23-2013
20130153535RESIN COMPOSITION, RESIST UNDERLAYER FILM, RESIST UNDERLAYER FILM-FORMING METHOD AND PATTERN-FORMING METHOD - A resin composition for forming a resist underlayer film includes a resin that includes an aromatic ring, and a crosslinking agent having a partial structure represented by a following formula (i). X represents an oxygen atom, a sulfur atom, *—COO— or —NR06-20-2013
20130180951ETCH WITH INCREASED MASK SELECTIVITY - A method for etching features in an etch layer in a plasma processing chamber is provided. An etch gas is flowed into the plasma processing chamber. A top outer electrode is maintained at a temperature of at least 150° C. during the etching of the features. The etch gas is formed into a plasma, which etches the etch layer.07-18-2013
20130180952PRESSURE MASKING SYSTEMS AND METHODS FOR USING THE SAME - Methods of treating a target surface of an article having one or more passageways includes fluidly connecting a pressure masker including pressurized masking fluid to a first side of at least one passageway, passing the pressurized masking fluid through the at least one passageway from the first side to a second side having the target surface, and treating the target surface of the article using a surface treatment system that disposes a surface treating material on the target surface, wherein the pressurized masking fluid passing through the at least one passageway prevents the surface treating material from permanently altering a cross sectional area of the at least one passageway.07-18-2013
20130186855NANO-STRUCTURED SURFACE - A method of forming a nano-structured substrate is provided, the method comprising including forming non-integral nano-pillars on a substrate surface and directionally etching the substrate surface using the non-integral nano-pillars as a mask to form integral nano-structures in the substrate.07-25-2013
20130193107METHOD FOR PRODUCING A MASTER MOLD AND CUTOUT PROCESSING METHOD FOR A MASTER MOLD - A metal plate having a pattern of protrusions on a first surface thereof is produced; photoresist is provided on a second surface of the metal plate; the photoresist is removed from a portion of the metal plate corresponding to a loop shaped outer peripheral cutout region that contacts the outer periphery of a mold region of the metal plate; and the metal plate is etched using the remaining photoresist as a mask, in a method for producing and a method for cutting a processing a master mold having a pattern of protrusions and recesses corresponding to data to be transferred on a surface thereof.08-01-2013
20130213930NANOIMPRINTING METHOD AND METHOD FOR PRODUCING SUBSTRATES UTILIZING THE NANOIMPRINTING METHOD - Droplets of resist material are coated using the ink jet method under conditions that: the viscosity of the resist material is within a range from 8 cP to 20 cP, the surface energy of the resist material is within a range from 25 mN/m to 35 mN/m, the amount of resist material in each of the droplets is within a range from 1 pl to 10 pl, and the placement intervals among the droplets are within a range from 10 μm to 1000 μm. A mold is pressed against the surface of the substrate in a He and/or a depressurized atmosphere such that: an intersection angle formed between a main scanning direction of the ink jet method and the direction of the lines of the linear pattern of protrusions and recesses, which is an intersection angle when pressing the mold against the surface of the substrate, is within a range from 30° to 90°.08-22-2013
20130233825COMPOSITION FOR FORMING RESIST UNDERLAYER FILM AND PATTERN-FORMING METHOD - A composition for forming a resist underlayer film includes a polysiloxane and a solvent. The solvent includes an organic solvent having a standard boiling point of no less than 150.0° C., and water. A content of the organic solvent is no less than 1% by mass and no greater than 50% by mass with respect to a total amount of the solvent. A content of water is no less than 1% by mass and no greater than 30% by mass with respect to the total amount of the solvent.09-12-2013
20130240480METHOD FOR MANUFACTURING MOLD - According to one embodiment, a method is disclosed for manufacturing a mold. The method can include forming a second major surface receded from a first major surface by irradiating a portion of the first major surface with a charged beam to etch a base material having the first major surface. The method can include forming a mask pattern on the first major surface and the second major surface. In addition, the method can include forming a first pattern on the first major surface and a second pattern on the second major surface by etching the base material through the mask pattern.09-19-2013
20130256263PATTERN FORMING METHOD AND IMPRINT MOLD MANUFACTURING METHOD - In one embodiment, a pattern forming method includes: forming a functional layer having a functional group to cross-link a first polymer on a substrate; forming a diblock copolymer layer having the first polymer and a second polymer on the functional layer; self-assembling the diblock copolymer layer to form a self-assembled layer, the self-assembled layer having a first domain corresponding to the first polymer, and a plurality of second domains corresponding to the second polymer and surrounded by or interposed in the first domain; cross-linking the first polymer in the self-assembled layer with the functional group in the functional layer to form a bonding layer disposed in the self-assembled layer and bonded to the functional layer; and washing or etching the self-assembled layer to remain the bonding layer.10-03-2013
20130277332Plasma Etch Resistant, Highly Oriented Yttria Films, Coated Substrates and Related Methods - Included within the scope of the invention are plasma etch-resistant films for substrates. The films include a yttria material and a at least a portion of the yttria material is in a crystal phase having an orientation defined by a Miller Index notation {111}. Also included are methods of manufacturing plasma etch-resistant films on a substrate. Such methods include applying a yttria material-containing composition onto at least a portion of a surface of a substrate to form a film. The film includes a yttria material and at least a portion of the yttria material is in a crystal phase having an orientation defined by a Miller Index notation {111}.10-24-2013
20130299454Method for Processing a Structured Surface of an Embossing Tool - The invention relates to a method for processing a structured surface of an embossing tool, in which the entire surface is provided with a first metallic coating (11-14-2013
20140014619MASKING METHOD FOR LOCALLY TREATING SURFACE - This present invention provides a masking method for locally treating surface of a workpiece by masking the workpiece. The workpiece has a targeting treatment area and a non-targeting treatment area. The masking method includes: covering a fixture on the non-targeting treatment area of the workpiece to expose the targeting treatment area of the workpiece; by using an adsorbing force existing between the fixture and the workpiece, getting the fixture to closely contact with the non-targeting treatment area of the workpiece and to make an end edge of the fixture correspond to the edge of the targeting treatment area of the workpiece, wherein the adsorbing force is a vacuum adsorbing force or a static electric adsorbing force. Thereby the surface treatment only effects in an area within the range of the targeting treatment area of the workpiece so as to reduce the treatment defect.01-16-2014
20140014620RESIN COMPOSITION, RESIST UNDERLAYER FILM, RESIST UNDERLAYER FILM-FORMING METHOD AND PATTERN-FORMING METHOD - A resin composition for forming a resist underlayer film includes a resin that includes an aromatic ring, and a crosslinking agent having a partial structure represented by a following formula (i). X represents an oxygen atom, a sulfur atom, *—COO— or —NR01-16-2014
20140021168METHOD OF FORMING A NOZZLE OF A FLUID EJECTION DEVICE - A method of forming a nozzle of a fluid ejection device, the nozzle having a straight mouth portion and a cavity portion, wherein the mouth portion is formed in a bottom surface of the substrate, and, after passivating the walls of the mouth portion, a wet etch process is applied from the bottom surface of the substrate for forming a part of the cavity portion with walls that diverge from the mouth portion, characterized in that a wet etch process is also applied from a top surface of the substrate for forming a part of the cavity portion which diverges towards the bottom surface and merges with the part that is etched from the bottom surface.01-23-2014
20140083974PROCESS FOR PRODUCING LIQUID EJECTION HEAD - A process for producing a liquid ejection head, comprising providing a substrate with an energy-generating element for ejecting liquid and a wiring; forming a flow path wall forming layer containing a negative photosensitive resin on the substrate; exposing a portion to be a flow path wall of the flow path wall forming layer; forming an ejection orifice forming layer containing a negative photosensitive resin on the flow path wall forming layer; applying a material for a water-repellent layer onto the ejection orifice forming layer; drying a solvent contained in the applied material to form the water-repellent layer; exposing another region than a portion to be an ejection orifice of the ejection orifice forming layer and the water-repellent layer; and dissolving and removing the non-exposed portions, wherein the boiling point of the solvent is not more than the drying temperature in the step to form the water-repellent layer.03-27-2014
20140083975PLASMA PROCESSING METHOD - A plasma processing method includes a surface improving step of improving a surface of the photoresist film by performing plasma processing using a hydrogen-containing gas as a processing gas and an etching step of etching the SiON film by performing plasma processing using a processing gas including a gas containing a CHF-based gas and a chlorine-containing gas while using as a mask the photoresist film having the improved surface.03-27-2014
20140124477ETCHING METHOD AND DEVICES PRODUCED USING THE ETCHING METHOD - A double ITO structure, containing sequential layers of indium tin oxide (ITO), silicon dioxide (SiO05-08-2014
20140131311THIN FILM FORMING APPARATUS AND THIN FILM FORMING METHOD USING THE SAME - A thin film forming apparatus and a thin film forming method using the same are disclosed. In one aspect, the thin film forming apparatus comprises a mask that includes a blocking portion and an opening. It also includes an etching source that jets an etching gas through the opening of the mask to etch a thin film according to a pattern. The mask includes a gas blower for blowing a gas around the opening so that the etching gas does not penetrate into a thin film area corresponding to the block portion. When the thin film forming apparatus is used, a normal residual area of a thin film may be safely preserved and patterning may be accurately performed. Thus, the quality of a product manufactured by using the thin film forming apparatus may be improved.05-15-2014
20140138352METHOD FOR MAKING A FILM OF UNIFORMLY ARRANGED CORE-SHELL NANOPARTICLES ON A SUBSTRATE - A method for making a film of core-shell nanoparticles generally uniformly arranged on a substrate uses atomic layer deposition (ALD) to form the shells. The nanoparticle cores are placed in a solution containing a polymer having an end group for attachment to the cores. The solution is then applied to a substrate and allowed to dry, resulting in the nanoparticle cores being uniformly arranged by the attached polymer chains. ALD is then used to grow the shell material on the cores, using two precursors for the shell material that are non-reactive with the polymer. The polymer chains also form between the cores and the substrate surface, so the ALD forms shell material completely surrounding the cores. The uniformly arranged core-shell nanoparticles can be used as an etch mask to etch the substrate.05-22-2014
20140138353PROCESS FOR PERFORMING METAL LIFT-OFF - A process is described to perform lift-off of a metal layer. A spray is applied to a patterned surface coated with the metal layer. An incidence angle and pressure of the spray are sufficient to separate the metal layer from a substance coated with the metal without separating the substance from an underlying substrate.05-22-2014
20140151329FOAM ETCHANT AND METHODS FOR ETCHING GLASS - A foam acid glass etching media including a solvent; a source of fluorine; and a nonionic surfactant. The foam acid is in the form of a colloidal dispersion with a gas dispersed in a continuous liquid phase. The media is useful in etching or polishing glass sheets in a batch or continuous process. Described is a method for etching or polishing glass by providing a glass having at least one major surface; and contacting the at least one major surface with a foam acid.06-05-2014
20140158664METHOD OF MANUFACTURING NANOSTRUCTURE AND METHOD OF FORMING PATTERN USING THE SAME - An approach is provided for manufacturing a nanostructure. A first thin film including a first block copolymer is formed on a substrate. A guide pattern is formed on the first thin film. A second thin film including a second block copolymer is formed between portions of the guide pattern. The second thin film is cured. The first block copolymer is a cylinder-type and the second block copolymer is a lamella-type.06-12-2014
20140202986HIGH ASPECT RATIO PATTERNING USING NEAR-FIELD OPTICAL LITHOGRAPHY WITH TOP SURFACE IMAGING - Rolling mask lithography may be performed to expose selected portions of a radiation sensitive layer to a radiation pattern that leaves selected portions of a top surface of the radiation sensitive layer resistant to development by a developer and non-selected portions susceptible to development by the developer. A structure of the selected portions is then rendered resistant to an etch process. The radiation sensitive layer is then flood exposed to a second radiation that leaves the radiation sensitive layer resistant to development by the developer. The radiation sensitive layer is then selectively etched using the etch-resistant selected portions as an etch mask.07-24-2014
20140209565NANOIMPRINTING MOLD, METHOD FOR PRODUCING THE NANOIMPRINTING MOLD, NANOIMPRINTING METHOD USING THE NANOIMPRINTING MOLD, AND METHOD FOR PRODUCING PATTERNED SUBSTRATES - A nanoimprinting mold includes: a mold main body having a fine pattern of protrusions and recesses on a surface thereof; and a mold release layer formed on the surface of the mold main body. The mold release layer is formed within a mold release layer forming region, which is a region of the mold main body that includes a patterned region where the fine pattern of protrusions and recesses is formed and has an outer edge positioned outside the outer edge of the patterned region. An outer peripheral mold release layer has a thickness distribution in which the thickness of the outer peripheral mold release layer is locally maximal at positions outside the outer edge of the patterned region, substantially continuously along the entire periphery. Thereby, it becomes possible to restrict the region in which resist flows during nanoimprinting, without employing a mesa type substrate.07-31-2014
20140217062Porous Metal Etching - Apparatuses and methods are provided where porous metal is deposited on a substrate, a mask is provided on the porous metal and then an etching is performed.08-07-2014
20140217063METAL STRUCTURAL BODY-CONTAINING POLYMER FILM, METHOD FOR MANUFACTURING METAL STRUCTURAL BODY-CONTAINING POLYMER FILM, AND METHOD FOR MANUFACTURING PATTERNED STRUCTURAL BODY - A method for manufacturing a patterned structural body by which a patterned structural body having a micropattern can be manufactured, a metal structural body-containing polymer film that can be used in the manufacture of the patterned structural body, and a method for manufacturing the polymer film are provided. The metal structural body-containing polymer film comprises a polymer film that includes a block copolymer having an ion-conductive segment and a non-ion-conductive segment and has a microphase-separated structure including ion-conductive domains and non-ion-conductive domains, and a metal structural body localized at the ion-conductive domains.08-07-2014
20140251951PRESSURE MASKING SYSTEMS AND METHODS FOR USING SAME IN TREATING TECHNIQUES - Systems and methods of treating, e.g., stripping and coating, a target surface of an article including a passageway are disclosed. The systems may fluidly connect a pressure masker including pressurized masking fluid to a first side of the passageway, passing the pressurized masking fluid through the passageway from the first side to a second side including the target surface, and, submerging at least a portion of the target surface in a treatment bath, wherein the pressurized masking fluid passing through the passageway prevents the treatment bath from entering the passageway.09-11-2014
20140263172RESIST HARDENING AND DEVELOPMENT PROCESSES FOR SEMICONDUCTOR DEVICE MANUFACTURING - In some embodiments, a method of forming an etch mask on a substrate is provided that includes (1) forming a resist layer on a substrate; (2) exposing one or more regions of the resist layer to an energy source so as to alter at least one of a physical property and a chemical property of the exposed regions; (3) performing a hardening process on the resist layer to increase the etch resistance of first regions of the resist layer relative to second regions of the resist layer, the hardening process including exposing the resist layer to one or more reactive species within an atomic layer deposition (ALD) chamber; and (4) dry etching the resist layer to remove the one or more second regions and to form a pattern in the resist layer. Other embodiments are provided.09-18-2014
20150008212MONOMER FOR HARDMASK COMPOSITION, HARDMASK COMPOSITION INCLUDING MONOMER, AND PATTERN FORMING METHOD USING HARDMASK COMPOSITION - Disclosed are a monomer for a hardmask composition represented by the Chemical Formula 1, a hardmask composition including the monomer, and a method of forming a pattern.01-08-2015
20150034592METHOD FOR ETCHING DEEP, HIGH-ASPECT RATIO FEATURES INTO GLASS, FUSED SILICA, AND QUARTZ MATERIALS - A method or process is disclosed for etching deep, high-aspect ratio features into silicon dioxide material layers and substrates, including glass, fused silica, quartz, or similar materials, using a plasma etch technology. The method has application in the fabrication and manufacturing of MEMS, microelectronic, micro-mechanical, photonic and nanotechnology devices in which silicon dioxide material layers or substrates are used and must be patterned and etched. Devices that benefit from the method described in this invention include the fabrication of MEMS gyroscopes, resonators, oscillators, microbalances, accelerometers, for example. The etch method or process allows etch depths ranging from below 10 microns to over 1 millimeter and aspect ratios from less than 1 to 1 to over 10 to 1 with etched feature sidewalls having vertical or near vertical angles. Additionally, the disclosed method provides requirements of the etched substrates to reduce or eliminate undesired effects of an etch.02-05-2015
20150060402METHODS FOR FORMING VIAS IN GLASS SUBSTRATES - Methods for forming vias in glass substrates by laser drilling and acid etching are disclosed. In one embodiment, a method forming a via in a glass substrate includes laser drilling the via through at least a portion of a thickness of the glass substrate from an incident surface of the glass substrate. The method further includes etching the glass substrate for an etching duration to increase a diameter of an incident opening of the via and applying ultrasonic energy to the glass substrate during at least a portion of the etching duration. The applied ultrasonic energy has a frequency between 40 kHz and 192 kHz.03-05-2015
20150069015Spatially-Controlled Synthesis of Palladium-Rhodium Hetero-Nanostructures - In a method of generating a nanocrystal with a core-frame structure, a seed crystal, including a first substance, is exposed to a capping agent. The seed nanocrystal has a plurality of first portions that each has a first characteristic and a plurality of second portions that each has a second characteristic, different from the first characteristic. The capping agent has a tendency to adsorb to portions having the first characteristic and has a tendency not to adsorb to portions having the second characteristic. As a result, a selectively capped seed nanocrystal is generated. The selectively capped seed nanocrystal is exposed to a second substance that has a tendency to nucleate on the plurality of second portions and that does not have a tendency to nucleate on portions that have adsorbed the capping agent, thereby generating a frame structure from the plurality of second portions of the seed nanocrystal.03-12-2015
20150303555MANUFACTURING METHOD OF NONPLANAR 3D ANTENNA SHAPING - A manufacturing method of nonplanar 3D antenna shaping includes providing a nonplanar insulating substrate; performing coarsening and modification on the surface of the substrate, followed by rendering the substrate surface hydrophilic in a plasma process to form a modified substrate; performing copper electroless plating on the modified substrate to plate a copper layer on the substrate, so as to achieve a required thickness. The width of the metal wiring is efficiently reduced to microscale by 3D photolithography; therefore, the range of its low-frequency application is reduced to less than 2 GHz. The method involves controlling substrate surface coarseness uniformity, modifying the substrate surface hydrophilic, and applying a precise plating technique with a view to enhancing the quality of copper wire coating. The method not only enhances antenna low-frequency performance but is also conducive to miniaturization of antennas, thereby allowing a tool carrying an antenna to reduce weight and power consumption.10-22-2015
20150321952COATING ON PDC/TSP CUTTER FOR ACCELERATED LEACHING - A cutting element includes a polycrystalline diamond layer having a cutting face and a diamond layer side surface, a substrate attached to the polycrystalline diamond layer, the substrate having a bottom surface and a substrate side surface, an interface between the diamond layer and the substrate, and a mask covering at least the bottom surface and the substrate side surface of the cutting element.11-12-2015
20150331310MASK PLATE AND A METHOD FOR PRODUCING A SUBSTRATE MARK - The general inventive concepts relate to the field of display technology, and provide a mask plate and a method for producing a substrate mark to increase the accuracy of the production of a substrate mark, and decrease the difficulty in monitoring products and the production cost. An exemplary mask plate comprises: a display region mask part; at least one pair of test mark mask parts, a test mark mask part being located on either side of the display region mask part and their positions being opposite to each other; and a protection mark mask part correspondingly disposed on the outside of each test mark mask part relative to the display region mask part, wherein the pattern outline of the protection mark mask part is larger than that of the test mark mask part.11-19-2015
20150343835Method for Processing a Structured Surface of an Embossing Tool - The invention relates to a method for processing a structured surface of an embossing tool, in which the entire surface is provided with a first metallic coating and said surface having, in selected regions, at least one additional metallic coating that has a differing degree of lustre. To improve the optical properties of the material boards produced using the embossing tools, particularly if reproducing a wood texture, the invention suggests that additional differing degrees of lustre should be produced in multiple selected regions on the first coating, and be produced by a combination of metallic coatings and mechanical or chemical after-treatments. Therefore, for example, a wood pore with a defined structure can be substantially better reproduced, and the optical and haptic properties of the wood composite board produced using the press plates can thus be improved.12-03-2015
20150376765MASK, METHOD FOR MANUFACTURING THE SAME AND PROCESS DEVICE - A mask and a method of making the mask are disclosed. The mask includes a transition region including at least one first region having a first thickness, and an active region having another thickness, where the thickness of the active region is greater than the first thickness. The method of making the mask includes forming a plurality of patterns in a mask body, the patterns being formed in regions of the mask body corresponding with the active region and the transition region of the mask.12-31-2015
20160005602METHODS OF REMOVING RESIDUAL POLYMERS FORMED DURING A BORON-DOPED AMORPHOUS CARBON LAYER ETCH PROCESS - Methods for removing residual polymers formed during etching of a boron-doped amorphous carbon layer are provided herein. In some embodiments, a method of etching a feature in a substrate includes: exposing a boron doped amorphous carbon layer disposed on the substrate to a first plasma through a patterned mask layer to etch a feature into the boron doped amorphous carbon layer, wherein the first plasma is formed from a first process gas that reacts with the boron doped amorphous carbon layer to form residual polymers proximate a bottom of the feature; and exposing the residual polymers to a second plasma through the patterned mask layer to etch the residual polymers proximate the bottom of the feature, wherein the second plasma is formed from a second process gas comprising nitrogen (N01-07-2016
20160058574METHODS FOR MANUFACTURING IMPLANTS HAVING INTEGRATION SURFACES - A method of producing an interbody spinal implant. The method includes the steps of obtaining a blank having a top surface, bottom surface, opposing lateral sides, and opposing anterior and posterior portions, and applying a subtractive process (e.g., masked acid etching) to the top surface, the bottom surface, or both surfaces of the blank to form a roughened surface topography. Subsequently, the blank is machined to fonn the interbody spinal implant, which includes a body having a top surface, a bottom surface, opposing lateral sides, opposing anterior and posterior portions, a substantially hollow center, and a single vertical aperture where the top surface, the bottom surface, or both surfaces of the interbody spinal implant have the roughened surface topography produced by the subtractive process. This simplified method produces more accurate and repeatable implants with fewer process steps and defects, reducing process time and costs.03-03-2016
20160068430PATTERNING METHOD - A patterning method according to one embodiment includes forming on a glass substrate a guide pattern including a first region at which the glass substrate is exposed, and a second region on which a pattern is formed. A self-assembly material including a first segment pinned to the first region, and a second segment is applied onto the guide pattern. The self-assembly material is phase-separated into a first domain including the first: segment and a second domain including the second segment. One of the first domain and the second domain is selectively removed. The width of the first region is not less than 0.8 times and not more than 1.15 times as large as the width of the first domain.03-10-2016
20160085153METHODS OF FORMING PHOTONIC DEVICE STRUCTURES, AND RELATED METHODS OF FORMING ELECTRONIC DEVICES - A method of forming a photonic device structure comprises forming a photoresist over a photonic material over a substrate. The photoresist is exposed to radiation through a gray-tone mask to form at least one photoexposed region and at least one non-photoexposed region of the photoresist. The at least one photoexposed region of the photoresist or the at least one non-photoexposed region of the photoresist is removed to form photoresist features. The photoresist features and unprotected portions of the photonic material are removed to form photonic features. Other methods of forming a photonic device structure, and a method of forming an electronic device are also described.03-24-2016
20160085264METHOD OF FORMING PATTERNS AND METHODS OF MANUFACTURING DISPLAY PANELS USING METHODS OF FORMING PATTERNS - A method of forming a pattern may include: disposing a first material layer; disposing a first photoresist film including first shot regions; exposing the first shot regions to light, wherein an overlapping region between first shot regions may be overlappingly exposed to light exposures onto the first shot regions; forming a first photoresist pattern by developing the first photoresist film; forming a first pattern by etching the first material layer using the first photoresist pattern as an etching mask; disposing a second material layer on the first pattern; disposing a second photoresist film including second shot regions; exposing the second shot regions, wherein a boundary region between second shot regions may be disposed spaced apart from the overlapping region; forming a second photoresist pattern by developing the second photoresist film; and forming a second pattern by etching the second material layer using the second photoresist pattern as an etching mask.03-24-2016
20160099131WORKPIECE PROCESSING METHOD - Disclosed is a method of processing a workpiece including a mask. The processing method includes: a first process of generating plasma of a first gas containing a silicon halide gas in a processing container of a plasma processing apparatus that accommodates a workpiece having a mask, to form a reactive precursor; a second process of purging a space in the processing container; a third process of generating plasma of a second gas containing oxygen gas in the processing container to form a silicon oxide film; and a fourth process of purging the space in the processing container. In the processing method, a sequence including the first to fourth processes is repeated.04-07-2016
20160138170PLASMA PROCESSING METHOD - A plasma processing method is provided for reducing dimensions of a film to be etched from patterned dimensions, and is capable of reducing dimensions without causing deformation or collapse of the film to be etched.05-19-2016
20160179007METHOD OF FORMING A DESIRED PATTERN ON A SUBSTRATE06-23-2016

Patent applications in class MASKING OF A SUBSTRATE USING MATERIAL RESISTANT TO AN ETCHANT (I.E., ETCH RESIST)

Patent applications in all subclasses MASKING OF A SUBSTRATE USING MATERIAL RESISTANT TO AN ETCHANT (I.E., ETCH RESIST)

Website © 2025 Advameg, Inc.