Entries |
Document | Title | Date |
20080210660 | Medium For Etching Oxidic, Transparent, Conductive Layers - The present invention relates to a novel dispensable medium for etching doped tin oxide layers having non-Newtonian flow behaviour for etching surfaces in the production of displays and/or solar cells and to the use thereof. In particular, it relates to corresponding particle-free compositions by means of which fine structures can be etched selectively without damaging or attacking adjacent areas. | 09-04-2008 |
20080223819 | Method and etchant for removing glass-coating from metal wires - An etchant for and method of removing a glass coating on a metallic wire is provided. The etchant comprises an acid solution having metal ions contained therein. The metal ions prevent the acid solution from pitting or damaging the metallic wire, while allowing the acid solution to effectively etch and remove the glass coating. In one embodiment, a fluorine-based acid solution can be used. In another embodiment, a glass coated, metal alloy microwire is etched and the metal ions added to the etchant are chosen to be the same as the majority constituent element in the metal alloy. The glass coating can be either removed in full or only partially removed. | 09-18-2008 |
20080223820 | METHOD FOR FORMING MINIATURE WIRES - A method for forming miniature wires by printing or dispensing a solution on a substrate, the solution comprising a solute being capable of being etched and forming an inner and outer region on the substrate, each region having a thickness. After an etching process is applied on the substrate, the region inner region is removed so the outer region remains as desired wires. A line width of thus formed wires is narrowed to reach micron-scale wires. | 09-18-2008 |
20080237181 | HYBRID LAYERS FOR USE IN COATINGS ON ELECTRONIC DEVICES OR OTHER ARTICLES - A method for forming a coating over a surface is disclosed. The method comprises depositing over a surface, a hybrid layer comprising a mixture of a polymeric material and a non-polymeric material. The hybrid layer may have a single phase or comprise multiple phases. The hybrid layer is formed by chemical vapor deposition using a single source of precursor material. The chemical vapor deposition process may be plasma-enhanced and may be performed using a reactant gas. The precursor material may be an organo-silicon compound, such as a siloxane. The hybrid layer may comprise various types of polymeric materials, such as silicone polymers, and various types of non-polymeric materials, such as silicon oxides. By varying the reaction conditions, the wt % ratio of polymeric material to non-polymeric material may be adjusted. The hybrid layer may have various characteristics suitable for use with organic light-emitting devices, such as optical transparency, impermeability, and/or flexibility. | 10-02-2008 |
20080245765 | ELECTRICAL CONTACTS - Certain embodiments are directed to methods, devices and systems designed to remove selected portions of a material to expose an underlying material or substrate. One or more electrical components may be coupled to the underlying substrate through an electrical contact. Kits and systems for producing electrical contacts are also provided. | 10-09-2008 |
20080251494 | Method for manufacturing circuit board - A method of manufacturing a circuit board is disclosed. The method may include: forming a relievo pattern, which is in a corresponding relationship with a circuit pattern, on a metal layer that is stacked on a carrier; stacking and pressing the carrier onto an insulation layer with the relievo pattern facing the insulation layer; transcribing the metal layer and the relievo pattern into the insulation layer by removing the carrier; forming a via hole in the insulation layer on which the metal layer is transcribed; and filling the via hole and forming a plating layer over the metal layer by performing plating over the insulation layer on which the metal layer is transcribed. As the relievo pattern may be formed on the metal layer stacked on the carrier, and the relievo pattern may be transcribed into the insulation layer, high-density circuit patterns can be formed. | 10-16-2008 |
20080251495 | Methods of preparing printed circuit boards and packaging substrates of integrated circuit - A method of forming printed circuit boards and packaging substrates for integrated circuits based on filling-vias plating and a semi-additive process, comprising the following steps: (1) providing a dielectric layer on a substrate; (2) providing blind vias on said dielectric layer; (3) providing a first seed layer after providing blind vias; (4) providing solid conductive vias by a filling-vias plating process after providing a first seed layer, and also providing a copper layer covering the first seed layer during the filling-vias plating process; (5) removing said first seed layer as well as the copper layer formed thereon, and retaining solid copper pillars in the conductive vias; (6) providing a second seed layer which is used to form wires by a semi-additive process; (7) providing a photo-sensitive thin film, and providing a plating resistant layer by image-transfer to expose a wire pattern; (8) thickening wires; (9) removing the photo-sensitive thin film; (10) removing the exposed second seed layer and retaining the thickened wires, thus form a desired conductive pattern; (11) repeating steps (1)-(10) to form an upper layer of wires, thereby completing the fabrication of the fine wires in the subsequent layers of wires and effecting inter-layer interconnections of the solid conductive vias. | 10-16-2008 |
20080264898 | SELECTIVE ETCH OF TiW FOR CAPTURE PAD FORMATION - A chemical etchant containing hydrogen peroxide and phosphate ions at a controlled pH is provided for selectively etching metals in the presence of one or more metals not to be etched. The etchant is useful in the fabrication of semiconductor components particularly for forming capture pads where TiW is used as a barrier layer for a copper, copper/nickel pad, or copper/nickel alloy pad. A commercial hydrogen peroxide solution is preferred to which has been added phosphoric acid as a source of phosphate ions and KOH as the pH adjuster. | 10-30-2008 |
20080283488 | Method For Producing a Ceramic Printed-Circuit Board - A ceramic substrate (S) has on its top side weldable connection surfaces (LA) and on its underside weldable contacts (LK). In the disclosed substrate (S), the weldable connection surfaces, which were until now produced using printing pastes, is replaced by weld surface contacts precipitated from a solution and directly applied to the ceramic material. These weld contact surfaces are characterised by a more even surface, improved bondability and structurability. | 11-20-2008 |
20080283489 | Method of Manufacturing a Structure - A gold layer ( | 11-20-2008 |
20080283490 | PROTECTION LAYER FOR FABRICATING A SOLAR CELL - A method for fabricating a solar cell is described. The method includes first providing, in a process chamber, a substrate having a light-receiving surface. An anti-reflective coating (ARC) layer is then formed, in the process chamber, above the light-receiving surface of the substrate. Finally, without removing the substrate from the process chamber, a protection layer is formed above the ARC layer. | 11-20-2008 |
20080302759 | End functionalization of carbon nanotubes - Carbon nanotubes may be selectively opened and their exposed ends functionalized. Opposite ends of carbon nanotubes may be functionalized in different fashions to facilitate self-assembly and other applications. | 12-11-2008 |
20090001045 | METHODS OF PATTERNING SELF-ASSEMBLY NANO-STRUCTURE AND FORMING POROUS DIELECTRIC - Methods of patterning a self-assembly nano-structure and forming a porous dielectric are disclosed. In one aspect, the method includes providing a hardmask over an underlying layer; predefining an area with a photoresist on the hardmask that is to be protected during the patterning; forming a layer of the copolymer over the hardmask and the photoresist; forming the self-assembly nano-structure from the copolymer; and etching to pattern the self-assembly nano-structure. | 01-01-2009 |
20090001046 | SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS AND RECORDING MEDIUM - The present invention provides a method, an apparatus and the like that may be adopted when executing a specific type of processing on a substrate that includes a recessed portion formed by etching a low dielectric constant insulating film with a low dielectric constant having been formed upon a metal layer. More specifically, a hydrogen radical processing phase in which the surface of the metal layer exposed at the bottom of the recessed portion is cleaned and the low dielectric constant insulating film is dehydrated by supplying hydrogen radicals while heating the substrate to a predetermined temperature and a hydrophobicity processing phase in which the low dielectric constant insulating film exposed at a side surface of the recessed portion is rendered hydrophobic by supplying a specific type of processing gas to the substrate are executed in succession without exposing the substrate to air. | 01-01-2009 |
20090020500 | METHOD OF FORMING PASSAGE THROUGH SUBSTRATE FOR MEMS MODULE - A method of forming a passage through a substrate for a MEMS module is disclosed to include the steps of: a) etching a substrate having a thickness smaller than 0.30 mm to form a bottom recess; b) etching a top side of the substrate to form a top recess to define a part of the substrate as a sacrifice portion; c) forming a bottom layer in the bottom recess of the substrate by injection molding; d) depositing a support layer in the top recess of the substrate; and e) removing the sacrifice portion from the substrate by etching to form a passage defined between the support layer and the bottom layer in the substrate with two ends in communication with ambient atmosphere. | 01-22-2009 |
20090032491 | CONDUCTIVE ELEMENT FORMING USING SACRIFICIAL LAYER PATTERNED TO FORM DIELECTRIC LAYER - Methods of forming a conductive element for an integrated circuit (IC) chip and a related structure are disclosed. One embodiment of the method may include forming a first sacrificial layer having a pattern therein for a first dielectric layer to surround the conductive element; forming the first dielectric layer within the patterned first sacrificial layer; removing the patterned first sacrificial layer, leaving the first dielectric layer; and forming the conductive element in a space vacated by the patterned first sacrificial layer. The methods prevent damage caused to low dielectric constant dielectric layers during etching and stripping/cleaning processes. | 02-05-2009 |
20090032492 | APPARATUS AND METHOD FOR WET-CHEMICAL PROCESSING OF FLAT, THIN SUBSTRATES IN A CONTINUOUS METHOD - The invention relates to a method and apparatus for wet-chemical processes (cleaning, etching, stripping, coating, dehydration) in a continuous method for flat, thin and fracture-sensitive substrates, the substrate transport and the wet process being effected by media-absorbing rollers. | 02-05-2009 |
20090039053 | METHOD FOR MANUFACTURING ELECTRICAL TRACES OF PRINTED CIRCUIT BOARDS - An exemplary method for manufacturing a printed circuit board is provided. Firstly, a copper clad substrate comprising a base film, a copper layer and intermediate layer interposed between the base film and the copper layer is provided. The intermediate layer is comprised of nickel, chromium, or alloy of nickel and chromium. A patterned photoresist layer is formed on the copper layer with portions of the copper layer are exposed from the photoresist pattern layer. Exposed portions of the copper layer are removed using a copper etchant to form a number of electrical traces, thereby exposing portions of the intermediate layer from the patterned photoresist layer. Exposed portions of the intermediate layer are removed using a chromium-nickel etchant. The method can prevent a bottom of each of electrical traces from enlarging, thereby improving quality of printed circuit board. | 02-12-2009 |
20090045161 | INTEGRATED CIRCUITS, MICROMECHANICAL DEVICES, AND METHOD OF MAKING SAME - A method of making an integrated circuit comprises providing a substrate and forming a structure on the substrate comprising a first enclosed portion of a carbon material and a second portion of the carbon material, wherein an intersection of the first and second portion of the carbon material has a defined dimension. The method further comprises processing the substrate with a plasma comprising hydrogen in order to etch the second portion of the carbon material, wherein the defined dimension of the intersection of the first and second portion of the carbon material substantially suppresses etching of the first enclosed portion of the carbon material in a self-limiting way. | 02-19-2009 |
20090050600 | METHOD FOR MANUFACTURING PRINTED CIRCUIT BOARDS - An exemplary method for manufacturing printed circuit boards is provided. In the method, a copper clad substrate having a copper layer thereon is provided. A surface of the copper layer is roughened by applying an atmospheric pressure plasma thereto. A photoresist layer is formed on the roughened surface of the copper layer. The photoresist layer is exposed. The photoresist layer is developed to form a patterned photoresist layer, thereby exposing portions of the copper layer. The exposed portions of the copper layer exposed are removed so that the remaining portions of the copper layer form electrical traces. The patterned photoresist layer is removed. | 02-26-2009 |
20090050601 | INERT GAS ETCHING - A method of patterning a nanostructure film using a plasma is described. The nanostructure film may substantially comprise carbon and/or carbon nanotubes. The plasma may comprise an inert gas. The plasma may be applied to the nanostructure film at close to atmospheric pressure and room temperature. | 02-26-2009 |
20090057264 | HIGH THROUGHPUT LOW TOPOGRAPHY COPPER CMP PROCESS - Embodiments described herein generally provide a method for processing metals disposed on a substrate in a chemical mechanical polishing system. The apparatus advantageously facilitates efficient bulk and residual conductive material removal from a substrate. In one embodiment a method for chemical mechanical polishing (CMP) of a conductive material disposed on a substrate is provided. A substrate comprising a conductive material disposed over an underlying barrier material is positioned on a first platen containing a first polishing pad. The substrate is polished on a first platen to remove a bulk portion of the conductive material. A rate quench process is performed in order to reduce a metal ion concentration in the polishing slurry. The substrate is polished on the first platen to breakthrough the conductive material exposing a portion of the underlying barrier material. | 03-05-2009 |
20090071931 | INTERCONNECT SUPPORTED FUEL CELL ASSEMBLY, PREFORM AND METHOD OF FABRICATION - A fuel cell assembly includes at least one fuel cell including at least two electrodes and an electrolyte. An interconnect structure includes at least one flow channel initially defined by a removable sacrificial material. A method of forming the fuel cell assembly includes the steps of providing the interconnect structure having at least one flow channel, depositing the sacrificial material into the flow channel, depositing an electrode or an electrode/electrolyte material upon the interconnect structure and the sacrificial material, and processing the fuel cell so as to remove the sacrificial material. | 03-19-2009 |
20090071932 | ETCHING PROCESSES USED IN MEMS PRODUCTION - The efficiency of an etching process may be increased in various ways, and the cost of an etching process may be decreased. Unused etchant may be isolated and recirculated during the etching process. Etching byproducts may be collected and removed from the etching system during the etching process. Components of the etchant may be isolated and used to general additional etchant. Either or both of the etchant or the layers being etched may also be optimized for a particular etching process. | 03-19-2009 |
20090071933 | ETCHING PROCESSES USED IN MEMS PRODUCTION - The efficiency of an etching process may be increased in various ways, and the cost of an etching process may be decreased. Unused etchant may be isolated and recirculated during the etching process. Etching byproducts may be collected and removed from the etching system during the etching process. Components of the etchant may be isolated and used to general additional etchant. Either or both of the etchant or the layers being etched may also be optimized for a particular etching process. | 03-19-2009 |
20090084754 | METHOD AND SYSTEM FOR MANUFACTURING MICROSTRUCTURE - A method for manufacturing a microstructure includes treating a surface of the microstructure having a wall body with a liquid, supplying a material activating the surface of the liquid to the surface of the microstructure, and drying the surface of the microstructure. | 04-02-2009 |
20090095704 | PATTERNING CNT EMITTERS - An industrial scale method for patterning nanoparticle emitters for use as cathodes in a display device is disclosed. The low temperature method can be practiced in high volume applications, with good uniformity of the resulting display device. The method steps involve deposition of CNT emitter material over an entire surface of a prefabricated composite structure, and subsequent removal of the CNT emitter material from unwanted portions of the surface using physical methods. | 04-16-2009 |
20090095705 | PROCESS FOR MANUFACTURING AN INTERACTION STRUCTURE FOR A STORAGE MEDIUM - A process manufactures an interaction structure for a storage medium. The process includes forming a first interaction head provided with a first conductive region having a sub-lithographic dimension. The step of forming a first interaction head includes: forming on a surface a first delimitation region having a side wall; depositing a conductive portion having a deposition thickness substantially matching the sub-lithographic dimension on the side wall; and then defining the conductive portion. The sub-lithographic dimension preferably is between 1 and 50 nm, more preferably 20 nm. | 04-16-2009 |
20090095706 | Selective patterning of Multilayer Systems for OPV in a roll to roll process - Methods of using etching pastes to form a pattern on an electrode of a solar cell, as well as related articles, systems, and components, are disclosed. | 04-16-2009 |
20090101622 | METHOD FOR FABRICATING VARIABLE PARALLEL PLATE CAPACITORS - A method for fabricating micromachined structures is provided. A structure including a dielectric layer, a metal layer and a passivation layer is formed, wherein the dielectric layer has a via thereon. An etching window is formed on the passivation layer. An etching solution is poured into the via through the etching window to perform a process of etching. After etching, the etching solution is removed and the passivation layer is removed. Finally, the structure is etched again to form the micromachined structure. | 04-23-2009 |
20090101623 | ETCHING PROCESSES USED IN MEMS PRODUCTION - The efficiency of an etching process may be increased in various ways, and the cost of an etching process may be decreased. Unused etchant may be isolated and recirculated during the etching process. Etching byproducts may be collected and removed from the etching system during the etching process. Components of the etchant may be isolated and used to general additional etchant. Either or both of the etchant or the layers being etched may also be optimized for a particular etching process. | 04-23-2009 |
20090120901 | PATTERNED ELECTRODES WITH REDUCED RESIDUE - Aspects of the present invention provide patterned electrodes with substantially reduced or removed residue. Aspects of the present invention for removing residue are applicable to any fabricated structure including transparent electrodes. By substantially reducing or removing residue typically associated with methods used to form patterned electrodes, an improvement in performance can be realized by ensuring that the deposition of subsequent materials onto a substrate is not adversely affected by any such residue. In turn, better interconnects can be formed and better coverage of subsequent layers can be achieved. The method for producing patterned electrodes with substantially reduced or removed residue in accordance with the present invention can be used in conjunction with any known method for patterning conductors or electrodes. | 05-14-2009 |
20090134118 | Method of manufacturing printed circuit board - A method of manufacturing a printed circuit board is disclosed. The method may include: stacking a cover layer over a copper foil, for a copper clad laminate that includes the copper foil stacked over one side of an insulation layer; forming an intaglio groove by removing portions of the cover layer and the copper clad laminate; stacking a seed layer over a surface of the intaglio groove and the cover layer; removing a portion of the seed layer stacked over the cover layer, by removing a portion of the cover layer; forming a plating layer, by plating an inside of the intaglio groove; and removing the remaining cover layer and the copper foil. | 05-28-2009 |
20090139957 | Group III-Nitride layers with patterned surfaces - A fabrication method produces a mechanically patterned layer of group III-nitride. The method includes providing a crystalline substrate and forming a first layer of a first group III-nitride on a planar surface of the substrate. The first layer has a single polarity and also has a pattern of holes or trenches that expose a portion of the substrate. The method includes then, epitaxially growing a second layer of a second group III-nitride over the first layer and the exposed portion of substrate. The first and second group III-nitrides have different alloy compositions. The method also includes subjecting the second layer to an aqueous solution of base to mechanically pattern the second layer. | 06-04-2009 |
20090145877 | METHOD FOR CONTROLLING ADI-AEI CD DIFFERENCE RATIO OF OPENINGS HAVING DIFFERENT SIZES - A method for controlling an ADI-AEI CD difference ratio of openings having different sizes is described. The openings are formed through a silicon-containing material layer, an etching resistive layer and a target material layer in turn. Before the opening etching steps, at least one of the opening patterns in the photoresist mask is altered in size through photoresist trimming or deposition of a substantially conformal polymer layer. A first etching step forming thicker polymer on the sidewall of the wider opening pattern is performed to form a patterned Si-containing material layer. A second etching step is performed to remove exposed portions of the etching resistive layer and the target material layer. At least one parameter among the parameters of the photoresist trimming or polymer layer deposition step and the etching parameters of the first etching step is controlled to obtain a predetermined ADI-AEI CD difference ratio. | 06-11-2009 |
20090152233 | PRINTED CIRCUIT BOARD HAVING CHIP PACKAGE MOUNTED THEREON AND METHOD OF FABRICATING SAME - Disclosed is a printed circuit board (PCB) and a method of fabricating the same. A contact portion is formed on an internal layer of the multi-layered PCB. A groove is formed so as to expose the contact portion of the internal layer. A chip package is mounted on the PCB while being flip-chip bonded to the exposed contact portion of the internal layer. | 06-18-2009 |
20090166318 | Method of Fabricating an Integrated Circuit - A method of fabricating an integrated circuit includes providing a hard mask that includes at least one first layer and one second layer. An etching step is patterned using the hard mask, and a removal step is performed using an etchant in order to at least partially remove the first layer. The first layer and the second layer are configured in such a way that the first layer is etched by the etchant with a higher etch rate than the second layer. | 07-02-2009 |
20090166319 | System and Method for Performing High Flow Rate Dispensation of a Chemical onto a Photolithographic Component - A system and method for performing high flow rate dispensation of a chemical onto a photolithographic component are disclosed. The system and method includes providing a photolithographic component in a manufacturing tool. The photolithographic is positioned at a predetermined distance from a nozzle dispensing a chemical. Dispensation of a chemical at a high flow rate onto a photolithographic component, the rate of flow operable to reduce harmful effects from occurring on the surface of the photolithographic substrate. | 07-02-2009 |
20090184088 | Aerogel-Bases Mold for MEMS Fabrication and Formation Thereof - The invention is directed to a patterned aerogel-based layer that serves as a mold for at least part of a microelectromechanical feature. The density of an aerogel is less than that of typical materials used in MEMS fabrication, such as poly-silicon, silicon oxide, single-crystal silicon, metals, metal alloys, and the like. Therefore, one may form structural features in an aerogel-based layer at rates significantly higher than the rates at which structural features can be formed in denser materials. The invention further includes a method of patterning an aerogel-based layer to produce such an aerogel-based mold. The invention further includes a method of fabricating a microelectromechanical feature using an aerogel-based mold. This method includes depositing a dense material layer directly onto the outline of at least part of a microelectromechanical feature that has been formed in the aerogel-based layer. | 07-23-2009 |
20090184089 | FABRICATION OF A SILICON STRUCTURE AND DEEP SILICON ETCH WITH PROFILE CONTROL - A method of etching features into a silicon layer with a steady-state gas flow is provided. An etch gas comprising an oxygen containing gas and a fluorine containing gas is provided. A plasma is provided from the etch gas. Then, the flow of the etch gas is stopped. | 07-23-2009 |
20090184090 | THIN-FILM ASSEMBLY AND METHOD FOR PRODUCING SAID ASSEMBLY - A thin-film assembly ( | 07-23-2009 |
20090200263 | METHOD FOR METALLIZING INSULATING SUBSTRATES WHEREIN THE ROUGHENING AND ETCHING PROCESSES ARE CONTROLLED BY MEANS OF GLOSS MEASUREMENT - The invention relates to a control of etching processes of insulating substrates by means of gloss measurement. By this method a surface roughness can be achieved which leads to good adhesion of metals layers deposited in subsequent metallization steps. This method is particularly suited for the production of printed circuit boards. | 08-13-2009 |
20090212006 | Horizontal nanotube/nanofiber growth method - A method for forming a nanotube/nanofiber growth catalyst on the sides of portions of a layer of a first material, comprising the steps of depositing a thin layer of a second material; opening this layer at given locations; depositing a very thin catalyst layer; depositing a layer of the first material over a thickness greater than that of the layer of the second material; eliminating by chem./mech. polishing the upper portion of the structure up to the high level of the layer of the second material; and eliminating the second material facing selected sides of the layer portions of the first material. | 08-27-2009 |
20090212007 | SURFACE TREATMENT METHOD - According to the present invention, a plasma treatment process is performed for a surface of a metal film exposed through a resin layer, including a foreign resin substance attached to the surface, so that the foreign resin substance can be roughened without substantially damaging the resin layer; and the entire exposed surface of the metal film is etched, using a spray etching method for which an etching fluid is ejected through a nozzle, and the foreign resin substance is removed from the exposed surface. | 08-27-2009 |
20090218311 | Layer-structured fuel cell catalysts and current collectors - A method of fabricating a layer-structured catalysts at the electrode/electrolyte interface of a fuel cell is provided. The method includes providing a substrate, depositing an electrolyte layer on the substrate, depositing a catalyst bonding layer to the electrolyte layer, depositing a catalyst layer to the catalyst bonding layer, and depositing a microstructure stabilizing layer to the catalyst layer, where the bonding layer improves adhesion of the catalyst onto the electrolyte. The catalyst and a current collector is a porous catalyst and a fully dense current collector, or a fully dense catalyst and a fully dense current collector structure layer. A nano-island catalyst and current collector structure layer is deposited over the catalyst and current collector or over the bonding layer, which is deposited over the electrolyte layer. The fuel cell can be hydrogen-fueled solid oxide, solid oxide with hydrocarbons, solid sensor, solid acid, polymer electrolyte or direct methanol. | 09-03-2009 |
20090218312 | METHOD AND SYSTEM FOR XENON FLUORIDE ETCHING WITH ENHANCED EFFICIENCY - Provided herein is an apparatus and a method useful for manufacturing MEMS devices. An aspect of the disclosed apparatus provides a substrate comprising an etchable material exposed to a solid-state etchant, wherein the substrate and the solid-state etchant are disposed in an etching chamber. In some embodiments, the solid state etchant is moved into close proximity to the substrate. In other embodiments, a configurable partition is between the substrate and the solid-state etchant is opened. The solid-state etchant forms a gas-phase etchant suitable for etching the etchable material. In some preferred embodiments, the solid-state etchant is solid xenon difluoride. The apparatus and method are advantageously used in performing a release etch in the fabrication of optical modulators. | 09-03-2009 |
20090230085 | COMPOSITION FOR SURFACE MODIFICATION OF A HEAT SINK AND METHOD FOR SURFACE TREATMENT OF THE HEAT SINK FOR PRINTED CIRCUIT BOARDS USING THE SAME - The present invention provides a composition for surface modification of a heat sink, the composition including: 0.01 to 10 parts by weight of an organic titanium compound; 0.01 to 5 parts by weight of an organic silane compound; 0.1 to 10 parts by weight of an organic acid; 0.01 to 5 parts by weight of a sequestering agent; and 0.1 to 10 parts by weight of a buffer with respect to 100 parts by weight of distilled water. The composition of the present invention provides excellent adhesion strength with prepreg, and improve heat releasing performance. | 09-17-2009 |
20090242506 | Wired circuit board and method for manufacturing wired circuit board and mounting electronic component thereon - A wired circuit board is provided having a high-reliability conductive pattern formed thereon and mounting an electronic component thereon with high accuracy, and a method is provided for manufacturing the wired circuit board and mounting the electronic component thereon. An insulating layer including a mounting portion is formed on a metal supporting layer having a specular gloss of 150 to 500% at an incidence angle of 45°. A conductive pattern is formed on the insulating layer. By a reflection-type optical sensor, a defective shape of the conductive pattern is inspected. Then, an opening is formed by etching the portion of the metal supporting layer which is overlapping the mounting portion such that the mounting portion of the insulating layer exposed by etching has a haze value of 20 to 50%, whereby a TAB tape carrier is obtained. Thereafter, an electronic component is aligned with the mounting portion by a reflection-type optical sensor such that the electronic component is mounted on the mounting portion. | 10-01-2009 |
20090250428 | METHOD AND APPARATUS FOR ELECTROCHINETIC TRANSPORT - Controlled electrokinetic transport of constituents of liquid media can be achieved by connecting at least two volumes containing liquid media with at least one dielectric medium with opposing dielectric surfaces in direct contact with said liquid media, and establishing at least one conduit across said dielectric medium, with a conduit inner surface surrounding a conduit volume and at least a first opening and a second opening opposite to the first opening. The conduit is arranged to connect two volumes containing liquid media and includes a set of at least three electrodes positioned in proximity of the inner conduit surface. A power supply is arranged to deliver energy to the electrodes such that time-varying potentials inside the conduit volume are established, where the superposition of said potentials represents at least one controllable traveling potential well that can travel between the opposing conduit openings. | 10-08-2009 |
20090250429 | Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby - Methods of forming dual-damascene metal wiring patterns include forming a first metal wiring pattern (e.g., copper wiring pattern) on an integrated circuit substrate and forming an etch-stop layer on the first metal wiring pattern. These steps are followed by the steps of forming an electrically insulating layer on the etch-stop layer and forming an inter-metal dielectric layer on the electrically insulating layer. The inter-metal dielectric layer and the electrically insulating layer are selectively etched in sequence to define an opening therein that exposes a first portion of the etch-stop layer. This opening may include a trench and a via hole extending downward from a bottom of the trench. A first barrier metal layer is formed on a sidewall of the opening and directly on the first portion of the etch-stop layer. A portion of the first barrier metal layer is selectively removed from the first portion of the etch-stop layer. The first portion of the etch-stop layer is then selectively etched for a sufficient duration to expose a portion of the first metal wiring pattern. A second metal wiring pattern is formed in the opening in order to complete a dual-damascene structure. | 10-08-2009 |
20090261060 | Production Method of Suspension Board with Circuit - A production method of a suspension board with circuit includes the steps of forming, on a metal supporting board, an insulating layer formed with a first opening, forming a metal thin film on the insulating layer and on the metal supporting board exposed from the first opening, forming, on a surface of the metal thin film, a conductive layer having terminal portions forming, on the terminal portions, a metal plating layer by electrolytic plating using the metal supporting board as a lead, forming a second opening in a portion of the metal supporting board opposing the first opening, and partially etching the metal supporting board to form the suspension board with circuit and a support frame. In the step of forming the insulating layer, the first opening is formed in the insulating layer in which the supporting frame is formed. | 10-22-2009 |
20090272714 | METHOD OF FORMING AN INTEGRATED CIRCUIT WITH MM-WAVE ANTENNAS USING CONVENTIONAL IC PACKAGING - A method of forming the integrated circuit. The method includes, in an integrated circuit package, forming each bond to or from an integrated circuit pad that is intended to be an antenna connection to be elongated compared to other bonds, and arranged in an approximately perpendicular direction to the plane of the integrated circuit; encapsulating the top of the integrated circuit package with a dielectric material at a height greater than a desired antenna length; and milling the dielectric encapsulation down to a pre-selected and calibrated height, such that the elongated bond wire to/from the integrated circuit pad that is intended to be an antenna connection is severed, such that the approximately vertical bond wire to/from the integrated circuit pad that is intended to be an antenna connection forms a quarter wave monopole. | 11-05-2009 |
20090277867 | Topography reduction and control by selective accelerator removal - Plating accelerator is applied selectively to a substantially-unfilled wide (e.g., low-aspect-ratio feature cavity. Then, plating of metal is conducted to fill the wide feature cavity and to form an embossed structure in which the height of a wide-feature metal protrusion over the metal-filled wide-feature cavity is higher than the height of metal over field regions. Most of the overburden metal is removed using non-contact techniques, such as chemical wet etching. Metal above the wide feature cavity protects the metal-filled wide-feature interconnect against dishing, and improved planarization techniques avoid erosion of the metal interconnect and dielectric insulating layer. In some embodiments, plating of metal onto a substrate is conducted to fill narrow (e.g., high-aspect-ratio feature cavities) in the dielectric layer before selective application of plating accelerator and filling of the wide feature cavity. | 11-12-2009 |
20090277868 | Producing method of wired circuit board - A producing method of a wired circuit board includes a laminating step of preparing a metal supporting board, forming an insulating base layer on the metal supporting board, forming a conductive layer including a terminal portion and a plating lead continued from the terminal portion on the insulating base layer, and forming an insulating cover layer on the insulating base layer so as to cover the conductive layer, a first etching step of etching the metal supporting board, and then etching the insulating base layer to expose the plating lead from the metal supporting board and the insulating base layer, and a second etching step of etching the exposed plating lead. | 11-12-2009 |
20090283496 | DIRECTING CARBON NANOTUBE GROWTH - Embodiments of the invention include apparatuses and methods relating to directed carbon nanotube growth using a patterned layer. In some embodiments, the patterned layer includes an inhibitor material that directs the growth of carbon nanotubes. | 11-19-2009 |
20090283497 | METHOD OF MANUFACTURING WIRING SUBSTRATE - A method of manufacturing a wiring substrate of the present invention, includes the steps of forming a seed layer on an underlying layer, forming a plating resist in which an opening portion is provided on the seed layer, forming a copper plating layer in the opening portion by an electroplating, removing the plating resist, wet-etching the seed layer using the copper plating layer as a mask to obtain the wiring layer, roughening a surface of the wiring layer by a blackening process, and forming an insulating layer on the wiring layer, wherein a surface of the copper plating layer is soft-etched simultaneously in the step of etching the seed layer, whereby a soft etching step of the wiring layer carried out prior to the step of the blackening process is omitted. | 11-19-2009 |
20090289029 | MOLD HAVING NANOMETRIC FEATURES, METHOD FOR REALIZING SUCH A MOLD AND CORRESPONDING USE OF IT IN A METHOD FOR REALIZING AN ARRAY OF CARBON NANOTUBES - A mold is for obtaining, on a substrate, an array of carbon nanotubes with a high control of their positioning. The mold includes a first layer of a first preset material having a surface having in relief at least one first plurality of projections having a free end portion with a substantially pointed profile. | 11-26-2009 |
20090301995 | Method for Making a Plate-Like Detachable Structure, in Particular Made of Silicon, and Use of Said Method - Process for fabricating a structure in the form of a wafer, including at least a substrate, a superstrate and at least one intermediate layer interposed between the substrate and the superstrate, the process including: forming, on a substrate, at least one intermediate layer including at least one base material in which extrinsic atoms or molecules are distributed, these differing from the atoms or molecules of the base material, so as to constitute a substructure; applying a base heat treatment to this substructure such that, in the temperature range of this heat treatment, the presence of the chosen extrinsic atoms or molecules in the chosen base material causes a structural transformation of said intermediate layer; and joining a superstrate to said heat-treated intermediate layer so as to obtain said structure in the form of a wafer. | 12-10-2009 |
20090301996 | FORMULATIONS FOR REMOVING COOPER-CONTAINING POST-ETCH RESIDUE FROM MICROELECTRONIC DEVICES - A method and composition for removing copper-containing post-etch and/or post-ash residue from patterned microelectronic devices is described. The removal composition includes a diluent, a solvent and a copper corrosion inhibitor, wherein the diluent may be a dense fluid or a liquid solvent. The removal compositions effectively remove the copper-containing post-etch residue from the microelectronic device without damaging exposed low-k dielectric and metal interconnect materials. | 12-10-2009 |
20090308836 | DIHYDROXY ENOL COMPOUNDS USED IN CHEMICAL MECHANICAL POLISHING COMPOSITIONS HAVING METAL ION OXIDIZERS - A chemical mechanical polishing composition contains 1) water, 2) optionally an abrasive material, 3) an oxidizer, preferably a per-type oxidizer, 4) a small amount of soluble metal-ion oxidizer/polishing accelerator, a metal-ion polishing accelerator bound to particles such as to abrasive particles, or both; and 5) at least one of the group selected from a) a small amount of a chelator, b) a small amount of a dihydroxy enolic compound, and c) a small amount of an organic accelerator. Ascorbic acid in an amount less than 800 ppm, preferably between about 100 ppm and 500 ppm, is the preferred dihydroxy enolic compound. The polishing compositions and processes are useful for substantially all metals and metallic compounds found in integrated circuits, but is particularly useful for tungsten. The present invention also pertains to surface-modified colloidal abrasive polishing compositions and associated methods of using these compositions, particularly for chemical mechanical planarization, wherein the slurry comprises low levels of chelating free radical quenchers, non-chelating free radical quenchers, or both. | 12-17-2009 |
20090314739 | WET PROCESSING SYSTEM AND WET PROCESSING METHOD - An exemplary system for processing a workpiece comprises a conveyor, a first liquid spraying device, a second liquid spraying device, and a substrate positioning device. The conveyor is configured for conveying the workpiece along a conveying direction. The first and second liquid spraying devices for spraying liquid onto the workpiece transported on the conveyor face the conveyor and are arranged along the conveying direction. The substrate positioning device for reorienting the workpiece on the conveyor is installed between the first and second liquid spraying devices and faces the conveyor. | 12-24-2009 |
20090321387 | MANUFACTURING METHOD OF PRINTED CIRCUIT BOARD - Disclosed is a manufacturing method of a printed circuit board. The method in accordance with an embodiment of the present invention includes: providing a laminated substrate having an insulator as well as a first metal layer and a second metal layer, which are sequentially laminated on one side of the insulator; processing a via hole in the laminated substrate; forming a seed layer on an inner wall of the via hole and on a surface of the second metal layer; plating an inside of the via hole and the surface of the second metal layer with a conductive material that is different from a material of the second metal layer; etching the seed layer and the conductive material, formed on the second metal layer; etching the second metal layer; and forming a first circuit pattern by selectively etching the first metal layer. | 12-31-2009 |
20100000964 | METHOD AND SYSTEM FOR ETCHING A MEM DEVICE - A method and system for etching a substrate is described and, in particular, a method for etching large, high aspect ratio features, such as those in micro-electromechanical devices (MEMs), is also described. The method comprises disposing a substrate in a processing system, forming plasma having a substantial population of negatively-charged ions, and etching one or more features in the substrate using the negative ion population. | 01-07-2010 |
20100012620 | WET-PROCESSING APPARATUS AND METHOD OF FABRICATING DISPLAY PANEL - The wet-processing apparatus includes a wet-step bath in which a wet-step is carried out, and a vibration-type film separator for separating impurities out of a solution used in the wet-step. The wet-processing apparatus further includes a re-supply path through which the solution out of which the impurities were removed by means of the vibration-type film separator is re-supplied to the wet-step bath. Thus, it is possible to reuse a solution and a material of which a pattern is composed. Since the vibration-type film separator is used, it is possible to reduce a frequency of exchanging filters equipped in the vibration-type film separator, and ensure a high rate at which a material of which a pattern is composed is recovered, regardless of a specific gravity of the material. | 01-21-2010 |
20100012621 | METHOD OF TREATING COPPER SULPHIDE DEPOSITS IN AN ELECTRICAL APPARATUS BY THE USE OF OXIDISING AGENTS - A method of treating copper sulfide deposits on materials and surfaces that are in contact with electrically insulating oil inside an electrical apparatus. A substantial amount of the electrically insulating oil, normally present in the electrical apparatus, has been removed. The copper sulfide is subjected to treatment with an oxidizing agent which causes a reaction with the copper sulfide deposits. The oxidizing agent can include any compound from the list; chlordioxide, a peroxy acid or ozone. | 01-21-2010 |
20100018944 | PATTERNING METHOD - A patterning method is provided. A patterned photoresist layer is formed on a bottom anti-reflective coating (BARC), having therein an opening exposing a portion of the BARC. The patterned photoresist layer is treated with a first plasma-generating gas including a fluorocarbon species to form a polymer layer on the surface of the PR layer and the sidewall of the opening. The patterned photoresist layer is used as a mask to etch the BARC with a second plasma-generating gas, which includes Ar and H | 01-28-2010 |
20100032407 | METHOD OF MANUFACTURING CERAMIC PROBE CARD - Provided is a method of manufacturing a ceramic probe card. A ceramic laminated body having a plurality of ceramic green sheets and an interlayer circuit including a conductive via and a conductive line formed in the plurality of ceramic green sheets is prepared. Then, at least one probe pin structure connected to the interlayer circuit is formed by selectively removing the plurality of photosensitive ceramic sheets having a ceramic powder and a photosensitive organic component on the ceramic laminated body necessarily, and by filling a metal material in a region from which the plurality of photosensitive ceramic sheets have been removed. Then, a ceramic substrate having the at least one probe pin structure is provided by simultaneously firing the ceramic laminated body and the photosensitive ceramic sheets, and by removing the photosensitive ceramic sheets. | 02-11-2010 |
20100051577 | COPPER LAYER PROCESSING - The present disclosure includes devices, methods, and systems for processing copper and, in particular, copper layer processing using sulfur plasma, One or more embodiments can include a method of forming a copper sulfur compound by reacting copper with a plasma gas including sulfur and removing at least a portion of the copper sulfur compound with water. | 03-04-2010 |
20100065530 | COMPOSITION AND PROCESS FOR THE SELECTIVE REMOVE OF TiSiN - An aqueous removal composition and process for removing heater material, including TiSiN, from a microelectronic device having said material thereon. The aqueous removal composition includes at least one fluoride source, at least one passivating agent, and at least one oxidizing agent. The composition selectively removes TiSiN relative to oxides and nitrides that are adjacently present. | 03-18-2010 |
20100072169 | Methods and Systems for Preventing Feature Collapse During Microelectronic Topography Fabrication - Methods for preventing feature collapse subsequent to etching a layer encasing the features include adding a non-aqueous liquid to a microelectronic topography having remnants of an aqueous liquid arranged upon its surface and subsequently exposing the topography to a pressurized chamber including a fluid at or greater than its saturated vapor pressure or critical pressure. The methods include flushing from the pressurized chamber liquid arranged upon the topography and, thereafter, venting the chamber in a manner sufficient to prevent liquid formation therein. The topography features may be submerged in a liquid while pressurizing the chamber. A process chamber used to prevent feature collapse includes a substrate holder for supporting a microelectronic topography, a vessel configured to contain the substrate holder, and a sealable region surrounding the substrate holder and the vessel. The chamber is configured to sequester wet chemistry supplied to the vessel from metallic surfaces of the sealable region. | 03-25-2010 |
20100072170 | SHORT PITCH METAL GRATINGS AND METHODS FOR MAKING THE SAME - Methods for forming a metal grating include providing a first grating including a plurality of grating lines formed from a dielectric material, each grating having a pair of sidewalls, facing sidewalls of adjacent grating lines being separated by corresponding trenches, the grating lines and trenches forming a grating surface; forming a layer of a metal on the grating surface, where the metal layer has a constant thickness and conforms to the grating surface; and removing portions of the metal layer between sidewalls of adjacent grating lines of the first grating to form a metal grating having grating lines formed from the metal, the grating lines of the metal grating corresponding to the portions of the metal layer adjacent the sidewalls of the grating lines of the first grating. The metal grating has a pitch of 200 nm or less, a depth of 50 nm or more, and the grating lines of the metal grating have an aspect ratio of 10-to-1 or more. | 03-25-2010 |
20100089866 | Method for producing tapered metallic nanowire tips on atomic force microscope cantilevers - A method of making nanowire probes is provided. The method includes providing a template having a nanoporous structure, providing a probe tip that is disposed on top of the template, and growing nanowires on the probe tip, where the nanowires are grown from the probe tip along the nanopores, and the nanowires conform to the shape of the nanopores. | 04-15-2010 |
20100096357 | THERMOELECTRIC MODULE AND MANUFACTURING METHOD FOR SAME - A thermoelectric module and method of manufacture thereof, capable of preventing short-circuits between electrodes due to solder without causing increases in size or cost. A thermoelectric module is configured with lower electrodes formed on the inside surface of a lower substrate, placed in opposition to an upper substrate, on the inside surface of which are formed upper electrodes; the end faces of thermoelectric elements are soldered to the lower electrodes and upper electrodes. Each of the electrodes is configured from three layers, which are a copper layer, a nickel layer formed on one face of the copper layer, and a gold layer formed on one face of the nickel layer; a visor portion, protruding outward, is formed in the nickel layer, so that when positioning the thermoelectric elements above the electrodes and soldering the electrodes to the thermoelectric elements, the flowing of solder | 04-22-2010 |
20100102025 | METHOD AND APPARATUS FOR MARKING COATED OPHTHALMIC SUBSTRATES OR LENS BLANKS HAVING ONE OR MORE ELECTRICALLY CONDUCTIVE LAYERS - A method for marking an ophthalmic substrate or other ophthalmic article comprising the steps of
| 04-29-2010 |
20100102026 | METHOD OF FORMING NANOSTRUCTURED SURFACE ON POLYMER ELECTROLYTE MEMBRANE OF MEMBRANE ELECTRODE ASSEMBLY FOR FUEL CELL - The present invention provides a method of forming a nanostructured surface (NSS) on a polymer electrolyte membrane (PEM) of a membrane electrode assembly (MEA) for a fuel cell, in which a nanostructured surface is suitably formed on a polymer electrolyte membrane by plasma treatment by plasma-assisted chemical vapor deposition (PACVD), where catalyst particles or a catalyst layer are directly deposited on the surface of the polymer electrolyte membrane having the nanostructured surface. | 04-29-2010 |
20100102027 | Method of Forming Double-sided Patterns in a Touch Panel Circuit - A method of forming double-sided patterns in a touch panel circuit is disclosed. A first conductive layer and a second conductive layer are respectively formed on both sides of a substrate. A blocking layer is formed on a top surface of the first conductive layer for blocking ultraviolet (UV) light. A first photoresist layer is formed on a top surface of the blocking layer, and a second photoresist layer is formed on a bottom surface of the second conductive layer. Accordingly, two sides of the substrate may be exposed, developed and etched at the same time, thereby substantially simplifying the process of manufacturing the touch panel circuit. | 04-29-2010 |
20100108636 | Integrated Tool for Fabricating an Electronic Component - A tool for use in fabricating an electronic component includes a plurality of processing modules and a transfer chamber in communication with each of the plurality of processing modules. The transfer chamber includes a component for transferring a structure to each of the plurality of processing modules. The plurality of processing modules and the transfer chamber are sealed from the surrounding environment and are under a vacuum. The plurality of processing modules includes a first module configured to perform a first process on the structure and a second module configured to perform a second process on the structure. The first process includes performing at least one shaping operation on the structure. | 05-06-2010 |
20100108637 | MULTILAYER PRINTED WIRING BOARD AND METHOD OF MANUFACTURING THE SAME - A multilayer printed wiring board manufacturing method including forming conductor posts, which are of substantially uniform thickness and with which the top surfaces are protected by a resist, on a conductor pattern disposed on an upper surface of a build-up layer formed on a core substrate, shaping the conductor posts to have a constriction by adjusting the time of immersion in an etching solution that etches the conductor posts, forming a low elastic modulus layer of substantially the same height as the conductor posts after removing the resist at the top surfaces, and forming mounting electrodes on upper surfaces of the conductor posts. | 05-06-2010 |
20100116780 | METHOD FOR PATTERNING NANOWIRES ON SUBSTRATE USING NOVEL SACRIFICIAL LAYER MATERIAL - A method for patterning nanowires on a substrate. The method includes procedures of preparing a substrate having a patterned sacrificial layer of barium fluoride thereon; growing nanowires on an entire surface of the resultant substrate including the patterned sacrificial layer; and removing the patterned sacrificial layer using a solvent to remove part of the nanowires on the patterned sacrificial layer such that part of the nanowires in direct contact with the substrate remains on the substrate to thereby form a nanowire pattern. | 05-13-2010 |
20100116781 | Etchant and array substrate having copper lines etched by the etchant - An etchant includes hydrogen peroxide (H | 05-13-2010 |
20100147789 | METHOD FOR MANUFACTURING PIEZOELECTRIC ELEMENT - A manufacturing method of the present invention comprises the step of epitaxially growing a PZT layer on a first electrode layer, and the step of processing the PZT layer to a desired shape using an etching solution after the growing step. The etching solution contains at least one acid from among hydrochloric acid and nitric acid in a concentration C | 06-17-2010 |
20100155365 | STAMPER MANUFACTURING METHOD - According to one embodiment, when forming first, second, and third stampers by transferring three-dimensional patterns of a master, a height adjusting layer having a film thickness greater on the upper surface of a projection than on the bottom surface of a recess is formed between the second stamper and a second release layer, and the surface of the third stamper is etched with an acidic solution having a pH of less than 3. | 06-24-2010 |
20100170868 | SPIN-ON SPACER MATERIALS FOR DOUBLE- AND TRIPLE-PATTERNING LITHOGRAPHY - Novel double- and triple-patterning methods are provided. The methods involve applying a shrinkable composition to a patterned template structure (e.g., a structure having lines) and heating the composition. The shrinkable composition is selected to possess properties that will cause it to shrink during heating, thus forming a conformal layer over the patterned template structure. The layer is then etched to leave behind pre-spacer structures, which comprise the features from the pattern with remnants of the shrinkable composition adjacent the feature sidewalls. The features are removed, leaving behind a doubled pattern. In an alternative embodiment, an extra etch step can be carried out prior to formation of the features on the template structure, thus allowing the pattern to be tripled rather than doubled. | 07-08-2010 |
20100176082 | COMPOSITIONS AND METHODS FOR THE SELECTIVE REMOVAL OF SILICON NITRIDE - Compositions useful for the selective removal of silicon nitride materials relative to poly-silicon, silicon oxide materials and/or silicide materials from a microelectronic device having same thereon. The removal compositions include fluorosilicic acid, silicic acid, and at least one organic solvent. Typical process temperatures are less than about 100° C. and typical selectivity for nitride versus oxide etch is about 200:1 to about 2000:1. Under typical process conditions, nickel-based silicides as well as titanium and tantalum nitrides are largely unaffected, and polysilicon etch rates are less than about 1 Å min | 07-15-2010 |
20100176083 | METHOD AND APPARATUS FOR REMOVING ADJACENT CONDUCTIVE AND NON-CONDUCTIVE MATERIALS OF A MICROELECTRONIC SUBSTRATE - A microelectronic substrate and method for removing adjacent conductive and nonconductive materials from a microelectronic substrate. In one embodiment, the microelectronic substrate includes a substrate material (such as borophosphosilicate glass) having an aperture with a conductive material (such as platinum) disposed in the aperture and a fill material (such as phosphosilicate glass) in the aperture adjacent to the conductive material. The fill material can have a hardness of about 0.04 GPa or higher, and a microelectronics structure, such as an electrode, can be disposed in the aperture, for example, after removing the fill material from the aperture. Portions of the conductive and fill material external to the aperture can be removed by chemically-mechanically polishing the fill material, recessing the fill material inwardly from the conductive material, and electrochemically-mechanically polishing the conductive material. The hard fill material can resist penetration by conductive particles, and recessing the fill material can provide for more complete removal of the conductive material external to the aperture. | 07-15-2010 |
20100181283 | DUAL METAL FOR A BACKSIDE PACKAGE OF BACKSIDE ILLUMINATED IMAGE SENSOR - A method for fabricating a semiconductor device with improved bonding ability is disclosed. The method comprises providing a substrate having a front surface and a back surface; forming one or more sensor elements on the front surface of the substrate; forming one or more metallization layers over the front surface of the substrate, wherein forming a first metallization layer comprises forming a first conductive layer over the front surface of the substrate; removing the first conductive layer from a first region of the substrate; forming a second conductive layer over the front surface of the substrate; and removing portions of the second conductive layer from the first region and a second region of the substrate, wherein the first metallization layer in the first region comprises the second conductive layer and the first metallization layer in the second region comprises the first conductive layer and the second conductive layer. | 07-22-2010 |
20100181284 | METHOD OF OBTAINING ELECTRONIC CIRCUITRY FEATURES - The present disclosure relates to a method of obtaining fine circuitry features by positioning a circuit board precursor, the circuit board precursor having a cover layer and an insulating substrate, in proximity to a source of laser radiation. Selectively laser ablating through the cover layer and into the underlying insulating substrate and then treating with water, dilute alkali solution or dilute acid solution to remove the cover layer to reveal one or more circuitry features on the insulating substrate that are smaller than if a cover layer is not used. | 07-22-2010 |
20100193465 | SEPARATING METHOD FOR CONDUCTIVE CERAMICS SINTERED BODY - There are provided an aqueous solution for separation of a conductive ceramics sintered body in which a conductive ceramic sintered body separated form a glass can be collected in a recyclable condition, and a separating method therefor, and an aqueous solution for separation with which a dark ceramics sintered body, a conductive ceramics sintered body and a glass are separately collected from a glass with a dark ceramics sintered body in which a conductive ceramics sintered body is formed on the dark ceramics sintered body, and a separating method therefor. A treatment liquid having an etching ability for at least one of a glass and a conductive ceramic sintered body is prepared as an aqueous solution | 08-05-2010 |
20100193466 | METHOD OF MANUFACTURING CIRCUIT BOARD - A method of manufacturing a circuit board is provided. Firstly, a substrate is provided, and a first conductive layer is disposed on the substrate. Next, a barrier layer is formed on the first conductive layer. Thereafter, a through hole passing through the substrate, the first conductive layer, and the barrier layer is formed. A second conductive layer including a conductive rod disposed in the through hole is formed on an inside wall of the through hole and the barrier layer. After that, parts of the second conductive layer located outside the through hole are removed. Next, the barrier layer is removed, and a circuit layer is formed on the first conductive layer and the conductive rod. Parts of the first conductive layer exposed by the circuit layer are then removed. | 08-05-2010 |
20100193467 | METHOD FOR THE TREATMENT AND REUSE OF A STRIPPER SOLUTION - In the method, the spent stripper solution for tin or a tin mixture is treated to precipitate dissolved or suspended metal compounds. On the one hand chemicals for reuse, and on the other hand metals such as copper, tin, lead and/or iron are recovered from the waste water streams. Efficiency of the precipitation is improved by heating of the stripping solution at elevated temperatures, and by the addition of a precipitating reagent. Once the precipitate is removed, the remaining liquid may be reused, typically as an admixture with a fresh solution. In the production method of a regenerated stripping solution for tin comprising at least one inorganic acid, ferric ions, at least one organic acid, and at least one organic additive, the stripping solution is heated at elevated temperatures, precipitating reagent is added, precipitated matter is separated and removed, and one or some of the acids or additives mentioned are added for the recovery of the desired tin stripping capacity. | 08-05-2010 |
20100200537 | Nano-patterned metal electrode for solid oxide fuel cell - The current invention provides a method of fabricating nano-pore structured dense Pt electrodes using particle masking and LB deposition methods. The pore size and TPB density are easily tunable by changing initial size of the masking silica particles and the spacing between them. Compared to the solid oxide fuel cell MEAs with porous Pt electrode deposited by conventional DC sputtering method, fuel cell MEAs with the nano structured electrodes fabricated according to the current invention showed thermal and microstructural stability and superior I-V performance at 400˜450° C. Also, EIS spectra showed significant improvement in the oxygen reduction kinetics by increasing the density of charge transfer sites at the TPB. A nearly linear scaling relationship between TPB density and fuel cell performance was also demonstrated. | 08-12-2010 |
20100200538 | Analyte Sensor and Fabrication Methods - Methods for fabricating analyte sensor components using IC- or MEMs-based fabrication techniques and sensors prepared therefrom. Fabrication of the analyte sensor component comprises providing an inorganic substrate having deposited thereon a release layer, a first flexible dielectric layer and a second flexible dielectric layer insulating there between electrodes, contact pads and traces connecting the electrodes and the contact pads of a plurality of sensors. Openings are provided in one of the dielectric layers over one or more of the electrodes to receive an analyte sensing membrane for the detection of an analyte of interest and for electrical connection with external electronics. The plurality of fabricated sensor components are lifted off the inorganic substrate. | 08-12-2010 |
20100200539 | PLASTIC CAPACITIVE TOUCH SCREEN AND METHOD OF MANUFACTURING SAME - A method of removing portions of a conductive layer comprising a transparent conductive material and/or a metallic material disposed on a plastic substrate used for capacitive touchscreen devices includes providing a plastic substrate having a conductive layer disposed on a surface thereof and removing portions of the conductive layer at the surface of the plastic substrate to establish a pattern of electrically isolated conductive portions on the surface of the plastic substrate. The conductive portions or traces are electrically connected to a touchscreen controller, which is operable to determine a location of a touch or proximity of an object at or near the surface of the plastic substrate responsive to a detected change in capacitance. The removal process may comprise etching or laser ablating portions of the conductive layer at the surface of the plastic substrate. | 08-12-2010 |
20100219155 | EQUIPMENT AND METHODS FOR ETCHING OF MEMS - Etching equipment and methods are disclosed herein for more efficient etching of sacrificial material from between permanent MEMS structures. An etching head includes an elongate etchant inlet structure, which may be slot-shaped or an elongate distribution of inlet holes. A substrate is supported in proximity to the etching head in a manner that defines a flow path substantially parallel to the substrate face, and permits relative motion for the etching head to scan across the substrate. | 09-02-2010 |
20100224586 | PROCESS FOR MULTIPLE PLATINGS AND FINE ETCH ACCURACY ON THE SAME PRINTED WIRING BOARD - A process for manufacturing a printed wiring board includes specifying overlapping etches for a first portion of the printed wiring board and a second portion of the printed wiring board, the first portion of the printed wiring board having disposed thereon a printed circuit having at least one dimension critical to printed wiring board operation, etching a first conductor in the first portion of the printed wiring board when a first conductor thickness is a predetermined thickness, completing all plating steps, and etching a second conductor in the second portion of the printed wiring board. | 09-09-2010 |
20100224587 | PLASMA ETCHING METHOD, PLASMA ETCHING APPARATUS AND COMPUTER-READABLE STORAGE MEDIUM - Provided are a plasma etching method, a plasma etching apparatus and a computer-readable storage medium capable of plasma-etching a silicon-containing antireflection coating film (Si-ARC) with a high etching rate and a high selectivity while suppressing damage (roughness) of an ArF photoresist. In the plasma etching method, a Si-containing antireflection film | 09-09-2010 |
20100230382 | METAL-CLAD LAMINATE - Metal-clad laminates in which a conductor layer having superior peel strength is formed on a smooth surface of an insulating layer can be obtained by a method comprising (A) a step of preparing a metal-clad laminate precursor by providing one or more sheets of prepreg between two sheets of film having a metal film layer on a support layer, and heating and pressing them under reduced pressure, (B) a step of removing the support layer, (C) a step of removing the metal film layer, and (D) a step of forming a metal film layer on the surface of an insulating layer by electroless plating. | 09-16-2010 |
20100237037 | Ceramic substrate metalization process - A ceramic substrate metallization process for making a ceramic circuit substrate practically in an economic way by means of: washing a non-charged ceramic substrate and roughening the surface of the ceramic substrate by etching, and then coating a negatively charged (or positively charged), silicon-contained, nanoscaled surface active agent on the ceramic substrate, and then coating a positively charged (or negatively charged) first metal layer on the ceramic substrate. | 09-23-2010 |
20100237038 | Thin Film Antenna and the Method of Forming the Same - The present invention discloses a thin film multi-band antenna, which is formed by PVD-Roll to Roll process and is formed of metal-oxide, conductive polymer, conductive glue or CNT. In another aspect, the present invention discloses a manufacturing method of thin film antenna, comprising preparing gel, followed by coating the gel on a substrate to form a transparent thin film. Thermal process is performed to heat the thin film. The gel includes vinyl oxide and metal compounds, wherein the vinyl oxide includes PEO having In(NO)3.3H2O, In(Ac)3, SnCl2.2H2O, or Sn(C2O4) contained thereof. | 09-23-2010 |
20100252526 | Method for Manufacturing a Device on a Substrate - A method for manufacturing a device on a substrate includes forming a layer structure on the substrate, forming an auxiliary layer on the layer structure, forming a planarization layer on the auxiliary layer and on the substrate, exposing the auxiliary layer by a chemical mechanical polishing process and removing at least partly the auxiliary layer to form a planar surface of the remaining auxiliary layer or of the layer structure and the planarization layer. The chemical mechanical polishing process has a first removal rate with respect to the planarization layer and a second removal rate with respect to the auxiliary layer and the first removal rate is greater than the second removal rate. | 10-07-2010 |
20100252527 | METHOD FOR PRODUCING A THIN FILM LAMINATED CAPACITOR - A method for producing a thin film laminated capacitor that makes it possible to reduce the number of operations for etching its electrode layers and its dielectric layers. On a substrate, a capacitor part is formed wherein n electrode layers and (n−1) dielectric layers are alternately laminated onto each other, wherein n is 4 or more. The capacitor part is etched from the same side k times. In any i | 10-07-2010 |
20100264111 | Enhanced Focused Ion Beam Etching of Dielectrics and Silicon - Silicon, silicon dielectrics and low-k dielectrics are etched in a focused ion beam process using gaseous fluorinating etchants selected from the group of triethylamine trihydrofluoride (TEATHF) and xenon fluoride. Xenon fluoride is combined with a secondary protecting agent to avoid undesired corrosion of bare silicon. The protecting agent may be an oxidizing agent such as oxygen, perfluorotripentylamine (PFTPA), or a heavy completely fluorinated hydrocarbon. | 10-21-2010 |
20100270262 | ETCHING LOW-K DIELECTRIC OR REMOVING RESIST WITH A FILTERED IONIZED GAS - A method of etching a low-k dielectric on, or removing resist from, a substrate. In the method, the substrate is placed in a process zone. An ionized gas is generated in a gas ionization zone above the process zone, by introducing a process gas into a gas ionization zone, maintaining the process gas at a pressure of less than about 0.1 mTorr, and coupling RF energy to the process gas to form an ionized gas. The ionized gas is passed through an ion filter to form a filtered ionized gas. The substrate is exposed to the filtered ionized gas to etch the low-k dielectric layer on the substrate or to remove or clean remnant resist on the substrate. | 10-28-2010 |
20100282709 | SUBSTRATE PLASMA-PROCESSING APPARATUS - A substrate plasma-processing apparatus for plasma-processing a surface of an electrode of an organic light emitting device. The substrate plasma-processing apparatus may adjust the distance between a first electrode and a substrate and adjust the distance between a second electrode and the substrate. | 11-11-2010 |
20100288725 | Acid Chemistries and Methodologies for Texturing Transparent Conductive Oxide Materials - Surface texturing of the transparent conductive oxide (TCO) front contact of a thin film photovoltaic (TFPV) solar cell is needed to enhance the light-trapping capability of the TFPV solar cells and thus improving the solar cell efficiency. Embodiments of the current invention describe chemical formulations and methods for the wet etching of the TCO. The formulations and methods may be optimized to tune the surface texturing of the TCO as desired. | 11-18-2010 |
20100301004 | FABRICATION OF METALLIC STAMPS FOR REPLICATION TECHNOLOGY - The electrodeposited Nickel stamp is replicated from a conductive master, e.g. Titanium metallic master instead of a photoresist patterned master. The conductive layer is served as a working electrode in the subsequent electrodepositing of the Nickel metal. After the electroplating, Nickel stamps are obtained by peeling the Nickel metal sheet off the conductive layer of the metallic master. Low adhesion between metallic master and Nickel stamp make it possible to delaminate the Nickel stamp without any defects. | 12-02-2010 |
20100314352 | FABRICATING METHOD OF EMBEDDED PACKAGE STRUCTURE - A fabricating method of an embedded package structure includes following steps. First, a first circuit structure and a second circuit structure are formed respectively on a first board and a second board which are combined to form an integrated panel. The first board and the second board are then separated. Next, an embedded element is electrically disposed on the first circuit structure. At least one conductive bump is formed on the second circuit structure. Thereafter, a semi-cured film is provided, and a laminating process is performed to laminate the first circuit structure on the first board, the semi-cured film, and the second circuit structure on the second board. The semi-cured film encapsulates the embedded element and the at least one conductive bump pierces through the semi-cured film and electrically connects the first circuit structure. | 12-16-2010 |
20100314353 | Nano-construction of complex 3-D Structures and modification of existing structures - In one preferred aspects, methods are provided to produce a three-dimensional feature, comprising: (a) providing a nano-manipulator device; (b) positioning an article with the nano-manipulator device; and (c) manipulating the article to produce the three-dimensional feature. The invention relates to production of nanoscale systems that can be tailored with specific physical and/or electrical characteristics or need to have these characteristics modified. Methods and apparatus are presented that can construct three-dimensional nanostructures and can also modify existing nanostructures in three dimensions. | 12-16-2010 |
20100326953 | APPARATUS FOR ETCHING SUBSTRATE AND METHOD OF FABRICATING THIN-GLASS SUBSTRATE - An apparatus for etching a substrate includes (a) a nozzle system including at least one nozzle through which acid solution containing at least hydrofluoric acid is sprayed onto the substrate, (b) a mover which moves at least one of the nozzle system and the substrate relative to the other in a predetermined direction in such a condition that the substrate and the nozzle system face each other, (c) a filter system which filters off particles out of the acid solution having been sprayed onto the substrate, and (d) a circulation system which circulates the acid solution having been sprayed onto the substrate, to the filter system, and further, to the nozzle system from the filter system. | 12-30-2010 |
20110000875 | Methods Of Forming Capacitors - A method of forming a capacitor includes depositing a dielectric metal oxide layer of a first phase to a thickness no greater than 75 Angstroms over an inner conductive capacitor electrode material. The first phase dielectric metal oxide layer has a k of at least 15. Conductive RuO | 01-06-2011 |
20110000876 | STRIPPER SOLUTION AND METHOD OF MANUFACTURING LIQUID CRYSTAL DISPLAY USING THE SAME - A method for manufacturing a liquid crystal display includes simultaneously forming a gate electrode and a gate bus line on a transparent dielectric substrate, simultaneously forming a channel layer, an ohmic contact layer, and source/drain electrodes by forming a gate insulation film, an amorphous silicon film, a doped amorphous silicon film, and a metal film on the transparent dielectric substrate on which the gate electrode and the gate bus line are formed and etching the metal film, the amorphous silicon film, and the doped amorphous silicon film, and forming a pixel electrode by forming a protective film and a transparent metal film on the transparent dielectric substrate upon which the source/drain electrodes are formed and finely etching the transparent metal film through a lift-off process using a stripper solution. | 01-06-2011 |
20110000877 | HEAD SUSPENSION FLEXURE WITH INLINE LEAD PORTIONS - An integrated lead head suspension flexure including a plurality of integrated leads each including at least one lead portion unbacked by the flexure spring metal layer and configured to be substantially inline with the general plane of the spring metal layer. The leads are disposed on a dielectric layer including an unbacked dielectric layer portion having a surface positioned between the major surfaces of the spring metal layer. | 01-06-2011 |
20110000878 | INK JET-PRINTABLE COMPOSITION AND A MASKING PROCESS - A radiation-curable, ink jet-printable composition comprising a compound having a reactive silyl group that is suitable for use as a masking composition and/or processes in which the composition is applied onto a substrate; the printed composition is exposed to radiation to form a cured image that masks selected areas of the substrate; the unmasked areas of the substrate are modified; and the cured image is treated with an alkaline solution in order to release the cured image from the substrate. | 01-06-2011 |
20110006032 | SURFACE TREATMENT OF AN ORGANIC OR INORGANIC SUBSTRATE FOR ENHANCING STABILITY OF A LITHOGRAPHICALLY DEFINED DEPOSITED METAL LAYER - A method of metal deposition may include chemically modifying a surface of a substrate to make the surface hydrophobic. The method may further include depositing a layer of metal over the hydrophobic surface and masking at least a portion of the deposited metal layer to define a conductive metal structure. The method may also include using an etching agent to etch unmasked portions of the deposited metal layer. | 01-13-2011 |
20110011828 | ORGANICALLY MODIFIED ETCH CHEMISTRY FOR ZNO TCO TEXTURING - Embodiments disclosed herein generally relate to a process of texturing a transparent conductive oxide layer deposited over a substrate. The transparent oxide layer is sometimes deposited onto a substrate for later use in a solar cell device. After the transparent conductive oxide layer is deposited, the layer is textured to increase the haze of the layer. An increase in haze permits the layer to increase light trapping and thus improve the efficiency of a solar cell. A wet etch chemistry that utilizes a component that is less polar than water permits the acidic component, such as nitric acid, to dissociate less and thus etch the transparent conductive oxide to the desired texture. A suitable component is an organic component such as acetic acid which has a dielectric constant substantially below the dielectric constant of water. | 01-20-2011 |
20110017702 | METHOD OF MANUFACTURE OF AN ELECTRODE FOR A FUEL CELL - A method of manufacture of an electrode for a fuel cell, the method comprising at least the steps of: (a) providing an electrode substrate; (b) contacting at least a part of the electrode substrate with an electroless plating solution comprising a reducing agent, a metal precursor and a suspension of particulate material; and (c) electrolessly plating the metal from the metal precursor onto the contacted part of the electrode substrate, thereby co-depositing the particulate material on the contacted part of the electrode substrate to provide the electrode. | 01-27-2011 |
20110024386 | ETCHING METHOD AND SUBSTRATE HAVING CONDUCTIVE POLYMER - An object of the present invention is to provide an etching method that enables control of etching of a conductive polymer using a specific cerium (IV) compound to be carried out simply and easily, thus ensuring that etching is carried out stably, and to provide a substrate having a conductive polymer that has been etched by the etching method. | 02-03-2011 |
20110042346 | COLOR FILTER SUBSTRATE AND FABRICATING METHOD THEREOF - A color filter substrate includes a substrate, a black matrix that defines cell areas on a substrate and prevents light leakage, a color filter formed in the cell areas defined by the black matrix, and a conductive thin film formed on the rear surface of the substrate for preventing the generation of static electricity, wherein the conductive thin film is formed of a photo-resist containing a conductive material. | 02-24-2011 |
20110042347 | METHOD AND APPARATUS FOR PLASMA SURFACE TREATMENT OF A MOVING SUBSTRATE - Method and plasma treatment apparatus for treatment of a substrate surface ( | 02-24-2011 |
20110042348 | IMPRINT METHOD, IMPRINT APPARATUS, AND PROCESS FOR PRODUCING CHIP - An imprint method for imprinting an imprint pattern of a mold onto a pattern formation material on a substrate so as to realize a high throughput includes the steps of bringing the imprint pattern and the pattern formation material into contact with each other; applying a first pressure between the mold and the substrate to increase a contact area between the imprint pattern and the pattern formation material; and adjusting a positional relationship between the mold and the substrate at a second pressure lower than the first pressure. | 02-24-2011 |
20110049087 | FRAME FOR HOLDING LAMINATE DURING PROCESSING - A rigid holder is provided for supporting a flexible article. The rigid holder may include a first frame member and a second frame member which are held together through magnets. | 03-03-2011 |
20110049088 | ETCHING COMPOSITION AND ETCHING PROCESS - An etching composition which comprises at least one organic carboxylic acid compound selected from acetic acid, propionic acid, butyric acid, succinic acid, citric acid, lactic acid, malic acid, tartaric acid, malonic acid, maleic acid, glutaric acid, aconitic acid, 1,2,3-propanetricarboxylic acid and ammonium salts of these acids, a polysulfonic acid compound and water, and an etching process which comprises etching a conductive film comprising zinc oxide as the main component using the etching composition described above. | 03-03-2011 |
20110073561 | METHOD FOR MANUFACTURING ELECTRODE FOR POWER STORAGE DEVICE AND METHOD FOR MANUFACTURING POWER STORAGE DEVICE - One of objects is to reduce the effect caused by the volume expansion of an active material. An embodiment is a method for manufacturing an electrode for a power storage device which includes an active material over one of surfaces of a current collector. The active material is formed by forming a conductive body functioning as the current collector; forming a mixed layer including an amorphous region and a microcrystalline region over one of surfaces of the conductive body; and etching the mixed layer selectively, so that a part of or the whole of the amorphous region is removed and the microcrystalline region is exposed. Thus, the effect caused by the volume expansion of the active material is reduced. | 03-31-2011 |
20110079578 | Nickel-Chromium Alloy Stripper for Flexible Wiring Boards - A nickel-chromium alloy etching composition comprising sulfuric acid, a source of chloride ions, including hydrochloric acid or sodium, potassium or ammonium chloride, and a sulfur compound comprising a sulfur atom with an oxidation state between −2 to +5, such as thiosulfate, sulfide, sulfite, bisulfite, metabisulfite and phosphorus pentasulfide that can efficiently remove nickel-chromium alloy in the presence of copper circuits is disclosed. | 04-07-2011 |
20110089137 | SUBSTRATE LIQUID PROCESSING APPARATUS, SUBSTRATE LIQUID PROCESSING METHOD, AND STORAGE MEDIUM HAVING SUBSTRATE LIQUID PROCESSING PROGRAM STORED THEREIN - Provided are a substrate liquid processing apparatus, a substrate liquid processing method, and a computer readable storage medium having a substrate liquid processing program stored therein that can prevent the occurrence of the electrostatic breakdown caused by the discharge of electric charges in a substrate. The substrate liquid processing apparatus processes a circuit-forming surface of the substrate with a chemical liquid. Furthermore, prior to processing the substrate with the chemical liquid, the substrate liquid processing apparatus performs an anti-static process for an surface opposite to the circuit-forming surface of the substrate by an anti-static liquid, thereby emitting the electric charges on the substrate. | 04-21-2011 |
20110100951 | METHOD AND APPARATUS FOR TRANSFERRING CARBONACEOUS MATERIAL LAYER - In a method and apparatus for transferring carbonaceous material layer, a carbonaceous material layer is grown on a growth substrate, and a first continuous conveying unit is used to feed the growth substrate and a transfer material, so that a gluing layer of the transfer material is attached to the carbonaceous material layer on the growth substrate. Then, a transformation device changes a viscosity of the gluing layer for the latter to adhere to the carbonaceous material layer. A second continuous conveying unit is further used to transfer and then separate the mutually adhered transfer material and growth substrate from each other, so that some part of the carbonaceous material layer is transferred onto the gluing layer while other part of the carbonaceous material layer remains on the growth substrate. Thus, at least a one-layer-thickness of the carbonaceous material layer is transferred. | 05-05-2011 |
20110108519 | WET ETCHED INSULATOR AND ELECTRONIC CIRCUIT COMPONENT - The present invention relates to an insulator as an insulating layer in a laminate which can inhibit dusting at the time of use, more particularly an electronic circuit component to which the insulator has been applied, particularly a wireless suspension. The insulator comprises a laminate of one or more insulation unit layers etchable by a wet process, the insulator having been subjected to plasma treatment after wet etching. The insulator exists mainly as an insulating layer in a laminate having a layer construction of first inorganic material layer-insulating layer-second inorganic material layer or a layer construction of inorganic material layer-insulating layer, and at least a part of the inorganic material layer has been removed to expose the insulating layer. | 05-12-2011 |
20110120969 | Process of manufacturing ceramic substrate - The present invention relates to a manufacturing process for the ceramics substrate, more particularly attaching a dry membrane to a surface of the metallic layer of the ceramics substrate, and removing the dry membrane from the circuit portion after exposure and development process to expose the metallic layer therein. Furthermore, the conductive metallic layer coated onto the surface of the metallic layer of the circuit, and the etching resistance layer is coated onto the surface of the conductive metallic layer, and further the dry membrane is removed and the conductive metallic layer and the metallic layer are etched. The etchant is blocked by the etching resistance layer to prevent etchant from directly contacting the surface of the conductive metallic layer. Thus, the predetermined width of the circuit will not be reduced and the precision of the circuit size can be upgraded. | 05-26-2011 |
20110127233 | METHOD OF MAKING BONDABLE PRINTED WIRING MEMBER - A method for making a printed wiring member including wire-bondable contact pads and wear-resistant connector pads, the method includes the steps of a) providing a blank printed wiring member comprising a copper foil laminated to a dielectric substrate; b) masking the blank printed wiring member to protect regions of the copper foil; c) removing copper in unprotected regions of the blank printed wiring member to form a patterned printed wiring member including contact pads and connector pads; d) depositing a nickel coating on the patterned printed wiring member using an electroless nickel deposition process; e) depositing a gold layer on the nickel coating using an electroless gold deposition process; and f) depositing palladium on the gold layer using an electroless palladium deposition process to improve wear resistance of the connector pads while preserving bondability of the contact pads. | 06-02-2011 |
20110132866 | Method for Producing a Bulk Wave Acoustic Resonator of FBAR Type - A method for fabricating a bulk wave acoustic resonator (FBAR) which includes at least locally a partially suspended thin layer of piezoelectric material, and includes the following steps: the formation of at least one first so-called lower electrode on the surface of a thin layer of piezoelectric material; the deposition of a so-called sacrificial layer on the surface of the said thin layer of piezoelectric material and of the said first electrode defining a first set; the assembling of the said first set with a second substrate; the formation of at least one second electrode termed the upper electrode on the opposite face of the said thin layer of piezoelectric material from the face comprising the said first electrode; and the elimination of the sacrificial layer so as to unveil the said thin layer of piezoelectric material and the said first electrode and define the bulk wave resonator. | 06-09-2011 |
20110147337 | USE OF BLOCK COPOLYMERS FOR PREPARING CONDUCTIVE NANOSTRUCTURES - Methods for preparing one or more conductive nanostructures are provided. In accordance with one embodiment, a method for preparing one or more conductive nanostructures may include providing a composite of nanoparticles and block copolymer including one or more first microdomains and one or more second microdomains, where conductive nanoparticles are selectively distributed in the one or more first microdomains, removing the first microdomains while leaving the conductive nanoparticles in the composite, forming one or more conductive nanostructures on the conductive nanoparticles, and removing the second microdomains. | 06-23-2011 |
20110147338 | Release Accumulative Charges on Wafers Using O2 Neutralization - A method of forming an integrated circuit structure on a wafer includes providing an etcher having an electrostatic chuck (ESC); and placing the wafer on the ESC. The wafer includes a conductive feature and a dielectric layer over the conductive feature. The method further includes forming and patterning a photo resist over the wafer; and etching the dielectric layer to form a via opening in the wafer using the etcher. An ashing is performed to the photo resist to remove the photo resist. An oxygen neutralization is performed to the wafer. A de-chuck step is performed to release the wafer from the ESC. | 06-23-2011 |
20110147339 | METHOD FOR MANUFACTURING WIRING STRUCTURE OF WIRING BOARD - A method for manufacturing a wiring structure of a wiring board is provided. In the method, a substrate including an insulation layer and a film disposed on the insulation layer is provided. Next, a barrier layer completely covering the film is formed. Next, an intaglio pattern partially exposing the insulation layer is formed on an outer surface of the barrier layer. Next, an activated layer is formed on the outer surface and in the intaglio pattern. Then, the activated layer on the outer surface is removed, and the activated layer in the intaglio pattern is remained. After the activated layer on the outer surface is removed, a conductive material is formed in the intaglio pattern by using a chemical deposition method. After forming the conductive material, the barrier layer and the film are removed. | 06-23-2011 |
20110147340 | TRANSPARENT CONDUCTIVE FILM, METHOD FOR PRODUCTION THEREOF AND TOUCH PANEL THEREWITH - A transparent conductive film includes: a transparent film substrate; a transparent conductor layer provided on one or both sides of the transparent film substrate; and at least one undercoat layer interposed between the transparent film substrate and the transparent conductor layer; wherein: the transparent conductor layer is patterned; and a non-patterned portion not having the transparent conductor layer has the at least one undercoat layer. | 06-23-2011 |
20110147341 | ETCHING SOLUTION FOR TITANIUM-BASED METAL, TUNGSTEN-BASED METAL, TITANIUM/TUNGSTEN-BASED METAL OR THEIR NITRIDES - An etching solution for titanium-based metals, tungsten-based metals, titanium/tungsten-based metals or their nitrides. The etching solution contains 10-40 mass % hydrogen peroxide, 0.1-15 mass % of an organic acid salt, and water. | 06-23-2011 |
20110155689 | MORPHOLOGY DESIGN OF TRANSPARENT CONDUCTIVE METAL OXIDE FILMS - An etching paste suitable for etching films comprising an etchant and a component is provided. The etching process comprises applying the etching paste of the present invention to the transparent conductive metal oxide film by a paste application method so that the film is etched. Through the combination of the etching paste and the paste application method, the transparent conductive metal oxide film having stable scattering properties is obtained and can be used in the manufacture of a-Si solar cells. | 06-30-2011 |
20110163062 | SELF-ALIGNED BARRIER AND CAPPING LAYERS FOR INTERCONNECTS - An interconnect structure for integrated circuits for copper wires in integrated circuits and methods for making the same are provided. Mn, Cr, or V containing layer forms a barrier against copper diffusing out of the wires, thereby protecting the insulator from premature breakdown, and protecting transistors from degradation by copper. The Mn, Cr, or V containing layer also promotes strong adhesion between copper and insulators, thus preserving the mechanical integrity of the devices during manufacture and use, as well as protecting against failure by electromigration of the copper during use of the devices and protecting the copper from corrosion by oxygen or water from its surroundings. In forming such integrated circuits, certain embodiments of the invention provide methods to selectively deposit Mn, Cr, V, or Co on the copper surfaces while reducing or even preventing deposition of Mn, Cr, V, or Co on insulator surfaces. Catalytic deposition of copper using a Mn, Cr, or V containing precursor and an iodine or bromine containing precursor is also provided. | 07-07-2011 |
20110163063 | METHOD FOR MANUFACTURING CRYSTAL OSCILLATOR - The invention is directed to the provision of a method for manufacturing a crystal oscillator manufacturing method that can achieve a highly precise fine adjustment without applying unnecessary external force to a crystal oscillator, and that can adjust a plurality of crystal oscillators in a collective manner. More specifically, the invention provides a method for manufacturing a crystal oscillator includes a first etching step for forming a prescribed external shape, an electrode forming step for forming an electrode at least in a portion of a surface of the external shape, a leakage amount measuring step for measuring leakage amount associated with leakage vibration of the external shape, and a second etching step for etching the external shape by an amount that is determined based on a measurement result of the leakage amount measuring step so as to adjust balance. | 07-07-2011 |
20110168666 | MANUFACTURING METHOD FOR OPTICAL WAVEGUIDE - A method of manufacturing an optical waveguide is disclosed. The method in accordance with an embodiment of the present invention includes providing a carrier, fixing a base substrate to the carrier by using a first insulation layer such that the base substrate is directly stacked on the carrier, stacking an optical waveguide layer on at least one of the base substrate and the first insulation layer, and severing the base substrate such that the base substrate and the optical waveguide layer are separated from the carrier. Accordingly, the optical waveguide layer can be formed with a uniform thickness since wrinkles in the base substrate supporting the optical waveguide layer are prevented from forming during the manufacturing process. | 07-14-2011 |
20110174770 | METHOD FOR MODIFYING AN ETCH RATE OF A MATERIAL LAYER USING ENERGETIC CHARGED PARTICLES - A method of etching a material layer on a substrate is described. In one embodiment, the method includes modifying an etch resistance of a material layer to a pre-determined etch process by doping the material layer using energetic charged particles, and etching the modified material layer using the pre-determined etch process. | 07-21-2011 |
20110186542 | SLURRY CONTAINING MULTI-OXIDIZER AND MIXED NANO-ABRASIVES FOR TUNGSTEN CMP - A chemical mechanical polishing slurry containing multiple oxidizers and nano abrasive particles (including engineered nano diamond particles) suitable for polishing multilayer substrate with tungsten and Ti/TiN barrier layers. The slurry contains no metallic catalyst and has low total abrasive particle content. The absence of metal ions can be advantageous for certain applications as certain metal ions may present contamination issues. A low total abrasive content may also lower the total defect counts, reduce the slurry waste treatment burden, and simplify the post CMP clean process. | 08-04-2011 |
20110198312 | AIR CURRENT GENERATING APPARATUS AND METHOD FOR MANUFACTURING SAME - In one embodiment, an air current generating apparatus includes: a dielectric substrate exposed to gas: a first electrode disposed inside the dielectric substrate; a second electrode disposed near a surface of the dielectric so as to correspond the first electrode and having a sharp shape; and a power source applying a voltage between the first and second electrodes and plasmatizing part of the gas to generate an air current. | 08-18-2011 |
20110198313 | METHOD FOR THE CONTROLLED GROWTH OF A GRAPHENE FILM - The invention relates to a controlled graphene film growth process characterized in that it comprises the following steps:
| 08-18-2011 |
20110204019 | Method of making a planar electrode - Chemical mechanical polishing (CMP) of thin film materials using a slurry including a surfactant chemical operative to polish high portions of the film being planarized while preventing the polishing of low portions of the film is disclosed. The low portions can be in a step reduction region of a deposited film. The CMP process can be used for form a planar surface upon which subsequent thin-film layers can be deposited, such as an electrically conductive material for an electrode. The subsequently deposited thin-film layers are substantially planar as deposited without having to use CMP. The resulting thin-film layers are planar and have a uniform cross-sectional thickness that can be beneficial for layers of memory material for a memory cell. The processing can be performed back-end-of-the-line (BEOL) on a previously front-end-of-the-line (FEOL) processed substrate (e.g., silicon wafer) and the BEOL process can be used to fabricate two-terminal non-volatile cross-point memory arrays. | 08-25-2011 |
20110204020 | Method of and Printable Compositions for Manufacturing a Multilayer Carbon Nanotube Capacitor - Multilayer carbon nanotube capacitors, and methods and printable compositions for manufacturing multilayer carbon nanotubes (CNTs) are disclosed. A first capacitor embodiment comprises: a first conductor; a plurality of fixed CNTs in an ionic liquid, each fixed CNT comprising a magnetic catalyst nanoparticle coupled to a carbon nanotube and further coupled to the first conductor; and a first plurality of free CNTs dispersed and moveable in the ionic liquid. Another capacitor embodiment comprises: a first conductor; a conductive nanomesh coupled to the first conductor; a first plurality of fixed CNTs in an ionic liquid and further coupled to the conductive nanomesh; and a plurality of free CNTs dispersed and moveable in the ionic liquid. Various methods of printing the CNTs and other structures, and methods of aligning and moving the CNTs using applied electric and magnetic fields, are also disclosed. | 08-25-2011 |
20110215068 | ELECTROLESS PLATING PRODUCTION OF NICKEL AND COBALT STRUCTURES - A method comprising forming a structural element | 09-08-2011 |
20110220610 | FLEXIBLE SUBSTRATE WITH ELECTRONIC DEVICES AND TRACES - A method of manufacturing an electronic device ( | 09-15-2011 |
20110226726 | Dry etching apparatus and method for manufacturing touch screen panels using the same - A dry etching apparatus for performing dry etching in manufacture of a set of touch screen panels on a mother substrate, including a chamber, an upper electrode in the chamber at an upper portion thereof, the upper electrode configured to apply a high-frequency power source (RF) to the interior of the chamber, a lower electrode in the chamber at a lower portion thereof, the lower electrode configured to apply the high-frequency power source to the interior of the chamber, a gas injection port configured to inject a compound mixture gas into the chamber, an exhaust port configured to exhaust a reactive gas produced in the interior of the chamber, and a shadow mask disposed above a location on the lower electrode for the mother substrate for the touch screen panels, the shadow mask having a plurality of exposure windows respectively corresponding to a plurality of exposure portions to be formed. | 09-22-2011 |
20110226727 | ETCHANT FOR METAL WIRING AND METHOD FOR MANUFACTURING METAL WIRING USING THE SAME - Exemplary embodiments of the present invention provide a metal wiring etchant. A metal wiring etchant according to an exemplary embodiment of the present invention includes ammonium persulfate, an organic acid, an ammonium salt, a fluorine-containing compound, a glycol-based compound, and an azole-based compound. | 09-22-2011 |
20110226728 | DEVICE FOR GENERATING A PLASMA DISCHARGE FOR PATTERNING THE SURFACE OF A SUBSTRATE - Device for generating a plasma discharge for patterning the surface of a substrate, comprising a first electrode having a first discharge portion and a second electrode having a second discharge portion, a high voltage source for generating a high voltage difference between the first and the second electrode, and positioning means for positioning the first electrode with respect to the substrate, wherein the positioning means are arranged for selectively positioning the first electrode with respect to the second electrode in a first position in which a distance between the first discharge portion and the second discharge portion is sufficiently small to support the plasma discharge at the high voltage difference, and in a second position in which the distance between the first discharge portion and the second discharge portion is sufficiently large to prevent plasma discharge at the high voltage difference. | 09-22-2011 |
20110240591 | METHOD OF MANUFACTURING IN-MOLD FORMING FILM WITH METAL SURFACE - A method of manufacturing an in-mold forming film with a metal surface provides a metal sheet layer having a coupling surface predetermining at least one etching area, and then applies an etching measure to the etching area at the coupling surface, such that recessed structures are formed inwardly on a surface of the etching area, and then injects a plastic material to the coupling surface of the metal sheet layer by an injection pressure after the metal sheet layer is etched, such that the plastic material is forced to cover the recessed structures in the etching area, and finally cures the plastic material to carry the metal sheet layer and form a tight connection relation between the cured plastic material and the recessed structures. | 10-06-2011 |
20110240592 | TEXTURE PROCESSING LIQUID FOR TRANSPARENT CONDUCTIVE FILM MAINLY COMPOSED OF ZINC OXIDE AND METHOD FOR PRODUCING TRANSPARENT CONDUCTIVE FILM HAVING RECESSES AND PROJECTIONS - A texture processing liquid for a transparent conductive film for realizing a high photoelectric conversion efficiency in a thin solar cell and a method for producing a transparent conductive film are provided. | 10-06-2011 |
20110253668 | ETCH PATTERNING OF NANOSTRUCTURE TRANSPARENT CONDUCTORS - A patterned transparent conductor including a conductive layer coated on a substrate is described. More specifically, the transparent conductor can be patterned by screen-printing an acidic etchant formulation on the conductive layer. A screen-printable etchant formulation is also disclosed. | 10-20-2011 |
20110259848 | Rolled Copper Foil or Electrolytic Copper Foil for Electronic Circuit, and Method of Forming Electronic Circuit Using Same - Provided is a rolled copper foil or electrolytic copper foil for an electronic circuit to be used for forming a circuit by etching, wherein the rolled copper foil or the electrolytic copper foil comprises a nickel alloy layer with lower etching rate than copper, which is formed on an etching side of the copper foil, and the nickel alloy layer contains zinc. This invention aims to prevent sagging caused by the etching, to form a uniform circuit having the intended circuit width, and to shorten the time of forming a circuit by etching as much as possible, when forming a circuit by etching a copper foil of the copper-clad laminate; and also aims to make the thickness of the nickel alloy layer as thin as possible, to inhibit oxidation when exposed to heat, to prevent tarnish (discoloration) known as “YAKE”, to improve the etching properties in pattern etching, and to prevent the occurrence of short circuits and defects in the circuit width. | 10-27-2011 |
20110278257 | METHOD FOR MANUFACTURING A PIEZOELECTRIC MEMBRANE TYPE DEVICE - A substrate | 11-17-2011 |
20110284494 | Method for Manufacturing an Opto-Electronic Component - A method of producing an optoelectronic component comprises the steps of: A) providing a radiation-emitting layer sequence ( | 11-24-2011 |
20110297641 | Rolled Copper Foil or Electrolytic Copper Foil for Electronic Circuit, and Method of Forming Electronic Circuit using same - Provided is a rolled copper foil or electrolytic copper foil for an electronic circuit to be used for forming a circuit by etching, wherein the copper foil comprises a nickel or nickel alloy layer with a lower etching rate than copper formed on an etching side of the rolled copper foil or electrolytic copper foil, and a heat resistance layer composed of zinc or zinc alloy or its oxide formed on the nickel or nickel alloy layer. This invention aims to prevent sagging caused by the etching, to form a uniform circuit having the intended circuit width, and to shorten the time of forming a circuit by etching as much as possible, when forming a circuit by etching a copper foil of the copper-clad laminate; and also aims to make the thickness of the nickel or nickel alloy layer as thin as possible, to inhibit oxidation when exposed to heat, to prevent tarnish (discoloration) known as “YAKS”, to improve the etching properties in pattern etching, and to prevent the occurrence of short circuits and defects in the circuit width. | 12-08-2011 |
20110297642 | NANOWIRE-BASED TRANSPARENT CONDUCTORS AND APPLICATIONS THEREOF - A transparent conductor including a conductive layer coated on a substrate is described. More specifically, the conductive layer comprises a network of nanowires that may be embedded in a matrix. The conductive layer is optically clear, patternable and is suitable as a transparent electrode in visual display devices such as touch screens, liquid crystal displays, plasma display panels and the like. | 12-08-2011 |
20110297643 | METHOD OF HYDROPHOBIZING AND PATTERNING FRONTSIDE SURFACE OF INTEGRATED CIRCUIT - A method of hydrophobizing a frontside surface of an integrated circuit. The method includes the steps of: (a) depositing a hydrophobic polymeric layer onto the frontside surface; (b) depositing a protective metal film onto the hydrophobic polymeric layer; (c) depositing a sacrificial material onto the metal film; (d) patterning the sacrificial material; (e) etching through the metal film, the hydrophobic polymeric layer and the frontside surface; (f) performing MEMS processing steps on a backside of the integrated circuit; (g) subjecting the integrated circuit to an oxidizing plasma, wherein the metal film protects the hydrophobic polymeric layer from the oxidizing plasma; and (h) removing the protective metal film to provide an integrated circuit having a relatively hydrophobic patterned frontside surface. | 12-08-2011 |
20110303635 | DRY ETCHING APPARATUS AND METHOD OF DRY ETCHING - A dry etching apparatus includes: a vacuum chamber which includes therein a stage on which a member to be etched is mounted; a process gas supply device which supplies a process gas into the vacuum chamber; a plasma generating device which includes an electrode for generating a plasma in the vacuum chamber; a plasma generating power source which supplies high-frequency power for plasma generation to the electrode of the plasma generating device; a bias power source which is a single bias power source for controlling a self-bias potential of the stage and from which output frequency is variable; a matching box which is a single matching box connected electrically between the stage and the bias power source and which matches impedances between a load of the bias power source and the bias power source; a frequency setting device which sets an output frequency of the bias power source; and a control device which controls an impedance of the matching box according to the set output frequency of the bias power source. | 12-15-2011 |
20110315654 | METHOD OF MANUFACTURING A BULK ACOUSTIC WAVE DEVICE - A method of manufacturing a Bulk Acoustic Wave device by providing an active layer formed of an electro-mechanical transducer material, providing a first electrode on the active layer, defining a first electrode portion of the device, whereby a remaining portion of the device is defined around the first electrode, providing a stop-layer on the first electrode, depositing a first dielectric layer on the resultant structure, and planarizing the first dielectric layer until the stop-layer on the first electrode is exposed. | 12-29-2011 |
20110315655 | METHOD OF PROCESSING GRAPHENE SHEET MATERIAL AND METHOD OF MANUFACTURING ELECTRONIC DEVICE - A method of processing a graphene sheet material includes irradiating UV ray to a graphene sheet material in an atmosphere containing a first substance to inactivate an edge of the graphene sheet material by substituting an end group connected to the edge of the graphene sheet material with more stable functional group generated from the first substance, and irradiating UV ray to a surface of the graphene sheet material in an atmosphere containing a second substance containing oxygen to activate the second substance, and oxidize and remove a graphene sheet contained in the graphene sheet material sequentially from a surface side. | 12-29-2011 |
20120006781 | Electrode material and applications thereof - A metal vanadium film is used as an extraction electrode contacting with a vanadium oxide or doped vanadium oxide film. The electrode material is adapted for a detector, sensor and optical switch based on a vanadium oxide or doped vanadium oxide film. The metal vanadium film is in favor of reducing the thermal conductivity of the support structure of the array unit. The preparation process of the vanadium film using the metal vanadium as the source material is more easily controlled than that of NiCr film using the NiCr alloy as the source material. The extraction electrode of the present invention easily obtains an excellent metal-semiconductor contact characteristic. The preparation process and patterning process of the metal vanadium film have an excellent technology compatibility with the IC and MEMS manufacturing processes. | 01-12-2012 |
20120006782 | SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS - A substrate processing method for removing a copper oxide film on a surface of Cu and a Cu-containing residue adhered to an interlayer insulating film in a Cu wiring structure on a substrate by using an organic acid-containing gas is provided. The substrate processing method includes removing the Cu-containing residue by etching by supplying the substrate with a processing gas containing an organic acid gas, after the temperature of the substrate is set to be maintained at a first temperature; and removing the copper oxide film on the surface of Cu by means of a reduction reaction by supplying the substrate with the processing gas containing the organic acid gas, after the temperature of the substrate is set to be maintained at a second temperature that is higher than the first temperature. | 01-12-2012 |
20120024816 | METHOD FOR FABRICATING TOUCH SENSOR PANELS - A method for fabricating a touch sensor panel is disclosed. The method includes providing a substrate for the touch sensor panel, depositing a conductive material layer on a top surface of the substrate, depositing a metal layer on top of the conductive material layer, affixing a resist to a first area of the metal layer, the resist also adapted to serve as a passivation layer during passivation, removing metal from the metal layer outside of the first area; and performing passivation on the substrate while leaving the affixed resist intact. | 02-02-2012 |
20120024817 | APPARATUS AND METHOD FOR PLASMA SURFACE TREATMENT - An apparatus and a method for plasma surface treatment which treats a surface of a treatment portion of an electrically conductive object using ions from plasma are disclosed. The apparatus includes a connector electrically connected to the treatment portion for applying negative voltage pulses to the treatment portion; a pulse voltage generating unit electrically connected to the connector; and magnetic cores disposed at the boundary of the treatment portion for preventing electric current caused by the negative voltage pulses applied to the treatment portion from flowing across the boundary of the treatment portion is provided. The apparatus and method for plasma surface treatment can confine the treatment portion by using negative high voltage pulses and magnetic cores. Also, the apparatus and method can apply negative high voltage pulse to the treatment portion of an electrically grounded object such as a metal sheet coil and a metal wire coil. | 02-02-2012 |
20120031872 | CONDUCTIVE FILM REMOVAL AGENT AND CONDUCTIVE FILM REMOVAL METHOD - Disclosed is an agent for removing a conductive film, which contains: an acid having a boiling point of 80° C. or higher, a base having a boiling point of 80° C. or higher, or a compound which generates an acid or a base by external energy in combination with a solvent, a resin, and a leveling agent. Also disclosed is a method for removing a conducting film, which uses the agent for removing a conductive film. The agent for removing a conductive film and the method for removing a conductive film are capable of in-place uniformity removing a desired portion of a conductive film. | 02-09-2012 |
20120055901 | SUBSTRATE FABRICATING APPARATUS AND SUBSTRATE FABRICATING METHOD - Disclosed herein are a substrate fabricating apparatus and a substrate fabricating method. The substrate fabricating apparatus includes: a first injector that injects a first etchant to a circuit layer formed as an outermost layer of a base substrate to form first type of ruggedness; and a second injector that injects a second etchant to the circuit layer formed with the first type of ruggedness to form second type of ruggedness. The present invention provides the substrate fabricating apparatus and the substrate fabricating method that inject different etchants to the circuit layer to form different ruggedness, thereby making it possible to widen the specific surface area of the circuit layer to improve adhesion between the circuit layer and the protective layer. | 03-08-2012 |
20120061345 | METHOD OF DRYING SUBSTRATE, AND METHOD OF MANUFACTURING IMAGE DISPLAY APPARATUS USING THE SAME - A method of drying a substrate comprises: supplying a first air flow | 03-15-2012 |
20120061346 | Conductivity Measurement Device, Its Manufacture And Use - The invention relates to a method of manufacturing a device for measuring conductivity of a liquid, in particular ultrapure water, of the kind comprising two conductivity measurement electrodes suitable for defining a cell constant enabling the measurement of the conductivity of the ultrapure liquid, characterized in that it consists of producing each of the electrodes by forming an electrode pattern from electrically conductive material on a substrate of insulating material. | 03-15-2012 |
20120085730 | METHOD OF MANUFACTURING WIRING BOARD - A method for manufacturing a wiring board, includes: forming an insulating resin layer on a conductive layer; forming a metal chloride or a metal sulfate on the insulating resin layer; forming a protective layer on the metal chloride or the metal sulfate; forming an exposed portion in the insulating resin layer, the metal chloride or the metal sulfate, and the protective layer so as to at least partially expose the conductive layer; removing residues in the exposed portion; removing the protective layer; and forming a wiring on the insulating resin layer in which the protective layer has been removed. | 04-12-2012 |
20120085731 | METHOD OF FABRICATING MEMS DEVICES (SUCH AS IMod) COMPRISING USING A GAS PHASE ETCHANT TO REMOVE A LAYER - Improvements in an interferometric modulator that cavity defined by two walls. | 04-12-2012 |
20120103930 | METHOD OF MAKING FUSIBLE LINKS - Methods of fabricating the fusible link are directed to processing a multi-layer clad foil having a first layer suitable for forming a fusible link and a second layer suitable for forming one or more welding pads. In some embodiments, the first layer is an aluminum layer and the second layer is a nickel layer. A two-step etching process or a single step etching process is performed on the clad foil to form an etched clad foil having multiple tabs made of the second layer used as current collector conductor pads and battery cell conductor pads, and one or more tabs made of the first layer that form aluminum conductors. The aluminum conductors are shaped and sized to form aluminum fusible conductors during either the etching process or a subsequent stamping process. A single fusible link or an array of fusible links can be formed. | 05-03-2012 |
20120103931 | METHOD FOR MANUFACTURING PRINTED WIRING BOARD AND PRINTED WIRING BOARD - A method for manufacturing a printed wiring board includes forming a metal film on a surface of an insulative board, a plating resist on the metal film, and a plated-metal film on the metal film exposed from the plating resist, covering a portion of the plated-metal film with an etching resist, etching to reduce thickness of the plated-metal film exposed from the etching resist, removing the etching and plating resists, and forming a wiring having a pad for wire-bonding an electrode of an electronic component and a conductive circuit thinner than the pad by removing the metal film exposed after the plating resist is removed, a solder-resist layer on the surface of the board and wiring, an opening in the layer exposing the pad and a portion of the circuit contiguous to the pad, and a metal coating on the pad and portion of the circuit exposed through the opening. | 05-03-2012 |
20120103932 | METHODS FOR FABRICATING CURRENT-CARRYING STRUCTURES USING VOLTAGE SWITCHABLE DIELECTRIC MATERIALS - A method includes providing a voltage switchable dielectric material having a characteristic voltage, exposing the voltage switchable dielectric material to a source of ions associated with an electrically conductive material, and creating a voltage difference between the source and the voltage switchable dielectric material that is greater than the characteristic voltage. Electrical current is allowed to flow from the voltage switchable dielectric material, and the electrically conductive material is deposited on the voltage switchable dielectric material. A body comprises a voltage switchable dielectric material and a conductive material deposited on the voltage switchable dielectric material using an electrochemical process. In some cases, the conductive material is deposited using electroplating. | 05-03-2012 |
20120118851 | METHOD FOR FORMING A TOUCH SENSING PATTERN AND SIGNAL WIRES - A method for forming a touch sensing pattern and signal wires, comprises the steps of: installing a first and a second conductive plating films on a surface of a highly transparency substrate; projecting a high energy light beam to the conductive plating films; and the high energy light beam moving with respect to the substrate along a predetermined track; a plurality of insulating trenches being formed in the first and second conductive plating films so as to form predetermined patterns for a sensing area and a wire area; a yellow light process being performed on the substrate; a layer of light resistor thin film being formed on a surfaces of the wire area; and etching the first conductive plating film in the sensing area; by above steps, the predetermined pattern in the sensing area being formed in the second conductive plating film. | 05-17-2012 |
20120138566 | Method for Lithography Etching a Glass Substrate by Miniature Balls - Disclosed is a method for lithography etching a glass substrate. The method includes the steps of providing a glass substrate, providing miniature balls on the glass substrate so that the miniature balls become an etching-resistant layer, etching the glass substrate covered by the miniature balls to make a miniature pattern on the glass substrate, and removing the miniature balls from the substrate. | 06-07-2012 |
20120152889 | METHOD FOR MANUFACTURING PIEZOELECTRIC ELEMENT - A method for manufacturing a piezoelectric element, in which a ferroelectric film is processed in an appropriate shape by plasma etching, is provided. A metal mask made of a metal thin film which is hard to be etched by oxygen gas is placed on an object to be processed formed by laminating a lower electrode layer and a ferroelectric film on a substrate in this order. An etching gas containing a mixture gas of the oxygen gas and a reactive gas including fluorine in a chemical structure is turned into plasma and is brought into contact with the metal mask and the object to be processed. An AC voltage is applied to an electrode disposed beneath the object to be processed so that ions in the plasma are caused to enter the object to be processed to perform anisotropic etching on the ferroelectric film. | 06-21-2012 |
20120160802 | Method For Manufacturing A Wire Grid Polarizer - Provided is a method of manufacturing a wire grid polarizer in which a stable color coordinate can be implemented. According to the present invention, in a process where a second grid pattern of metal pattern is formed over a first grid pattern made of resin material, metal layer is deposited in a concave portion formed between adjacent first grid patterns to form void portion and a width and a height of the second grid pattern are adjusted depending on adjustment of a width of the voids, and thereby improving a process efficiency. | 06-28-2012 |
20120181248 | RESIST STRIPPING SOLUTION COMPOSITION, AND METHOD FOR STRIPPING RESIST BY USING SAME - Disclosed is a resist stripping solution composition, which is highly capable of removing a resist pattern and an etching residue after dry etching or wet etching, manifests excellent anticorrosive effects on metal wiring including aluminum and/or copper because a predetermined polyol compound is used, and also can process a number of substrates because a predetermined amide compound is used, thus greatly contributing to reducing the cost. A method of stripping a resist using the resist stripping solution composition is also provided. | 07-19-2012 |
20120181249 | PROCESSING LIQUID FOR SUPPRESSING PATTERN COLLAPSE OF FINE METAL STRUCTURE AND METHOD FOR PRODUCING FINE METAL STRUCTURE USING SAME - There are provided a processing liquid for suppressing pattern collapse of a fine metal structure, containing at least one member selected from an imidazolium halide having an alkyl group containing 12, 14 or 16 carbon atoms, a pyridinium halide having an alkyl group containing 14 or 16 carbon atoms, an ammonium halide having an alkyl group containing 14, 16 or 18 carbon atoms, a betaine compound having an alkyl group containing 12, 14 or 16 carbon atoms, and an amine oxide compound having an alkyl group containing 14, 16 or 18 carbon atoms, and a method for producing a fine metal structure using the same. | 07-19-2012 |
20120187076 | POLYMER LAYER REMOVAL ON PZT ARRAYS USING A PLASMA ETCH - A method for forming an ink jet print head can include attaching a plurality of piezoelectric elements to a diaphragm, dispensing a dielectric fill layer over the diaphragm and the plurality of piezoelectric elements to encapsulate the piezoelectric elements, curing the dielectric fill layer to form an interstitial layer, then removing the interstitial layer from an upper surface of the plurality of piezoelectric elements using a plasma etch. | 07-26-2012 |
20120193322 | Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby - Methods of forming dual-damascene metal wiring patterns include forming a first metal wiring pattern (e.g., copper wiring pattern) on an integrated circuit substrate and forming an etch-stop layer on the first metal wiring pattern. These steps are followed by the steps of forming an electrically insulating layer on the etch-stop layer and forming an inter-metal dielectric layer on the electrically insulating layer. The inter-metal dielectric layer and the electrically insulating layer are selectively etched in sequence to define an opening therein that exposes a first portion of the etch-stop layer. This opening may include a trench and a via hole extending downward from a bottom of the trench. A first barrier metal layer is formed on a sidewall of the opening and directly on the first portion of the etch-stop layer. A portion of the first barrier metal layer is selectively removed from the first portion of the etch-stop layer. The first portion of the etch-stop layer is then selectively etched for a sufficient duration to expose a portion of the first metal wiring pattern. A second metal wiring pattern is formed in the opening in order to complete a dual-damascene structure. | 08-02-2012 |
20120193323 | METHOD FOR OPERATING SUBSTRATE PROCESSING APPARATUS - A method for operating a substrate processing apparatus is provided which can contain generation of particles by generating plasma in a stable manner. After a substrate is disposed in an evacuated vacuum chamber, a rare gas is initially supplied into the vacuum chamber, a voltage is applied to a plasma generating means, and plasma of the rare gas is generated. Subsequently, a reaction gas is supplied into the vacuum chamber, the reaction gas is brought into contact with the plasma of the rare gas, and plasma of the reaction gas is generated. The plasma of the reaction gas is brought into contact with the substrate; and the substrate is processed. Plasma is stably generated not by turning the reaction gas into plasma but by first turning the rare gas into plasma by the plasma generating means, and generation of particles is subsequently suppressed. | 08-02-2012 |
20120211462 | REMOTELY-EXCITED FLUORINE AND WATER VAPOR ETCH - A method of etching exposed silicon oxide on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents combine with water vapor. The chemical reaction resulting from the combination produces reactants which etch the patterned heterogeneous structures to produce, in embodiments, a thin residual structure exhibiting little deformation. The methods may be used to conformally trim silicon oxide while removing little or no silicon, polysilicon, silicon nitride, titanium or titanium nitride. In an exemplary embodiment, the etch processes described herein have been found to remove mold oxide around a thin cylindrical conducting structure without causing the cylindrical structure to significantly deform. | 08-23-2012 |
20120211463 | PROCESS FOR REALIZATION OF POLYMERIC MATERIALS WITH SECOND ORDER NONLINEAR ELECTRO-OPTICAL PROPERTIES AND ELECTRO-OPTICAL DEVICES MADE WITH SAID MATERIAL - The present invention concerns a process for realization of polymeric materials with second order nonlinear electro-optical properties comprising the following steps:
| 08-23-2012 |
20120211464 | METHOD OF MANUFACTURING PRINTED CIRCUIT BOARD HAVING METAL BUMP - A method of manufacturing a printed circuit board, including: providing a metal layer; forming an insulation layer on the metal layer and then forming via holes for exposing the metal layer in the insulation layer; forming vias charged in the via holes and a circuit layer on the insulation layer; and forming metal bumps at ends of the vias. | 08-23-2012 |
20120211465 | FLEX-RIGID PRINTED WIRING BOARD AND MANUFACTURING METHOD THEREOF - A flex-rigid printed wiring board is provided which can retain flexibility of a flexible portion while increasing durability of the flexible portion against folding, and can ensure conduction in a rigid portion, and a method of manufacturing the printed wiring board. The flex-rigid printed wiring board includes a conductor layer provided on at least one face of a base film, one region of the wiring board containing the base film being a rigid region, an another region containing the base film being a flexible region. The average thickness “tf” of the conductor layer on the base film formed in the flexible region and the average thickness “tR” of the conductor layer on the base film formed in the rigid region satisfy the relationship of tf |
08-23-2012 |
20120217218 | PIEZOELECTRIC VIBRATING REED, PIEZOELECTRIC VIBRATING REED MANUFACTURING METHOD, PIEZOELECTRIC VIBRATOR, OSCILLATOR, ELECTRONIC DEVICE AND RADIO TIMEPIECE - An electrode forming step of forming a pair of electrodes by patterning an electrode film on an outer surface of a piezoelectric plate includes: an electrode film forming step of forming the electrode film; a photoresist film forming step of forming a photoresist film on the electrode film; a first exposure step of exposing the photoresist film through a mask; and a second exposure step of further exposing the photoresist film through a correction mask on which a second opening is disposed at a position overlapping with a part of the first opening. An opening width of the second opening corresponding to a clearance between the pair of electrodes is equal to or less than an opening width of the first opening corresponding to the clearance. | 08-30-2012 |
20120223046 | PRINTING METHOD FOR PRINTING ELECTRONIC DEVICES AND RELATIVE CONTROL APPARATUS - Embodiments of the invention may provide a method of printing one or more print tracks on a print support, or substrate, comprising two or more printing steps in each of which a layer of material is deposited on the print support according to a predetermined print profile. In each printing step, subsequent to the first step, each layer of material is deposited at least partially on top of the layer of material printed in the preceding printing step, so that each layer of printed material has an identical or different print profile with respect to at least a layer of material underneath. The method may further comprise depositing material in each printing step that is equivalent to or different from the material deposited in at least one of other the print layers. | 09-06-2012 |
20120255929 | ETCHING SOLUTION COMPOSITION FOR TRANSPARENT CONDUCTIVE FILM - The present invention provides an etching solution composition for etching crystalline transparent conductive films which enables etching of a crystalline ITO film without damaging copper and/or copper alloy used in electrode materials. Etching solution compositions for etching crystalline transparent conductive films described herein consist of an aqueous solution that comprises 1-10 wt % of a fluorine compound. | 10-11-2012 |
20120273454 | ETCHING LIQUID FOR CONDUCTIVE POLYMER, AND METHOD FOR PATTERNING CONDUCTIVE POLYMER - An etching liquid for a conductive polymer is disclosed which comprises greater than 0.5 wt % but no greater than 30 wt % of (NH | 11-01-2012 |
20120285923 | System and Method for Removing Oxide from a Sensor Clip Assembly - According to embodiments of the present disclosure, a method for removing oxide includes placing a sensor chip assembly having an oxide layer formed on a portion thereof within an enclosed and controlled environment. The portion of the sensor chip assembly is exposed to a reactive gas and a UV light to result in a substantial removal of the oxide layer formed on the portion of the sensor chip assembly. | 11-15-2012 |
20120285924 | METHOD FOR MANUFACTURING PRINTED CIRCUIT BOARD - Disclosed herein is a method for manufacturing a printed circuit board. The method for manufacturing a printed circuit board includes: preparing a base substrate having first connection pads and second connection pads; forming a solder resist layer on the base substrate, the solder resist layer having a first opening for exposing the first connection pads; forming a first surface treatment layer on the first connection pads; forming a protective film on the solder resist layer; forming a second opening for exposing the second connection pads in the protective film and the solder resist layer; and forming a second surface treatment layer on the second connection pads. | 11-15-2012 |
20120285925 | Method for forming a mirror mems device - An apparatus for use with a digital micromirror device includes a hinge layer that is disposed outwardly from a substrate. The hinge layer including a hinge that is capable of at least partially supporting a micromirror that is disposed outwardly from the hinge. In one particular embodiment, the hinge and the substrate are separated by a first air gap. The device also including a first hinge support that is disposed outwardly from the substrate and inwardly from at least a portion of the hinge layer. The first hinge support being capable of transmitting a voltage to the hinge. At least a portion of the hinge support coupled to at least the portion of the hinge layer. In one particular embodiment, the first hinge support is formed in a process step that is different than a process step that forms the hinge layer. | 11-15-2012 |
20120285926 | DUAL-DAMASCENE BIT LINE STRUCTURES FOR MICROELECTRONIC DEVICES AND METHODS OF FABRICATING MICROELECTRONIC DEVICES - Methods of fabricating components for microelectronic devices, microelectronic devices including memory cells or other components, and computers including memory devices include forming memory cells. For example, one embodiment is directed toward a method of fabricating a memory cell on a workpiece having a substrate, a plurality of active areas in the substrate, and a dielectric layer over the active areas. One embodiment of the method includes constructing bit line contact openings in the dielectric layer over first portions of the active areas and cell plug openings over second portions of the active areas. The method also includes depositing a first conductive material into the bit line contact openings to form bit line contacts and into the cell plug openings to form cell plugs. A conductive line is formed in a trench in the substrate. | 11-15-2012 |
20120305521 | Conductive Anti-Reflective Films - A method of applying a transparent conductive film to a polymeric substrate having a desired textured therein is provided. The method includes the steps of providing a polymeric material having a texture disposed therein and conformally applying a transparent conductive material to the molded polymeric material to produce a conformal transparent conductive film thereon. The conformal transparent conductive film has a texture corresponding to the texture of the polymeric material and has a period that is not larger than the wavelength of visible light, whereby the conformal transparent conductive film is antireflective. | 12-06-2012 |
20120305522 | MEMORY DEVICES AND METHOD OF MANUFACTURING THE SAME - Memory devices and methods of forming memory devices including forming a plurality of preliminary electrodes, each of the plurality of preliminary electrodes including a protruding region, protruding from a first mold insulating layer, forming a second mold insulating layer on the first mold insulating layer, removing at least a portion of the plurality of preliminary electrodes to form a plurality of openings in the second mold insulating layer and a plurality of lower electrodes, and forming a plurality of memory elements in the plurality of openings. Memory devices and methods of forming memory devices including forming one or more insulating layers on sidewalls of all or part of a plurality of lower electrodes and/or a plurality of memory elements. | 12-06-2012 |
20120312771 | METHOD FOR MAKING TOUCH PANEL - The present disclosure relates to a method for making touch panel. A substrate having a surface is provided. The substrate defines two areas: a touch-view area and a trace area. An adhesive layer is formed on the surface of the substrate. The adhesive layer on the trace area is solidified. A carbon nanotube layer is formed on the adhesive layer. The adhesive layer on the touch-view area is solidified. The carbon nanotube layer on the trace area is removed. At least one electrode and a conductive trace is formed. | 12-13-2012 |
20120312772 | METHOD FOR MAKING TOUCH PANEL - The present disclosure relates to a method for making a plurality of touch panels one time. The method includes following steps. A substrate is provided. The substrate has a surface defining a number of target areas with each including two areas: a touch-view area and a trace area. An adhesive layer is formed on the surface of the substrate. A carbon nanotube film is formed on the adhesive layer. The adhesive layer is solidified. An electrode and a conductive trace are formed on each target area so that part of the carbon nanotube film is exposed from a space between adjacent conductive lines of the conductive trace to form an exposed carbon nanotube film on each trace area. The exposed carbon nanotube film on each trace area is removed to obtain a plurality of transparent conductive layers spaced from each other. A number of touch panels is obtained by cutting the substrate. | 12-13-2012 |
20120312773 | METHOD FOR MAKING PATTERNED CONDUCTIVE ELEMENT - The present disclosure relates to a method for making pattern conductive element. The method includes steps. A substrate having a surface is provide. An adhesive layer is formed on the surface of the substrate. Part of the adhesive layer is solidified to form a solidified adhesive layer and a non-solidified adhesive layer. A carbon nanotube layer is applied on the adhesive layer. The non-solidified adhesive layer is solidified so that the carbon nanotube layer on the non-solidified adhesive layer forms a fixed carbon nanotube layer and the carbon nanotube layer on the solidified adhesive layer forms a non-fixed carbon nanotube layer. The non-fixed carbon nanotube layer is removed and the fixed carbon nanotube layer is remained to form a pattern carbon nanotube layer. | 12-13-2012 |
20120312774 | Illuminating waveguide fabrication method - A method for fabricating waveguides comprising nano-apertures for illumination of sub-resolution exposures is presented. In particular, the end of a waveguide, such as an optical fiber, is coated with a material, such as an electrically conducting metal or a semiconductor. This material is then selectively removed through the process of ion milling, creating an aperture in the material at the end of the waveguide. Under normal conditions, if the aperture is smaller than the wavelength of light in the waveguide, there is little or no transmission through the aperture. However, with the appropriate selection of materials and aperture geometry, for example a metallic conducting coating and sub-wavelength “C-shaped” or “bow-tie” aperture, enhancement of transmission of light through the aperture can be achieved, allowing effective illumination of sub-resolution spots using the ion-milled aperture. This can be used in a nanolithography system incorporating waveguide illuminators as well. | 12-13-2012 |
20120312775 | METHOD FOR MANUFACTURING A PRINTED CIRCUIT BOARD - A printed circuit board and a method for manufacturing the printed circuit board are disclosed. The method for manufacturing a printed circuit board can include forming a circuit pattern over a seed layer that is formed over an insulation layer, pressing the circuit pattern such that the circuit pattern and the seed layer corresponding with the circuit pattern are buried in the insulation layer, and removing the exposed seed layer. This method can prevent undercuts caused by etching in the seeds positioned between the circuit pattern and the insulation layer, and can thereby prevent the circuit pattern from becoming detached. Also, the adhesion between the circuit pattern and the insulation layer can be increased, making it possible to implement a finer circuit pattern over the insulation layer. | 12-13-2012 |
20120318769 | METHOD OF FORMING A METAL PATTERN AND METHOD OF MANUFACTURING A DISPLAY SUBSTRATE INCLUDING THE METAL PATTERN - A method of forming a metal pattern on a display substrate includes blanket depositing a copper-based layer having a thickness between about 1,500 Å and about 5,500 Å on a base substrate, and forming a patterned photoresist layer on the copper-based layer. The copper-based layer is over-etched by an etching composition containing an oxidizing moderating agent where the over-etch factor is between about 40% and about 200% while using the patterned photoresist layer as an etch stopping layer, and where the etching composition includes ammonium persulfate between about 0.1% by weight and about 50% by weight, includes an azole-based compound between about 0.01% by weight and about 5% by weight and a remainder of water. Thus, reliability of the metal pattern and that of manufacturing a display substrate may be improved. | 12-20-2012 |
20120318770 | MANUFACTURING METHOD OF CIRCUIT BOARD - A manufacturing method of a circuit board is provided. A circuit substrate having a first surface and at least a first circuit is provided. A dielectric layer having a second surface and covering the first surface and the first circuit is formed on the circuit substrate. The dielectric layer is irradiated by a laser beam to form a first intaglio pattern, a second intaglio pattern and at least a blind via. A first conductive layer is formed in the first intaglio pattern, the second intaglio pattern and the blind via. A barrier layer and a second conductive layer are formed in the second intaglio pattern and the blind via. Parts of the second conductive layer, parts of the barrier layer and parts of the first conductive layer are removed until the second surface of the dielectric layer is exposed, so as to form a patterned circuit structure. | 12-20-2012 |
20130001186 | METHOD OF FORMING CIRCUIT ON FLEXIBLE LAMINATE SUBSTRATE - Disclosed is a method of forming a circuit on a flexible laminate substrate. When forming a circuit using an adhesiveless flexible laminate which includes a polyimide film as the flexible laminate substrate in which at least one surface thereof is subject to plasma treatment, a tie-coat layer A formed on the polyimide film, a metal conductor layer B formed on the tie-coat layer, and a layer C which has the same components as the tie-coat layer that was formed on the metal conductor layer, the following method is used. The photoresist is coated on the layer C which has the same components as the tie-coat layer that was formed on the metal conductor layer, the photoresist is exposed and developed, the layer C other than the circuit forming parts thereof is selectively removed in advance via pre-etching, the conductor layer B is thereafter removed by supplemental etching with leaving the circuit portion, and the photoresist of the circuit portion is further removed so as to form the circuit. By forming a tie-coat layer or a metal or alloy that is equivalent to the tie-coat layer on the metal conductor layer of the adhesiveless flexible laminate, simultaneously achieved are the inhibition of side etching, which interferes with the achievement of finer pitches of circuit wiring, and the improvement of linearity of the wiring. | 01-03-2013 |
20130020279 | PLANARIZED SACRIFICIAL LAYER FOR MEMS FABRICATION - A method of forming a device is provided. The method includes providing a substrate, forming a sacrificial layer over the substrate, and forming a field layer around the sacrificial layer. After formation, both the sacrificial layer and the field layer are planarized. A component is then formed over the planarized sacrificial layer and the planarized field layer. The component has a first electrode and a second electrode and a single crystal wafer disposed between the first and second electrodes. The component includes anchors disposed substantially over the field layer. Once the component is formed, the sacrificial layer is released with an etchant having a selectivity for the sacrificial layer wherein a cavity is formed beneath the component. The cavity allows free movement within the cavity during operation of the device. The etchant does not release the field layer and the component so the field layer remains below the anchors. | 01-24-2013 |
20130020280 | METHOD OF MANUFACTURING FUEL CELL - A method of manufacturing a fuel cell includes: growing carbon nanotubes substantially perpendicular to a substrate formed by loading a growth catalyst on a base material; arranging the substrate and a polymer electrolyte membrane so as to oppose to each other and combining the carbon nanotubes with the polymer electrolyte membrane; and dissolving and removing part of the substrate by immersing the substrate in a solution which dissolves the substrate, after the carbon nanotubes and the polymer electrolyte membrane are combined. | 01-24-2013 |
20130037511 | METHOD AND APPARATUS FOR TREATING A WORKPIECE WITH ARRAYS OF NOZZLES - The present invention provides a tool for treating microelectronic workpieces with one or more treatment materials, including liquids, gases, fluidized solids, dispersions, combinations of these, and the like. | 02-14-2013 |
20130037512 | MEMS-BASED MICRO AND NANO GRIPPERS WITH TWO AXIS FORCE SENSORS - The present invention relates to a design and microfabrication method for microgrippers that are capable of grasping micro and nano objects of a large range of sixes and two-axis force sensing capabilities. Gripping motion is produced by one or more electrothermal actuators. Integrated force sensors along x and y directions enable the measurement of gripping forces as well as the forces applied at the end of microgripper arms along the normal direction, both with a resolution down to nanoNewton. The microfabrication method enables monolithic integration of the actuators and the force sensors. | 02-14-2013 |
20130037513 | RESIN BOARD TO BE SUBJECTED TO OZONE TREATMENT, WIRING BOARD, AND METHOD OF MANUFACTURING THE WIRING BOARD - A resin board that consists of at least one of a mixture of a plurality of types of resins having different degrees of susceptibility to erosion by an ozone solution, and a resin having, in a molecule, a plurality of types of components having different degrees of susceptibility to erosion by the ozone solution is treated with ozone water to form a reformed layer, and a catalyst metal is adsorbed by the reformed layer so as to form a resin-metal composite layer, on which a plating process is performed. In the resin board, a component or components that is/are likely to be eroded on by the ozone solution dissolves into the ozone solution, and pores or clearances on the order of nanometers are formed between the component(s) and a component or components that is/are less likely to be eroded by the ozone solution. With the plating deposited in the pores or clearances, the adhesion strength is improved due to an anchoring effect. Thus, the adhesion strength of the plating film is improved even where the resin-metal composite layer has a thickness of 10 to 200 nm. | 02-14-2013 |
20130048597 | METHOD OF MANUFACTURING TRANSPARENT CONDUCTIVE FILM - A method of manufacturing a transparent conductive film has the steps of: preparing a laminated body in which a transparent conductive layer that is not patterned is formed on a flexible transparent base, removing a part of the transparent conductive layer to form the pattern forming part having the transparent conductive layer on the flexible transparent base and the pattern opening part not having the transparent conductive layer on the flexible transparent base, and heating the laminated body in which the transparent conductive layer is patterned. The absolute value of the difference H | 02-28-2013 |
20130056438 | COMPOSITION AND METHOD FOR MICRO ETCHING OF COPPER AND COPPER ALLOYS - Disclosed is a composition for and applying said method for micro etching of copper or copper alloys during manufacture of printed circuit boards. Said composition comprises a copper salt, a source of halide ions, a buffer system and a benzothiazole compound as an etch refiner. The inventive composition and method is especially useful for manufacture of printed circuit boards having structural features of ≦100 μm. | 03-07-2013 |
20130056439 | METHOD OF MANUFACTURING METAL-BASE SUBSTRATE AND METHOD OF MANUFACTURING CIRCUIT BOARD - A method of manufacturing a metal-base substrate having an insulative adhesive layer and a conductor layer on a metal-based material is provided. The method includes the steps of dispersing a disperse phase in an insulative adhesive-dispersing medium that contains a wetting dispersant and constitutes the insulative adhesive layer; laminating step of laminating the insulative adhesive on the conductor foil as feeding the roll-shaped conductor foil; curing the insulative adhesive on the conductor foil under heat into a B stage state and thus forming a composite of the conductor foil and the insulative adhesive layer in the B stage state; laminating the metal-based material on the insulative adhesive layer in the B stage state to give a laminate; and then curing the insulative adhesive layer in the B stage state into a C stage state by heat pressurization of the laminate. | 03-07-2013 |
20130068720 | PATTERN FORMING METHOD - According to one embodiment, a method includes: forming a film to be processed having a step; forming an uncured first imprint resist; curing the first imprint resist, with a flat surface of a first template pressed against a front surface of the first imprint resist, and planarizing the front surface; forming an intermediate transfer film made of a material different from a material of the first imprint resist; forming an uncured second imprint resist made of a material different from the material of the intermediate transfer film; curing the second imprint resist, with irregularities of a second template contacted with the second imprint resist, and forming an irregular pattern having the irregularities inverted on the second imprint resist; processing the intermediate transfer film by etching using the second imprint resist; and processing the film by etching using the processed intermediate transfer film. | 03-21-2013 |
20130068721 | ELECTRODE FOR SUPER-CAPACITOR, SUPER-CAPACITOR INCLUDING ELECTRODE, AND METHOD FOR PREPARING ELECTRODE - An electrode for a super-capacitor, a super-capacitor including the electrode, and a method of preparing the electrode in which the electrode includes a conductive substrate; metal nano structures formed on the conductive substrate; and a metal oxide coated on the metal nano structures. The electrode for the super-capacitor increases the capacitance of the super-capacitor. | 03-21-2013 |
20130075357 | METHODS OF MAKING AND REPAIRING RESIZED FLAT PANEL DISPLAYS - Electronic flat panel displays (FPDs) including liquid crystal displays (LCDs) may be resized to meet custom size requirements for applications in aerospace and elsewhere. During the resizing process, pixel line defects may occur in the image due to electrical short circuits at the resized cut edge. Methods for repairing such short circuits are described, including use of mechanical, electrical, chemical, thermal, and/or other methods, and any combination thereof, to open the short circuits. The methods may be applied to the sealed cut edge to ruggedize the seal, even if image defects are not exhibited initially. The repaired short circuits may be stress tested to ensure the defects will not recur during the life of the display, and the repaired areas may be resealed. | 03-28-2013 |
20130082026 | Method for chemically plating metal on surface of capacitive touch panel - A method for chemically plating metal on surfaces of a capacitive touch panel includes sputtering ITO on surfaces of a glass substrate of a capacitive touch panel to form a double-sided ITO glass; dividing the double-sided ITO glass into a visible region and a peripheral region of a touch glass according to layout; etching on the visible region of each touch glass via a photo art to form induction electrodes of a sensor and etching on the peripheral region thereof to form wiring traces; and thereafter printing a protecting film on the visible region of each touch glass and plating the wiring traces of each touch glass with metal via a chemical nickel plating art and a chemical gold plating art. | 04-04-2013 |
20130098866 | ROTARY NANOTUBE BEARING STRUCTURE AND METHODS FOR MANUFACTURING AND USING THE SAME - In one embodiment, a rotary device includes a multiwall nanotube that extends substantially perpendicularly from a substrate. A rotor may be coupled to an outer wall of the multiwall nanotube, be spaced apart from the substrate, and be free to rotate around an elongate axis of the multiwall nanotube. | 04-25-2013 |
20130105436 | Electronic Component with Reactive Barrier and Hermetic Passivation Layer | 05-02-2013 |
20130112650 | ROOM TEMPERATURE GLASS-TO-GLASS, GLASS-TO-PLASTIC AND GLASS-TO-CERAMIC/SEMICONDUCTOR BONDING - A process for room temperature substrate bonding employs a first substrate substantially transparent to a laser wavelength is selected. A second substrate for mating at an interface with the first substrate is then selected. A transmissivity change at the interface is created and the first and second substrates are mated at the interface. The first substrate is then irradiated with a laser of the transparency wavelength substantially focused at the interface and a localized high temperature at the interface from energy supplied by the laser is created. The first and second substrates immediately adjacent the interface are softened with diffusion across the interface to fuse the substrates. | 05-09-2013 |
20130112651 | METHOD FOR MANUFACTURING COIL PARTS - The present invention discloses a method for manufacturing coil parts including a ferrite substrate, a conductor line formed on the ferrite substrate, and an external electrode for external electrical connection of the conductor line, including: coating a magnetic layer to cover the external electrode; planarizing a surface of the magnetic layer by mechanical polishing so that a portion of the magnetic layer remains on the external electrode; and exposing the external electrode by removing the remaining magnetic layer by chemical polishing. | 05-09-2013 |
20130119012 | INTERCONNECTION ELEMENT FOR ELECTRIC CIRCUITS - An interconnection element and method for making same is disclosed. The interconnection element may include a plurality of metal conductors, a plurality of solid metal bumps and a low melting point (LMP) metal layer. The solid metal bumps overly and project in a first direction away from respective ones of the conductors. Each bump has at least one edge bounding the bump in at least a second direction transverse to the first direction. The low melting point (LMP) metal layer has a first face joined to the respective ones of the conductors and bounded in the second direction by at least one edge and a second face joined to the bumps. The edges of the bumps and the LMP layer are aligned in the first direction, and the LMP metal layer has a melting temperature substantially lower than the conductors. | 05-16-2013 |
20130126465 | METHOD OF MANUFACTURING PLASTIC METALLIZED THREE-DIMENSIONAL CIRCUIT - A method of manufacturing plastic metallized 3D circuit includes the steps of providing a 3D plastic main body; performing a surface pretreatment on the plastic main body; performing a metallization process on the plastic main body to deposit a thin metal film thereon; performing a photoresist coating process to form a photoresist protective layer on the thin metal film; performing an exposure and development process on the photoresist protective layer to form a patterned photoresist protective layer; performing an etching process on the exposed thin metal film to form a patterned metal circuit layer; stripping the patterned photoresist protective layer; and performing a surface treatment on the patterned metal circuit layer to form a metal protective layer. With the method, a 3D circuit pattern can be directly formed on a 3D plastic main body without providing additional circuit carrier to thereby meet the requirement for miniaturized and compact electronic devices. | 05-23-2013 |
20130126466 | Method for Producing a Dielectric Layer on a Component - A method for producing a dielectric layer on the surface of a component is described. In particular embodiments, a dielectric layer having a planar surface can be generated over a substrate topography having raised structures. In a trimming process, a component property, which depends on the thickness or the third topography of the dielectric layer, is adjusted. | 05-23-2013 |
20130134126 | REDUCING DEFECTS IN ELECTRONIC APPARATUS - A technique, comprising defining at least part of one or more electronic devices on a substrate sheet by means of one or more material removal processes, wherein the substrate sheet is arranged on a lower layer so as to overhang said lower layer more at a first end than it does at an opposite, second end; and removing loose material from under said overhang at said first end by means of a stream of gas directed at said substrate and said lower layer from an outlet, said stream of gas having at said outlet at least a directional component parallel to a direction from said second end to said first end. | 05-30-2013 |
20130134127 | WIRING BOARD AND METHOD OF FABRICATING THE SAME - A wiring board includes an electrode pad having a first surface and a second surface located on an opposite side from the first surface, a conductor pattern connected to the first surface of the electrode pad, and an insulator layer embedded with the electrode pad and the conductor pattern. The insulator layer covers an outer peripheral portion of the second surface of the electrode pad. | 05-30-2013 |
20130140270 | IC-PROCESSED POLYMER NANO-LIQUID CHROMATOGRAPHY SYSTEM ON-A-CHIP AND METHOD OF MAKING IT - Embodiments in accordance with the present invention relate to packed-column nano-liquid chromatography (nano-LC) systems integrated on-chip, and methods for producing and using same. The microfabricated chip includes a column, flits/filters, an injector, and a detector, fabricated in a process compatible with those conventionally utilized to form integrated circuits. The column can be packed with supports for various different stationary phases to allow performance of different forms of nano-LC, including but not limited to reversed-phase, normal-phase, adsorption, size-exclusion, affinity, and ion chromatography. A cross-channel injector injects a nanolitre/picolitre-volume sample plug at the column inlet. An electrochemical/conductivity sensor integrated at the column outlet measures separation signals. A self-aligned channel-strengthening technique increases pressure rating of the microfluidic system, allowing it to withstand the high pressure normally used in high performance liquid chromatography (HPLC). On-chip sample injection, separation, and detection of mixture of anions in water is successfully demonstrated using ion-exchange nano-LC. | 06-06-2013 |
20130140271 | Capacitor Forming Methods - A capacitor forming method includes forming an electrically conductive support material over a substrate, forming an opening through at least the support material to the substrate, and, after forming the opening, forming a capacitor structure contacting the substrate and the support material in the opening. The support material contains at least 25 at % carbon. Another capacitor forming method includes forming a support material over a substrate, forming an opening through at least the support material to the substrate, and, after forming the opening, forming a capacitor structure contacting the substrate and the support material in the opening. The support material contains at least 20 at % carbon. The support material has a thickness and the opening has an aspect ratio 20:1 or greater within the thickness of the support material. | 06-06-2013 |
20130146561 | METHOD OF MANUFACTURING VERTICAL TRANSISTORS - A method of manufacturing vertical transistors includes steps of: forming a conductive layer on the surface of a substrate with a ditch and two support portions; removing the conductive layer on the bottom wall of the ditch and top walls of the support portions via anisotropic etching through a etch back process; forming an oxidized portion in the ditch; and etching the conductive layer to form two gates without contacting each other. By forming the conductive layer on the surface of the ditch and adopting selective etching of the etch back process, the problem of forming sub-trenches caused by lateral etching or uneven etching rate that might otherwise occur in the conventional etching process is prevented, and the risk of damaging metal wires caused by increasing etching duration also can be averted. | 06-13-2013 |
20130161283 | SAW FILTER HAVING PLANAR BARRIER LAYER AND METHOD OF MAKING - Disclosed herein is a surface acoustic wave (SAW) filter and method of making the same. The SAW filter includes a piezoelectric substrate; a planar barrier layer disposed above the piezoelectric substrate, and at least one conductor buried in the piezoelectric substrate and the planar barrier layer. | 06-27-2013 |
20130161284 | TREATMENT LIQUID FOR INHIBITING PATTERN COLLAPSE IN MICROSTRUCTURES, AND MICROSTRUCTURE MANUFACTURING METHOD USING SAID TREATMENT LIQUID - There are provided a processing liquid for suppressing pattern collapse of a microstructure formed of silicon oxide which includes at least one compound selected from the group consisting of a fluoroalkyl group-containing ammonium halide, a fluoroalkyl group-containing betaine compound and a fluoroalkyl group-containing amine oxide compound, and water; and a method for producing a microstructure formed of silicon oxide using the processing liquid. | 06-27-2013 |
20130161285 | AQUEOUS POLISHING COMPOSITION AND PROCESS FOR CHEMICALLY MECHANICALLY POLISHING SUBSTRATE MATERIALS FOR ELECTRICAL, MECHANICAL AND OPTICAL DEVICES - An aqueous polishing composition comprising (A) abrasive particles which are positively charged when dispersed in an aqueous medium having a pH in the range of from 3 to 9 as evidenced by the electrophoretic mobility; (B) water-soluble and water-dispersible hydroxy group containing components selected from (b1) aliphatic and cycloaliphatic hydroxycarboxylic acids, wherein the molar ratio of hydroxy groups to carboxylic acid groups is at least 1; (b2) esters and lactones of the hydroxycarboxylic acids (b1) having at least one hydroxy group; and (b3) mixtures thereof; and (C) water-soluble and water-dispersible polymer components selected from (c1) linear and branched alkylene oxide polymers; (c2) linear and branched, aliphatic and cycloaliphatic poly(N-vinylamide) polymers; and (c3) cationic polymeric flocculents having a weight average molecular weight of less than 100,000 Dalton.; and a process for polishing substrate materials for electrical, mechanical and optical devices. | 06-27-2013 |
20130168348 | AQUEOUS POLISHING COMPOSITION AND PROCESS FOR CHEMICALLY MECHANICALLY POLISHING SUBSTRATES CONTAINING SILICON OXIDE DIELECTRIC AND POLYSILICON FILMS - An aqueous polishing composition has been found, the said aqueous polishing composition comprising (A) at least one type of abrasive particles which are positively charged when dispersed in an aqueous medium free from component (B) and having a pH in the range of from 3 to 9 as evidenced by the electrophoretic mobility; (B) at least one water-soluble polymer selected from the group consisting of linear and branched alkylene oxide homopolymers and copolymers; and (C) at least one anionic phosphate dispersing agent; and a process for polishing substrate materials for electrical, mechanical and optical devices making use of the aqueous polishing composition. | 07-04-2013 |
20130175238 | ETCHING SOLUTION AND METHOD OF MANUFACTURING PRINTED WIRING SUBSTRATE USING THE SAME - This invention relates to an etching solution including hydrogen peroxide, sulfuric acid, chlorine ions, benzotriazole and pyrazole, and to a method of manufacturing a printed wiring substrate wherein the surface of the metal wiring of the printed wiring substrate is treated with an alkali solution, roughened using the etching solution and then subjected to anti-rust treatment, thus forming porous surface irregularities and micro anchors even with a small etching amount of the metal (Cu) to thereby obtain a high force of adhesion between the metal and an insulating material. | 07-11-2013 |
20130180945 | METHOD OF PROCESSING A CONTACT PAD - In various embodiments, a method of processing a contact pad may include providing a contact pad, a topmost layer of the contact pad containing aluminum or an aluminum alloy, at least part of the topmost layer of the contact pad being exposed; subjecting the contact pad to a thermally activated atmosphere containing water or reactive components of water. | 07-18-2013 |
20130180946 | Chemical Removal of Surface Defects from Grain Oriented Electrical Steel - A method of reducing defect heights of iron mound defects on a mill glass coated electrical steel, comprises contacting at least a portion of a surface of a mill glass coated electrical steel with an acidic solution for a contacting time sufficient to reduce an average height of iron defects on the surface to a an average height in a range of 0 percent to 150 percent of the thickness of the mill glass coating, without effectively removing the mill glass coating. After contacting, the acid contacted mill glass coated electrical steel is rinsed with water and dried. | 07-18-2013 |
20130180947 | ETCHING COMPOSITION AND METHOD OF MANUFACTURING A DISPLAY SUBSTRATE USING THE SAME - An etching composition that includes, based on the total weight of the etching composition, from about 0.05% to about 15% by weight of a halogen-containing compound, from about 0.1% to about 20% by weight of a nitrate compound, from about 0.1% to about 10% by weight of an acetate compound, from about 0.1% to about 10% by weight of a cyclic amine compound, from about 0% to about 50% by weight of a polyhydric alcohol, and a remainder of water. | 07-18-2013 |
20130186850 | SLURRY FOR COBALT APPLICATIONS - A slurry for chemical mechanical of a cobalt layer or a conductive layer over a cobalt layer includes abrasive particles, an organic complexing compound for Cu or Co ion complexion, a Co corrosion inhibitor that is 0.01-1.0 wt % of the slurry, an oxidizer, and a solvent. The slurry has a pH of 7-12. | 07-25-2013 |
20130186851 | NONPOLYMERIC ANTIREFLECTION COMPOSITIONS CONTAINING ADAMANTYL GROUPS - Nonpolymeric compounds, compositions, and methods for forming microelectronic structures, and the structures formed therefrom are provided. The nonpolymeric compounds are ring-opened, epoxide-adamantane derivatives that comprise at least two epoxy moieties and at least one adamantyl group, along with at least one chemical modification group, such as a chromophore, bonded to a respective epoxy moiety. Anti-reflective and/or planarization compositions can be formed using these compounds and used in lithographic processes, including fabrication of microelectronic structures. | 07-25-2013 |
20130186852 | DEVICE AND METHOD FOR PRODUCING TARGETED FLOW AND CURRENT DENSITY PATTERNS IN A CHEMICAL AND/OR ELECTROLYTIC SURFACE TREATMENT - The invention relates to a device and method for producing targeted flow and current density patterns in a chemical and/or electrolytic surface treatment. The device comprises a flow distributor body which is disposed, with the front face thereof, plane-parallel to a substrate to be processed, and which has outlet openings on the front face, through which process solution flows onto the substrate surface. The process solution flowing back from the substrate is led off through connecting passages onto the rear face of the flow distributor body. At the same time a targeted distribution of an electrical field on a conductive substrate surface is effected by a specific arrangement of said connecting passages. | 07-25-2013 |
20130193104 | METHOD OF FORMING INKJET NOZZLE CHAMBER - A method of forming an inkjet nozzle chamber includes the steps of: (a) depositing a layer of chamber material onto a substrate, the layer of chamber material defining a depth of the nozzle chamber; (b) removing a predetermined region of the layer of chamber material to define sidewalls of the nozzle chamber and an internal volume of the nozzle chamber; (c) depositing a sacrificial material to fill the internal volume contained within the sidewalls; (d) depositing a roof layer onto the sacrificial material and the sidewalls; (e) etching the roof layer to define a nozzle opening therein; and (f) removing the sacrificial material contained in the internal volume so as to form the nozzle chamber. | 08-01-2013 |
20130200038 | AQUEOUS POLISHING COMPOSITION AND PROCESS FOR CHEMICALLY MECHANICALLY POLISHING SUBSTRATES FOR ELECTRICAL, MECHANICAL AND OPTICAL DEVICES - An aqueous polishing composition having a pH of 3 to 11 and comprising (A) abrasive particles which are positively charged when dispersed in an aqueous medium free from component (B) and of a pH of 3 to 9 as evidenced by the electrophoretic mobility; (B) anionic phosphate dispersing agents; and (C) a polyhydric alcohol component selected from the group consisting of (c1) water-soluble and water-dispersible, aliphatic and cycloaliphatic, monomeric, dimeric and oligomeric polyols having at least 4 hydroxy groups; (c2) a mixture consisting of (c21) water-soluble and water-dispersible, aliphatic and cycloaliphatic polyols having at least 2 hydroxy groups; and (c22) water-soluble or water-dispersible polymers selected from linear and branched alkylene oxide homopolymers and copolymers (c221); and linear and branched, aliphatic and cycloaliphatic poly(N-vinylamide) homopolymers and copolymers (c222); and (c3) mixtures of (c1) and (c2); and a process for polishing substrates for electrical, mechanical and optical devices. | 08-08-2013 |
20130200039 | AQUEOUS POLISHING COMPOSITIONS CONTAINING N-SUBSTITUTED DIAZENIUM DIOXIDES AND/OR N'-HYDROXY-DIAZENIUM OXIDE SALTS - An aqueous polishing composition comprising (A) at least one water-soluble or water-dispersible compound selected from the group consisting of N-substituted diazenium dioxides and N′-hydroxy-diazenium oxide salts; and (B) at least one type of abrasive particles; the use of the compounds (A) for manufacturing electrical, mechanical and optical devices and a process for polishing substrate materials for electrical, mechanical and optical devices making use of the aqueous polishing composition. | 08-08-2013 |
20130206720 | DEVICE AND METHOD FOR GENERATING A PLASMA DISCHARGE FOR PATTERNING THE SURFACE OF A SUBSTRATE - Device for generating a plasma discharge near a substrate for patterning the surface of the substrate, comprising a first electrode having a first discharge portion and a second electrode having a second discharge portion, a high voltage source for generating a high voltage difference between the first and the second electrode, and positioning means for positioning the first electrode with respect to the substrate. The device is further provided with an intermediate structure that is, in use, arranged in between the first electrode and the substrate while allowing for positioning the first electrode with respect to the substrate. | 08-15-2013 |
20130228547 | METHOD FOR MANUFACTURING A FLEXIBLE INTRAOCULAR RETINAL IMPLANT HAVING DOPED DIAMOND ELECTRODES - A method for manufacturing an intraocular retinal implant including: providing a mold capable of supporting growth of a layer of doped diamond, the mold including, on one face, elements all depressed or all projecting with respect to the surface of the face, and constituting a pattern cavity for the electrodes of the implant which it is desired to obtain; producing the doped diamond electrodes by growing a layer of doped diamond in all or part of a space occupied by the pattern cavity elements; forming a first insulating layer on the face of the mold including the pattern cavity; producing interconnection lines by depositing an electrically conductive material at least in spaces not covered by the first insulating layer; forming a second insulating layer on the mold face including the pattern cavity, the second layer covering the interconnection lines, the first and second insulating layers forming a flexible plate of the implant; removing the mold. | 09-05-2013 |
20130270216 | METHOD OF THIN PRINTED CIRCUIT BOARD WET PROCESS CONSISTENCY ON THE SAME CARRIER - A method of thin printed circuit board wet process consistency on the same carrier, and more particularly to a printed circuit board in the developing, copper plating, stripping, etching and other wet processes uses the same frame as a carrier from the beginning to the end of the wet process, such that the thin printed circuit board is conducted a continuous and automatic wet process to avoid disassembly, storage and transportation between each process. Moreover, when using the flame, the thin printed circuit board is smooth and flattening in the wet process for avoiding “water effect,” the effective area is not exposed to any mechanical members for preventing scratches, and there are point contacts between the thin printed circuit board and the frame for preventing chemical residue. Accordingly, the present invention can not only enhance the yield of the thin printed circuit board but also shorten the production time. | 10-17-2013 |
20130270217 | ETCHING SOLUTION FOR COPPER OR COPPER ALLOY - A solution for selectively etching copper or a copper alloy from a microelectronic device, wherein the device simultaneously includes copper or a copper alloy and nickel-containing material, the solution being an etching solution for copper or a copper alloy comprising a chelating agent having an acid group in a molecule, hydrogen peroxide, and a surfactant having an oxyethylene chain in a molecule. | 10-17-2013 |
20130270218 | Rolled Copper Foil or Electrolytic Copper Foil for Electronic Circuit, and Method of Forming Electronic Circuit Using Same - A rolled copper foil or electrolytic copper foil for an electronic circuit to be used for forming a circuit by etching, characterized in comprising a layer of metal of one or more types among a platinum group, gold and silver with an etching rate that is lower than the copper formed on an etching surface side of the rolled copper foil or the electrolytic copper foil, or alternatively comprising a layer of an alloy having the above-described metal as its main component. The following can be achieved upon forming a circuit by etching a copper foil of a copper clad laminate: sagging caused by the etching is prevented; a uniform circuit of the intended circuit width is formed; the time required to form a circuit by etching is reduced; etching properties in pattern etching are improved; and the occurrence of short circuits and defects in the circuit width are prevented. | 10-17-2013 |
20130284692 | RESIN COATED COPPER FOIL, METHOD FOR MANUFACTURING SAME AND MULTI-LAYER CIRCUIT BOARD - A method for manufacturing a resin coating on copper foil includes following steps. Firstly, two diamines of 2,2-bis[4-(4-aminophenoxy)phenyl]propane and 4,4′-oxydianiline, and two acid anhydrides of pyromellitic diandydride and oxydiphthalic anhydride are added into a polar aprotic solvent and the solvent is stirred to form a mixed solution. Secondly, the mixed solution is heated to a temperature of about 170° C.-190° C. to allow a cross-linking reaction to be completed between the two diamines and the two acid anhydrides, thereby forming a thermoplastic polyimide adhesive fluid. The thermoplastic polyimide adhesive fluid is coated on a copper foil and cured to form a thermoplastic polyimide adhesive layer on the copper foil, thereby obtaining a resin coated copper foil. This disclosure also relates to resin coated copper foil and a method for manufacturing a multi-layer circuit board. | 10-31-2013 |
20130299449 | HOT MELT COMPOSITION AND A METHOD AND SYSTEM FOR MANUFACTURING ELECTRONIC AND/OR OPTICAL COMPONENTS USING SUCH A HOT MELT COMPOSITION - A method for manufacturing electrical and/or optical components, wherein a hot melt composition including an alkane based wax and an amorphous material as a masking material is used. The hot melt composition has a melting point of between 40° C. and 85° C. and a viscosity of between 5 and 20 mPa·s at not less than one temperature within the range of between 50° C. and 140° C. A hot melt composition includes between 40 weight % and 89.9 weight % of an alkane based wax; between 10 weight % and 50 weight % of an amorphous material; and between 0.1 weight % and 10 weight % of a phosphonium based ionic liquid. A system and a method for manufacturing electronic and/or optical components is provided, wherein after the etch processes and/or plating processes, the hot melt composition is removed from the substrate with the aid of hot water. | 11-14-2013 |
20130299450 | METHOD OF FORMING LOW-RESISTANCE METAL PATTERN, PATTERNED METAL STRUCTURE, AND DISPLAY DEVICES USING THE SAME - Disclosed herein is a method of forming low-resistance metal pattern, which can be used to obtain a metal pattern having stable and excellent characteristics by performing sensitization treatment using a copper compound before an activation treatment for forming uniform and dense metal cores, a patterned metal structure, and display devices using the same. | 11-14-2013 |
20130313223 | METHOD FOR PRODUCING SUBSTRATE HAVING SURFACE NANOSTRUCTURE - A method for producing a substrate having a surface nanostructure, including a forming, on a substrate, a layer containing a block copolymer having a plurality of blocks bonded together, and subsequently heating this layer to cause phase separation of the layer; a decomposing at least a portion of the phase including at least one block of the plurality of blocks that constitute the block copolymer of this layer; and immersing the layer in a developing solution and selectively removing the phase containing the decomposed block(s), the developing solution containing, as the main component, an organic solvent having an SP value of 7.5 to 11.5 (cal/cm | 11-28-2013 |
20130319971 | Flexible Circuit Electrode Array and Method of Manufacturing the Same - A method for manufacturing a flexible circuit electrode array adapted to electrically communicate with organic tissue including the following steps:
| 12-05-2013 |
20130334168 | MANUFACTURING METHOD OF CIRCUIT PATTERN - This instant disclosure provides a manufacturing method of circuit pattern. The method comprising, providing a substrate; making a metal material be attached to the substrate for obtaining a circuit subbase layer on the substrate, wherein the circuit subbase layer is a curved surface along the surface of the substrate; making an anti-coating layer on the circuit subbase layer; executing a patterned processing to the anti-coating layer to make the anti-coating layer become an antenna pattern on the substrate; etching the circuit subbase layer to make the metal material uncovered by the anti-coating layer be removed from the surface of the substrate for making the circuit subbase layer to form the antenna pattern; removing the anti-coating layer to expose the circuit subbase layer forming the circuit pattern. Therefore, the manufacturing quality of the circuit pattern can be improved and the associated cost can be saved. | 12-19-2013 |
20130341299 | Method of Making a Microelectronic Interconnect Element With Decreased Conductor Spacing - A microelectronic interconnect element can include a plurality of first metal lines and a plurality of second metal lines interleaved with the first metal lines. Each of the first and second metal lines has a surface extending within the same reference plane. The first metal lines have surfaces above the reference plane and remote therefrom and the second metal lines have surfaces below the reference plane and remote therefrom. A dielectric layer can separate a metal line of the first metal lines from an adjacent metal line of the second metal lines. | 12-26-2013 |
20130341300 | DISPLAY APPARATUS AND METHOD OF FABRICATING THE SAME - A display apparatus includes a first substrate and a second substrate. The first substrate includes a light shielding layer including a first opening which transmits a light. The second substrate includes a shutter including a second opening which corresponds to the first opening, and a first flexible electrode part which is connected to one end of the shutter and transmits or blocks the light by moving the shutter. The first flexible electrode part includes a first flexible electrode, a second flexible electrode, and an insulation pattern. The insulation pattern insulates the first flexible electrode and the second flexible electrode from each other, and exposes upper and lower surfaces of the first flexible electrode and the second flexible electrode which are parallel to the second substrate, by covering portions of the first flexible electrode and the second flexible electrode. | 12-26-2013 |
20140001147 | PIEZO-RESISTIVE MEMS RESONATOR | 01-02-2014 |
20140001148 | NARROW FRAME TOUCH INPUT SHEET, MANUFACTURING METHOD OF SAME, AND CONDUCTIVE SHEET USED IN NARROW FRAME TOUCH INPUT SHEET | 01-02-2014 |
20140014615 | ETCHING LIQUID COMPOSITION FOR MULTILAYER CONTAINING COPPER AND MOLYBDENUM AND PROCESS FOR ETCHING THEREOF - There is provided an etching liquid composition for a multilayer film containing copper and molybdenum. The etching liquid composition comprises: (A) a peroxosulfate ion source; (B) a copper ion source; and (C) at least one nitrogen compound source selected from the group consisting of ammonia, ammonium ions, amines, and alkyl ammonium ions and has pH 3.5 to 9. | 01-16-2014 |
20140021161 | SYSTEMATIC PACKAGING METHOD - A systematic packaging method, comprises providing a package, said package operable for packaging an object; and spreading and plating one or more conductive structures on said package; wherein said spreading and plating steps are performed by the methods selected from the group consisting of physically, chemistry deposit and selectively etching. | 01-23-2014 |
20140027405 | METHOD OF MANUFACTURING TRANSPARENT CONDUCTIVE FILM - A method of manufacturing a transparent conductive film has the steps of: preparing a laminated body in which a transparent conductive layer that is not patterned is formed on a flexible transparent base, removing a part of the transparent conductive layer to form the pattern forming part having the transparent conductive layer on the flexible transparent base and the pattern opening part not having the transparent conductive layer on the flexible transparent base, and heating the laminated body in which the transparent conductive layer is patterned. The absolute value of the difference H | 01-30-2014 |
20140042121 | METHOD FOR REMOVING BURRS OF BATTERY ELECTRODE PLATES BY INDUCTIVELY COUPLED PLASMA DRY ETCHING - The present invention provides a method for removing burrs of battery electrode plates using inductively coupled plasma (ICP) dry etching, in which an induction coil is used for ionizing reaction gas. A DC bias is applied to accelerate the ionized reaction gas to bombard the burrs of electrode plate, removing burrs that formed in machining processes using physical bombardment. The equipment used in the present invention is an ICP etch system. The method according to the present invention can completely remove the burrs of electrode plate, thereby effectively preventing short circuits caused by burrs penetrating the membrane separator in the battery. | 02-13-2014 |
20140054259 | MANUFACTURING METHOD OF MULTILAYER PRINTED WIRING BOARD AND MULTILAYER PRINTED WIRING BOARD OBTAINED BY THE MANUFACTURING METHOD - A manufacturing method of a multilayer printed wiring board in which a copper foil with carrier foil consists of at least four layers, a copper foil layer/a release layer/a heat-resistant metal layer/a carrier foil is used; a supporting substrate is manufactured by laminating an insulating layer constituting material to the surface of the carrier foil constituting the copper foil with carrier foil; a supporting substrate with build-up wiring layer is manufactured by forming a build-up wiring layer on the surface of the copper foil layer constituting the copper foil with carrier foil in the supporting substrate; the resulted supporting substrate with build-up wiring layer is separated at the release layer to manufacture a multilayered laminate; the resulted multilayered laminate is processed a necessary procedures to manufacture a multilayer printed wiring board. | 02-27-2014 |
20140054260 | SELECTIVELY ETCHING OF A POLYMER MATRIX ON PET - The present invention relates to a method for selectively etching and patterning with high resolution of flexible polymer matrices, which may comprise Ag nano tubes. | 02-27-2014 |
20140076842 | DRY ETCHING METHOD AND DEVICE MANUFACTURING METHOD - A dry etching method of etching a conductive material layered on a dielectric material, comprising: using a mixed gas including a halogen gas and an oxygen gas as an etching gas, a mixing ratio of the oxygen gas in the mixed gas being equal to or greater than 30% and equal to or less than 60%; setting a gas pressure in a chamber at a time of supplying the mixed gas into the chamber and generating plasma, within a range equal to or greater than 1 Pa and less than 5 Pa; and applying a bias voltage of frequency equal to or greater than 800 kHz and less than 4 MHz as a bias voltage to an etched material in which the conductive material is layered on the dielectric material, and performing etching, wherein the dielectric material is a ferroelectric material and the conductive material is a noble metal material. | 03-20-2014 |
20140083970 | FORWARD-IMAGING OPTICAL COHERENCE TOMOGRAPHY (OCT) SYSTEMS AND PROBES - Provided are forward-imaging optical coherence tomography (OCT) systems and probes. | 03-27-2014 |
20140083971 | ETCHING SOLUTION, METHOD FOR MANUFACTURING PIEZOELECTRIC ELEMENT AND ETCHING METHOD - The present invention provides an etching solution for etching a piezoelectric film having a thin film of a perovskite structure grown to be a columnar structure on a lower electrode formed on a substrate and having a pyrochlore layer at an interface thereof with the lower electrode, wherein the etching solution comprises at least: a hydrofluoric acid type chemical comprising at least any of buffered hydrofluoric acid (BHF), hydrogen fluoride (HF), and diluted hydrofluoric acid (DHF); and nitric acid, and has a concentration by weight of hydrochloric acid of less than 10% and a weight ratio of hydrochloric acid to nitric acid (hydrochloric acid/nitric acid) of 1/4 or less. The present invention also provides a method of manufacturing a piezoelectric element to carry out etching using the etching solution. | 03-27-2014 |
20140083972 | PATTERN FORMING METHOD - Provided is a pattern forming method which includes forming fine lines and spaces in a thin film on a substrate; forming a first pattern which is a reverse pattern of a trench pattern for forming wiring by cutting the lines; and forming a second pattern which will become the trench pattern by reversing the first pattern. | 03-27-2014 |
20140083973 | Method for Manufacturing a Device on a Substrate - A method for manufacturing a device on a substrate includes forming a layer structure on the substrate, forming an auxiliary layer on the layer structure, forming a planarization layer on the auxiliary layer and on the substrate, exposing the auxiliary layer by a chemical mechanical polishing process and removing at least partly the auxiliary layer to form a planar surface of the remaining auxiliary layer or of the layer structure and the planarization layer. The chemical mechanical polishing process has a first removal rate with respect to the planarization layer and a second removal rate with respect to the auxiliary layer and the first removal rate is greater than the second removal rate. | 03-27-2014 |
20140091052 | IODINE-BASED ETCHING SOLUTION AND ETCHING METHOD - Technical Problem | 04-03-2014 |
20140097151 | METHOD OF FORMING A TRANSPARENT CONDUCTIVE LAYER ON A SUBSTRATE - The present invention provides a method of forming a transparent conductive layer on a substrate, including: applying a conductive composition containing a conductive polymer onto the substrate to form the transparent conductive layer thereon, forming a patterned protection layer on the transparent conductive layer to define a transparent conductive layer region covered by the protection layer and a transparent conductive layer region not covered by the protection layer; performing a wet etching process on the transparent conductive layer region not covered by the protection layer; and removing the protection layer, wherein an annealing process is performed on the transparent conductive layer before or after the wet etching process. The method of the present invention can reduce the chromatic aberration between the etched transparent conductive layer and the un-etched transparent conductive layer. Moreover, since the present invention does not utilize an additional optical layer to eliminate the chromatic aberration, the method of the present invention would be simpler and more economically attractive compared to the conventional ones. | 04-10-2014 |
20140110372 | METHOD FOR MANUFACTURING A PROBE - A method for manufacturing a probe, includes forming a recess on a sacrificial layer with a resist matching a plane pattern of the probe and a fixing tab connected to the probe, the recess exposing the sacrificial layer, which is on a baseboard, forming the probe and the fixing tab connected to the probe by depositing a probe material in the recess, and removing the resist, removing a portion of the sacrificial layer in an etching process. The portion of the sacrificial layer under the probe is fully removed, while the portion of the sacrificial layer under the fixing tab is left to provide support portions of the sacrificial layer under the fixing tab. Then the probe is removed from the baseboard. | 04-24-2014 |
20140116981 | Method for Forming a Device Having Nanopillar and Cap Structures - A method for forming a device having nanopillar and cap structures on a substrate in which the substrate is first coated with a first resist having a first exposure dose to electron beam radiation, and that after coating the first resist with a second resist having a second exposure dose less than the first resist. Electron beam lithography is then used sequentially to form the nanopillars and cap structures or, alternatively, a template for the nanopillar and cap structures. | 05-01-2014 |
20140116982 | ILLUMINATING WAVEGUIDE FABRICATION METHOD - A method for fabricating waveguides comprising nano-apertures for illumination of sub-resolution exposures is presented. In particular, the end of a waveguide, such as an optical fiber, is coated with a material, such as an electrically conducting metal or a semiconductor. This material is then selectively removed through a lithography process using photon exposure to create an aperture in the material at the end of the waveguide. Under normal conditions, if the aperture is smaller than the wavelength of light in the waveguide, there is little or no transmission through the aperture. However, with the appropriate selection of materials and aperture geometry, for example a metallic conducting coating and sub-wavelength “C-shaped” or “bow-tie” aperture, enhancement of the transmission of light through the aperture can be achieved, allowing effective illumination of sub-resolution spots using the nano-aperture. This can be used in a nanolithography system incorporating waveguide illuminators as well. | 05-01-2014 |
20140116983 | METHOD FOR PRODUCING OPTICAL SEMICONDUCTOR DEVICE - A method for producing an optical semiconductor device includes the steps of determining a wafer size to make a section arrangement including a plurality of sections in each of which the optical semiconductor device including a semiconductor mesa is formed; obtaining an in-plane distribution of a thickness of a resin layer on a wafer; obtaining a correlation between a thickness of a resin layer and a trench width; forming a trench width map using the in-plane distribution of the thickness and the correlation; preparing an epitaxial substrate by forming a stacked semiconductor layer; forming, on the epitaxial substrate, a mask based on the trench width map; forming a trench structure including the semiconductor mesa by etching the stacked semiconductor layer using the mask; forming a resin layer on the trench structure; and forming an opening on the semiconductor mesa by etching the resin layer. | 05-01-2014 |
20140124474 | METHOD FOR MANUFACTURING PRINTED CIRCUIT BOARD - Disclosed herein is a method for manufacturing a printed circuit board, wherein a protective film for stripping and a metal layer closely adhered to the protective film for stripping are formed on an inner layer pad to protect the inner layer pad at the time of laser processing related to cavity processing and applying an etchant, thereby making it possible to improve reliability of a product. | 05-08-2014 |
20140131304 | DEVICE FOR ELECTRICAL CHARACTERIZATION OF MOLECULES USING CNT-NANOPARTICLE-MOLECULE-NANOPARTICLE-CNT STRUCTURE - A method of forming an electrode is disclosed. A carbon nanotube is deposited on a substrate. A section of the carbon nanotube is removed to form at least one exposed end defining a first gap. A metal is deposited at the at least one exposed end to form the electrode that defines a second gap. | 05-15-2014 |
20140131305 | METHOD FOR FORMING WIRES WITH NARROW SPACING - A method for forming wires with a narrow spacing is provided. The method includes the steps of: sequentially forming a first metal layer and a protective layer on a substrate; using a first photomask to pattern the first metal layer and the protective layer, so as to form a first metal line and a patterned protective layer thereon; forming a second metal layer on the substrate and the patterned protective layer; using a second photomask to pattern the second metal layer, so as to form a second metal line adjacent to the first metal line; and removing the patterned protective layer on the first metal line. According to the method, the wires can be located at the same layer with a narrow spacing, thereby avoiding a problem that the wires are easily broken. | 05-15-2014 |
20140131306 | PHOTOCURABLE ADHESIVE COMPOSITION AND USE OF THE SAME - The present invention relates to a photocurable adhesive composition and the use of the same. Specifically, said photocurable adhesive composition mainly comprises, based on 100 parts by weight of the adhesive composition, component A: from 70 to 99 parts by weight of one or more polymers carrying (meth)acryloxyl group; component B: from 0 to 30 parts by weight, preferably from 0 to 25 parts by weight of one or more (meth)acrylates monomers; component C: from 0.5 to 10 parts by weight of at least one UV absorber; and component D: from 0 to 5 parts by weight of antioxidant. The present invention also relates to the use of the adhesive composition mentioned above in the production of an ITO substrate for temporarily bonding a substrate and a temporary carrier and going through a high temperature processing, for example, at a temperature of >160° C., preferably, from 160° C. to 260° C., more preferably from 240° C. to 250° C. | 05-15-2014 |
20140144871 | Stripping Structure And Method For Removing Enamel Insulation From Lead Ends - Stripping structure strips insulation from ends of a plurality of leads of a lead bundle. Each lead includes a conductor member coated with the insulation. The structure includes a housing having wall structure defining a stripping chamber, an inlet in fluid communication with the stripping chamber, and an outlet in fluid communication with the stripping chamber. A cover has an opening for receiving an end of the lead bundle in a sealing manner so that the leads thereof are received in the stripping chamber. Chemical stripping solution is in communication with the inlet. When the lead bundle is received through the opening with the leads in the stripping chamber and when the chemical stripping solution is provided though inlet and in the stripping chamber, the chemical stripping solution strips the insulation from the conductor members, with the stripping solution along with stripped insulation exiting through the outlet. | 05-29-2014 |
20140151325 | METHOD FOR MANUFACTURING TOUCH SCREEN PANEL - A method for manufacturing a touch screen panel includes forming first and second conductive layers on a substrate, forming a photosensitive layer on the second conductive layer, exposing the photosensitive layer using a first mask having a first light shielding pattern that corresponds to sensing electrodes and lines to be formed, removing the photosensitive layer at the first exposed portion, sequentially removing the second and first conductive layers at the first exposed portion using the first remaining photosensitive layer as a mask, exposing the photosensitive layer using a second mask having a second light shielding pattern that corresponds to the lines to be formed, removing the photosensitive layer at the second exposed portion, removing the second conductive layer at the second exposed portion using the second remaining photosensitive layer as a mask, thereby forming the sensing electrodes and the lines, and removing the second remaining photosensitive layer. | 06-05-2014 |
20140166612 | ANALYTE SENSOR AND FABRICATION METHODS - Methods for fabricating analyte sensor components using IC- or MEMs-based fabrication techniques and sensors prepared therefrom. Fabrication of the analyte sensor component comprises providing an inorganic substrate having deposited thereon a release layer, a first flexible dielectric layer and a second flexible dielectric layer insulating there between electrodes, contact pads and traces connecting the electrodes and the contact pads of a plurality of sensors. Openings are provided in one of the dielectric layers over one or more of the electrodes to receive an analyte sensing membrane for the detection of an analyte of interest and for electrical connection with external electronics. The plurality of fabricated sensor components are lifted off the inorganic substrate. | 06-19-2014 |
20140166613 | STRUCTURING OF ANTISTATIC AND ANTIREFLECTION COATINGS AND OF CORRESPONDING STACKED LAYERS - The present invention relates to compositions which are particularly suitable for the etching and structuring of transparent, conductive antireflection coatings and of corresponding stacked layers, which are preferably present in touch-sensitive display screens or display elements. The latter are generally also known as touch-sensitive displays, touch panels or touch screens. In particular, these are compositions by means of which fine structures can be etched selectively into conductive transparent oxidic layers and into corresponding layer stacks. | 06-19-2014 |
20140183158 | METHOD FOR IMPROVING ANISOTROPY OF CARBON NANOTUBE FILM AND METHOD FOR MAKING TOUCH PANEL - A method for improving anisotropy of a carbon nanotube film is provided. The carbon nanotube film is drawn from a carbon nanotube array. The surface of the carbon nanotube film is treated by plasma. A majority of carbon nanotubes of the carbon nanotube film are arranged to substantially extend along the same direction to form a plurality of carbon nanotube wires in parallel with each other. A minority of the carbon nanotubes of the carbon nanotube film are dispersed on a surface of the carbon nanotube film and in contact with the plurality of carbon nanotube wires. | 07-03-2014 |
20140183159 | METHOD OF OBTAINING PATTERS IN AN ANTIREFLECTIVE LAYER - The invention relates to the field of production in thin coatings of electronic devices and/or MEMS and relates to an improved method for forming a pattern in a thin SiARC anti-reflective coating, comprising the doping by deposition of such SiARC coating covered with a resist pattern through a protective coating of the resist pattern, then etching the doped zones of the SiARC coating (FIG. | 07-03-2014 |
20140190930 | METHODS FOR TRANSPARENT ENCAPSULATION AND SELECTIVE ENCAPSULATION - The described embodiments relate generally to electronic devices and more particularly to methods for selectively encapsulating circuit boards and other electronic components contained within electronic devices. A first encapsulation layer can be limited to specific regions of a circuit board using a variety of processes including molding, laser ablation, etching, milling, and the like. Secondary assembly steps can then take place in the regions where the encapsulation layer is removed. In some embodiments, secondary encapsulants having various thermal, electrical, and optical characteristics can fill openings left in the first encapsulation layer to aid in the operation of underlying components. | 07-10-2014 |
20140190931 | REMOVAL OF SELECTED PORTIONS OF PROTECTIVE COATINGS FROM SUBSTRATES - A method for selectively removing portions of a protective coating from a substrate, such as an electronic device, includes removing portions of the protective coating from the substrate. The removal process may include cutting the protective coating at specific locations, then removing desired portions of the protective coating from the substrate, or it may include ablating the portions of the protective coating that are to be removed. Coating and removal systems are also disclosed. | 07-10-2014 |
20140197130 | METHOD FOR MANUFACTURING A PLURALITY OF NANOWIRES - A method for manufacturing a plurality of nanowires, the method including: providing a carrier comprising an exposed surface of a material to be processed and applying a plasma treatment on the exposed surface of the material to be processed to thereby form a plurality of nanowires from the material to be processed during the plasma treatment. | 07-17-2014 |
20140209563 | ACHIEVING GREATER PLANARITY BETWEEN UPPER SURFACES OF A LAYER AND A CONDUCTIVE STRUCTURE RESIDING THEREIN - Greater planarity is achieved between surfaces of a conductive structure and a layer within which the conductive structure resides. A portion of the conductive structure protruding above the surface of the layer is selectively oxidized, at least in part, to form an oxidized portion. The oxidized portion is then removed, at least partially, to facilitate achieving greater planarity. The protruding portions may optionally be formed by selectively disposing conductive material over the conductive structure, when that the conductive structure is initially recessed below the surface of the layer. A further embodiment includes selectively oxidizing a portion of the conductive structure below the surface of the layer, removing at least some of the oxidized portion so that an upper surface of the conductive structure is below the upper surface of the layer, and planarizing the upper surface of the layer to the upper surface of the conductive structure. | 07-31-2014 |
20140217059 | CAPACITIVE TOUCH SENSOR INTEGRATED WITH WINDOW PANEL AND FABRICATION METHOD THEREOF - Disclosed is a capacitive touch sensor integrated with window panel, comprising: a transparent window panel substrate | 08-07-2014 |
20140231380 | PATTERN FORMING METHOD - In one embodiment, a pattern forming method includes forming a physical guide that includes a first pattern in a first region and a second pattern in a second region on an underlying film, embedding a polymer material into a concave portion of the physical guide, microphase-separating the polymer material, to form a self-assembly pattern having a first and a second polymer sections, observing the self-assembly pattern in the second region, to determine from an observation result whether or not the self-assembly pattern in the first region has a predetermined shape, and selectively removing the first polymer section in the case of determining that the self-assembly pattern in the first region has the predetermined shape. The second pattern includes a pattern with a larger coverage ratio than the first pattern and a pattern with a smaller coverage ratio than the first pattern. | 08-21-2014 |
20140246398 | PARTICULATE ANODE MATERIALS AND METHODS FOR THEIR PREPARATION - Method for preparing a particulate material including particles of an element of group IVa, an oxide thereof or an alloy thereof, the method including: (a) dry grinding particles from an ingot of an element of group IVa, an oxide thereof or an alloy thereof to obtain micrometer size particles; and (b) wet grinding the micrometer particles dispersed in a solvent carrier to obtain nanometer size particles having a size between 10 to 100 nanometers, optionally a stabilizing agent is added during or after the wet grinding. Method can include further steps of (c) drying the nanometer size particles, (d) mixing the nanometer size particles with a carbon precursor; and (e) pyrolysing the mixture, thereby forming a coat of conductive carbon on at least part of the surface of the particles. The particulate material can be used in fabrication of an anode in an electrochemical cell or electrochemical storage energy apparatus. | 09-04-2014 |
20140251945 | METHOD OF ETCHING METAL LAYER - In a method of etching a metal layer of an object to be processed, the metal layer is etched by ion sputtering etching while forming a protective film containing carbon on a surface of a mask of the object. The object is exposed to an oxygen plasma after etching the metal layer. The object is exposed to hexafluoroacetylacetone after exposing the object to the oxygen plasma. | 09-11-2014 |
20140251946 | FABRICATION METHOD OF WIRING STRUCTURE FOR IMPROVING CROWN-LIKE DEFECT - A wiring structure for improving a crown-like defect and a fabrication method thereof are provided. The method includes the following steps. A substrate, on which a seed layer and a patterned photoresist layer with an opening are formed, is provided. A copper layer, having a bottom covering the seed layer, is formed in the opening. A barrier layer covering at least one top portion of the copper layer is formed on the copper layer. An oxidation potential of the barrier layer is greater than that of the copper layer. The patterned photoresist layer is removed to perform an etching process, wherein the copper layer and a portion of the seed layer exposed are etched to form a wiring layer. An immersion process is performed to form an anti-oxidation layer comprehensively on exposed surfaces of the barrier layer and the wiring layer. | 09-11-2014 |
20140263165 | PROCESSING SYSTEMS, APPARATUS, AND METHODS ADAPTED TO PROCESS SUBSTRATES IN ELECTRONIC DEVICE MANUFACTURING - A via pass-through apparatus is disclosed. The via pass-through apparatus includes a pass-through chamber adapted to couple between a first mainframe section and a second mainframe section of a substrate processing system, the pass-through chamber including an entry and an exit each having a slit valve, and a via process chamber located at a different level than the pass-through chamber wherein the via process chamber is adapted to carry out a process on a substrate at the via location. Systems and methods of operating the system are provided, as are numerous other aspects. | 09-18-2014 |
20140263166 | GRAPHENE BASE AND METHOD OF PREPARING THE SAME - A graphene base, including: graphene; and a substrate, wherein the graphene is formed directly on at least one surface of the substrate, and at least about 90 percent of an area of the surface of the substrate does not have a graphene wrinkle. | 09-18-2014 |
20140263167 | POLISHING COMPOSITION AND POLISHING METHOD USING SAME, AND SUBSTRATE MANUFACTURING METHOD - Provided is a polishing composition to be used for polishing an object including a conductor layer and an electrically conductive material layer that is in contact with the conductor layer. In a state in which the positive electrode and the negative electrode of an electrometer are connected to the electrically conductive material layer and the conductor layer, respectively, in the polishing composition at ordinary temperature, the current flowing from the positive electrode to the negative electrode has a positive value or is zero when the electrically conductive material layer and the conductor layer are polished. The polishing composition preferably contains a nitrogen atom-containing compound, a sulfur atom-containing compound, or a phosphorus atom-containing compound as an additive to control the value of the current to positive or zero. | 09-18-2014 |
20140284302 | METHOD FOR MANUFACTURING LIQUID EJECTING HEAD, METHOD FOR MANUFACTURING PIEZOELECTRIC ELEMENT, METHOD FOR PATTERNING PIEZOELECTRIC FILM, AND METHOD FOR MANUFACTURING ULTRASONIC TRANSDUCER - Provided is a method for manufacturing a liquid ejecting head having a flow path formation substrate that is provided with a liquid flow path communicating with a nozzle opening for discharging liquid and a piezoelectric element that is provided on the flow path formation substrate and applies pressure to the liquid flow path. The method includes forming a piezoelectric film for the piezoelectric element containing a perovskite oxide which does not contain lead and patterning the piezoelectric film by applying a resist on the piezoelectric film and wet etching the piezoelectric film with an etching solution containing either hydrochloric acid or hydrofluoric acid. | 09-25-2014 |
20140284303 | GRAPHENE TRANSFER METHOD - A graphene transfer method comprising: attaching a first end of a first stacked structure, including a catalyst metal, graphene, and a supporting body stacked in the order stated, to a point of a target film that is transported in a roll-to-roll manner in a first direction; forming a second stacked structure by removing the catalyst metal of the first stacked structure, the second stacked structure having a surface whereon the graphene is exposed; and transferring the exposed graphene to a transfer surface of the target film by transporting the target film in a roll-to-roll manner and the second stacked structure in the first direction. | 09-25-2014 |
20140305900 | TRANSIENT ELECTRONIC DEVICES COMPRISING INORGANIC OR HYBRID INORGANIC AND ORGANIC SUBSTRATES AND ENCAPSULATES - The invention provides transient devices, including active and passive devices that physically, chemically and/or electrically transform upon application of at least one internal and/or external stimulus. Incorporation of degradable device components, degradable substrates and/or degradable encapsulating materials each having a programmable, controllable and/or selectable degradation rate provides a means of transforming the device. In some embodiments, for example, transient devices of the invention combine degradable high performance single crystalline inorganic materials with selectively removable substrates and/or encapsulants. | 10-16-2014 |
20140305901 | ADDITIVE MIXTURE AND COMPOSITION AND METHOD FOR POLISHING GLASS SUBSTRATES - The present invention relates to an additive mixture comprising a polyacrylate salt, an acid ester, and a defoamer agent. A polishing composition and a polishing method used for polishing a glass substrate are also provided. | 10-16-2014 |
20140326696 | MICROETCHING SOLUTION FOR COPPER, REPLENISHMENT SOLUTION THEREFOR AND METHOD FOR PRODUCTION OF WIRING BOARD - Disclosed is a microetching solution, a replenishment solution added to said microetching solution and a method for production of a wiring board using said microetching solution. The microetching solution for copper consists of an aqueous solution containing a cupric ion, an organic acid, a halide ion, a polymer and a nonionic surfactant. The polymer is a water-soluble polymer including a polyamine chain and/or a cationic group and having a weight average molecular weight of 1000 or more. In the microetching solution of the present invention, a value of AB is 2000 to 9000 and a value of A/D is 500 to 9000, where a concentration of the halide ion is A % by weight, a concentration of the polymer is B % by weight and a concentration of the nonionic surfactant is D % by weight. Using this microetching solution, adhesion to a resin or the like can be uniformly maintained even with a low etching amount. | 11-06-2014 |
20140374375 | INKJET SYSTEM FOR PRINTING A PRINTED CIRCUIT BOARD - A printing process for printing (P) an ink pattern on a substrate is provided. The ink pattern to be printed is based on an available pattern layout (R). The pattern layout defines a desired layout of the ink pattern to be printed. Based on the pattern layout an input image (rii) for allocating dot positions of the ink pattern is generated. The printing process comprises a step of comparing a scan (S) image (rsi) with the input image to carry out a quality inspection (Q) to detect any print defects in the printed ink pattern. The printing process comprises a step of providing a decision (os) on an approval or a rejection of the printed ink pattern. In case of an approval, the substrate can be supplied to a subsequent processing station (E) to finalise the substrate. In case of a rejection, the substrate including print defects can be recycled (D). | 12-25-2014 |
20150021289 | PHOTORESIST COMPOSITION, COATED SUBSTRATE, AND METHOD OF FORMING ELECTRONIC DEVICE - A photoresist composition includes a polymer with repeat units having the structure | 01-22-2015 |
20150021290 | METHOD FOR FABRICATING ACOUSTIC WAVE DEVICE - An acoustic wave device includes a piezoelectric substrate, interdigitated electrodes formed on the piezoelectric substrate, and an insulation film that is formed on a surface of the interdigitated electrodes by atomic layer deposition and includes aluminum oxide. | 01-22-2015 |
20150027977 | METHOD OF MANUFACTURING WIRING BOARD - A manufacturing method includes a step of forming a first plating mask on a base metal layer, a step of forming a main conductor layer on the base metal layer exposed from the first plating mask, a step of forming a second plating mask on them, a step of attaching a metal plating layer to an upper surface of the main conductor layer exposed from the second plating mask, a step of removing the first and second plating masks, a step of etching away a portion of the base metal layer to which the main conductor layer is not attached, and a step of forming a solder resist layer. | 01-29-2015 |
20150027978 | COMPOSITIONS AND METHODS FOR SELECTIVELY ETCHING TITANIUM NITRIDE - Compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., tungsten, and insulating materials from a microelectronic device having same thereon. The removal compositions contain at least one oxidant and one etchant, may contain various corrosion inhibitors to ensure selectivity. | 01-29-2015 |
20150027979 | LITHIUM MICROBATTERY FABRICATION METHOD - The method for fabricating a lithium microbattery is performed from a stack of layers successively including: a first layer made from a first material, a second layer made from a second material, a solid electrolyte layer and a first electrode. The method further includes etching to form a first pattern made from the first material and a second pattern made from the second material, the second pattern defining a covered area and an uncovered area of the electrolyte layer. The uncovered area is then etched using the second pattern as etching mask. After etching of the first pattern, a lithium-based layer is formed on the second pattern, the lithium-based layer and the second pattern forming a second lithium-based electrode. | 01-29-2015 |
20150060393 | IMPRINTED MULTI-LAYER MICRO-STRUCTURE METHOD WITH MULTI-LEVEL STAMP - A method of making an imprinted micro-wire structure includes providing a substrate, a first stamp, and a different multi-level second stamp. A curable bottom layer is provided over the substrate. One or more bottom-layer micro-channels) are imprinted in the curable bottom layer with the first stamp and a bottom-layer micro-wire formed in each bottom-layer micro-channel. A curable multi-layer is formed adjacent to and in contact with the cured bottom layer. First and second multi-layer micro-channels and a top-layer micro-channel are imprinted in the curable multi-layer with the multi-level second stamp. Either two bottom-layer micro-wires are electrically connected through the first and second multi-layer micro-wires and a top-layer micro-wire or two top-layer micro-wires are electrically connected through the first and second multi-layer micro-wires and a bottom-layer micro-wire. | 03-05-2015 |
20150060394 | IMPRINTED BI-LAYER MICRO-STRUCTURE METHOD - A method of making an imprinted micro-wire structure includes providing a substrate having an edge area and a central area separate from the edge area and providing first, second, and third different stamps. A curable bottom, connecting layer, and top layer are formed on the substrate. A bottom-layer micro-channel is imprinted in the bottom layer in the central area and the edge area, a connecting-layer micro-channel is imprinted in the connecting layer in the edge area over the bottom-layer micro-channel, an edge micro-channel is imprinted in the top layer in the edge area over the connecting-layer micro-channel, and top-layer micro-channels are imprinted in the top layer over the central area. Micro-wires are formed in each micro-channel. The bottom-layer micro-wire in the central area is electrically connected to the edge micro-wire in the edge area and is electrically isolated from the top-layer micro-wire. | 03-05-2015 |
20150060395 | IMPRINTED BI-LAYER MICRO-STRUCTURE METHOD WITH BI-LEVEL STAMP - A method of making an imprinted micro-wire structure includes providing a substrate having an edge area and a central area separate from the edge area and providing a first stamp and a multi-level second stamp. A curable bottom layer and multi-layer are provided on the substrate. A bottom-layer micro-channel is imprinted in the bottom layer. A multi-layer micro-channel and a top-layer micro-channel are imprinted in the multi-layer. Micro-wires are formed in each micro-channel. The bottom-layer micro-wire extends from the central area into the edge area. The multi-layer micro-wire contacts the bottom-layer micro-wire in the edge area. The top-layer micro-wire is over the central area and is separate from the multi-layer micro-wire and the bottom-layer micro-channel. The bottom-layer micro-wire is electrically connected to the multi-layer micro-wire and is electrically isolated from the top-layer micro-wire. | 03-05-2015 |
20150060396 | ETCHING PROCESS, METHOD OF MANUFACTURING ELECTRONIC DEVICE, AND METHOD OF MANUFACTURING POLARIZING PLATE - An etching process includes: forming a metal film on a substrate having a pattern formation region; forming a mask having a predetermined pattern on the metal film in the pattern formation region, and forming a resist film in part or all of a periphery of the pattern formation region; and dry-etching the metal film in the pattern formation region. | 03-05-2015 |
20150069011 | WET ETCHING PATTERNING COMPOSITIONS AND METHODS - A method comprising etching a film comprising electrically conductive structures according to a pattern using an aqueous etching solution to provide an etched region having a first conductivity and an unetched region having a second conductivity, the second conductivity being greater than the first conductivity, wherein the aqueous etching solution either comprises 25 to 65% by weight of phosphoric acid and 1 to 18% by weight of nitric acid, or the aqueous etching solution comprises 65 to 75% by weight of nitric acid. | 03-12-2015 |
20150069012 | POLYIMIDE FILM AND WIRING BOARD - A polyimide film for production of a wiring board having a metal wiring, which is formed by forming a metal layer on one side (Side B) of the polyimide film, and etching the metal layer; the polyimide film is curled toward the side (Side A) opposite Side B; and the curling of the polyimide film is controlled so as to reduce the drooping of the wiring board having a metal wiring formed thereon. The handling characteristics and productivity in IC chip mounting may be improved by the use of the polyimide film. | 03-12-2015 |
20150076106 | CORONA PATTERNING OF OVERCOATED NANOWIRE TRANSPARENT CONDUCTING COATINGS - A method comprising: providing a transparent electrically conductive film comprising: a transparent substrate ( | 03-19-2015 |
20150090688 | METHOD OF FABRICATING PRINTED CIRCUIT BOARD (PCB) SUBSTRATE HAVING A CAVITY - A method is provided for fabricating a substrate having multiple metal layers separated by one or more dielectric layers, respectively. The method includes forming a cavity in at least one dielectric layer through an exposed portion of a top dielectric layer of the substrate, applying metal to side and bottom surfaces of the cavity, forming a pattern through a portion of the metal applied to the bottom surface of the cavity, and micro-etching the metal applied to the bottom surface of the cavity. The micro-etching extends the pattern through a remaining portion of the metal applied to the bottom surface of the cavity. | 04-02-2015 |
20150090689 | COMPOSITIONS FOR PROTECTING DISPLAY GLASS AND METHODS OF USE THEREOF - Described herein are coating compositions for protecting one-glass solution (OGS) glasses and other display glasses during processing. The coatings are non-reactive to typical indium-tin oxide touch components, metal electrodes, and black matrix inks, and can thus be used to over-coat these materials. In one aspect, the coating compositions described herein can be applied by a screen printing application process in a single layer or in multiple layers and are compatible with CNC edge grinding and acid etching. Further, the protective coatings are rigid, but not brittle, and are durable but still able to be processed rapidly. Additionally, the protective coatings are transparent, allowing alignment marks on the substrates to be visible. Finally, the protective coatings can easily be removed after substrate processing has been completed. | 04-02-2015 |
20150102007 | METHODS AND SYSTEMS FOR FORMING FLEXIBLE MULTILAYER STRUCTURES - Techniques are described for fabricating multilayer structures having arrays of conducting elements or apertures in a conductive grid which can be used to form frequency selective surfaces (FSSs), antenna arrays and the like on flexible substrates. Fabrication techniques can include use of a polymer mask or direct dielectric molding. In embodiments utilizing a polymer mask, a temporary 3D polymeric relief pattern is formed on a substrate and used as a mask or stencil to form the desired pattern elements. In an additive process, the conductive material is deposited over the masked surface. Deposition can be followed by mask removal. In the subtractive process, the conductive layer can be deposited prior to formation of the polymer mask, and the exposed parts of the underlying conductive layer can be etched. Other embodiments utilize dielectric molding in which the molded structure itself becomes an integral and permanent part of the FSS structure. | 04-16-2015 |
20150114924 | Method of Fabricating Electrodes of High Power-Density Flow Cell - A method is provided for producing electrodes of flow cell having high power density. A plurality of seeds are distributed on a surface of a conductive carbon material. The seeds are etched into nanoparticles to form carbon nanotube (CNT) electrodes. The present invention can be applied to vanadium redox flow cell with advantages of the CNT electrodes, such as conductivity, corrosion resistance, mechanical strength and specific and electrochemical surface area. Electrons are directly passed to the material through CNTs and then to an external electronic load for improving power density of flow cell, making a cell pack more compact and reducing energy consumption on charging and discharging without using noble metal material. | 04-30-2015 |
20150129539 | PASSIVATION COMPOSITION AND ITS APPLICATION - A passivation composition and use of the composition in a method of forming a conductive pattern are provided. The passivation composition includes an oxidizing agent, an inorganic base with a general formula M(OH) | 05-14-2015 |
20150129540 | PROCESS FOR METALLIZING NONCONDUCTIVE PLASTIC SURFACES - The present invention relates to a process for metallizing nonconductive plastics using an etching solution free of both trivalent chromium and hexavalent chromium. The etching solution is based on an sulphuric acidic solution containing a source of chlorate ions. After the treatment of the plastics with the etching solution, the plastics are metallized by means of known processes. | 05-14-2015 |
20150129541 | ALUMINUM SELECTIVE ETCH - Methods of selectively etching aluminum and aluminum layers from the surface of a substrate are described. The etch selectively removes aluminum materials relative to silicon-containing films such as silicon, polysilicon, silicon oxide, silicon carbon nitride, silicon oxycarbide and/or silicon nitride. The methods include exposing aluminum materials (e.g. aluminum) to remotely-excited chlorine (Cl | 05-14-2015 |
20150136728 | CLEANING COMPOSITION AND METHOD OF MANUFACTURING METAL WIRING USING THE SAME - A cleaning composition includes about 0.01 to about 5 wt % of a chelating agent; about 0.01 to about 0.5 wt % of an organic acid; about 0.01 to about 1.0 wt % of an inorganic acid; about 0.01 to about 5 wt % of an alkali compound; and deionized water. | 05-21-2015 |
20150144589 | MANUFACTURING METHOD OF LIGHT BARRIER GLASS SHEET - A manufacturing method of a light barrier glass sheet, comprising: farming a metal layer ( | 05-28-2015 |
20150144590 | Process For Improving The Electrical And Optical Performance Of A Transparent Electrically Conductive Material Based On Silver Nanowires - The invention relates to a process for improving the electrical and optical performance of a transparent electrically conductive material having silver nanowires. The invention also relates to a process for manufacturing a film made of a transparent electrically conductive material, such as a transparent electrode, a transparent heating film, or a film for electromagnetic shielding. The process of the invention includes the following steps: a) a step of bringing silver nanowires into contact with an acid solution, this solution having a pH lower than 7, preferably lower than 3; and b) a step of eliminating the acid. The field of application of the invention is in particular the field of optoelectronics. | 05-28-2015 |
20150144591 | ETCHING COMPOSITION AND METHOD FOR PRODUCING PRINTED-WIRING BOARD USING THE SAME - The present invention can provide an etching composition for a chemical copper plating for the production of a printed-wiring board according to a semi-additive process, which comprises 0.2 to 5% by mass of hydrogen peroxide, 0.5 to 10% by mass of sulfuric acid, 0.001 to 0.3% by mass of phenylurea, 0.1 to 3 mass ppm of halogen ion and 0.003 to 0.3% by mass of tetrazoles, and wherein the ratio of the dissolution rate of the chemical copper plating (Y) to the dissolution rate of an electrolytic copper plating (X) at a liquid temperature of 30° C. (Y/X) is 4 to 7. | 05-28-2015 |
20150296626 | Method of Manufacturing a Flexible Circuit Electrode Array - Polymer materials make useful materials as electrode array bodies for neural stimulation. They are particularly useful for retinal stimulation to create artificial vision. Regardless of which polymer is used, the basic construction method is the same. A layer of polymer is laid down. A layer of metal is applied to the polymer and patterned by wet etch to create electrodes and leads for those electrodes. The base polymer layer is activated. A second layer of polymer is applied over the metal layer and patterned to leave openings for the electrodes, or openings are created later by means such as laser ablation. Hence the array and its supply cable are formed of a single body. | 10-15-2015 |
20150301452 | PHOTORESIST COMPOSITION AND METHOD OF FABRICATING DISPLAY SUBSTRATE USING THE SAME - A chemically amplified photoresist composition is provided which includes: a solute including a novolac resin with an acid decomposable protecting group, a photoacid generator, and an organic solvent. | 10-22-2015 |
20150303553 | MANUFACTURING METHOD OF ANTENNA SHAPING - A manufacturing method of antenna shaping includes providing a nonplanar insulating substrate; coarsening and modifying a surface of the substrate and rendering the substrate surface hydrophilic by a plasma process to form a modified substrate; performing copper electroless plating on the modified substrate; electroplating a copper layer to attain a required thickness; defining antenna wiring width and clearance by multi-axis mechanical processing; and performing antenna metal wiring shaping with a copper etching plating solution. Furthermore, metal wiring shaping and processing is performed with a mechanical cutting tool of a multi-axis processing machine without using any photomask, so as to control substrate surface coarsening uniformity and enhance hydrophilicity of the surface of the modified substrate, with a precise plating technique for enhancing the quality of copper wire coating, cutting costs, and speeding up the processing process. | 10-22-2015 |
20150305164 | Backlight Module, Printed Circuit Board Used For Backlight Module, And Manufacturing Method For The Same - A method for manufacturing a printed circuit board of a backlight module is provided. The printed circuit board includes a light bar region and a heat dissipating region. The light bar region used for mounting a light bar of the backlight module and formed with a conductive circuit for supplying power for the light bar. The heat dissipating region is connected with the light bar region. A connection location of the light bar region and the heat dissipating region is subjected to cutting to form a slot located at a side of the printed circuit board in order to prevent short-circuiting between the heat dissipating region and the conductive circuit of the light bar region. The cutting is made to partly penetrate through the thickness of a dielectric layer on which the conductive circuit is formed in order to completely separate the light bar region from the heat dissipating region. | 10-22-2015 |
20150305165 | ETCHING METHOD - A solution is characteristic in being an etching method that processes a surface of a target object by corroding the surface by a corrosive, and the etching method including includes: a resist forming step of forming a resist on the surface using resist liquid by ink jet printing the resist liquid on the surface; a surface corroding step of corroding a portion of the surface where the resist is not formed by bringing the corrosive into contact with a surface side of the target object where the resist has been formed in the resist forming step; and a resist detaching step of detaching the resist from the surface after the surface corroding step, wherein the resist forming step is a step of forming the resist by the resist liquid containing monofunctional monomers or monofunctional oligomers, and polyfunctional monomers or polyfunctional oligomers. | 10-22-2015 |
20150306729 | CHEMICAL MECHANICAL POLISHING PAD WITH ENDPOINT DETECTION WINDOW - A chemical mechanical polishing pad is provided containing a polishing layer having a polishing surface; and, an endpoint detection window; wherein the endpoint detection window comprises a reaction product of ingredients, comprising: an isocyanate terminated urethane prepolymer having 5.5 to 9.5 wt % unreacted NCO groups, wherein the isocyanate terminated urethane prepolymer is a reaction product of ingredients comprising: an aromatic polyfunctional isocyanate; and, a prepolymer polyol; and, a curative system, comprising: 0 to 90 wt % of a difunctional curative; and, 10 to 100 wt % of an amine initiated polyol curative having at least one nitrogen atom per molecule and an average of at least three hydroxyl groups per molecule. Also provide are methods of making and using the chemical mechanical polishing pad. | 10-29-2015 |
20150309350 | FABRICATION METHOD OF PLATE PATTERN - Disclosed herein is a fabrication method of a plate pattern including preparing an object on which the plate pattern will be formed, disposing hybrid particles having a hybrid structure of organic and inorganic substances on one surface of the object into a single layer, etching at least the hybrid particles, forming the plate pattern on the surface of the object on which the hybrid particles are disposed, and removing the hybrid particles. | 10-29-2015 |
20150310969 | LAYER BY LAYER REMOVAL OF GRAPHENE LAYERS - A method for controllable layer-by-layer removal of graphene layers is provided. The method includes the steps of: disposing a single-layer or multi-layer graphene on a heat source, arranging graphene layer or layers in a sealed chamber filled with ozone gas, and removing a targeted area of graphene with a laser. The method provides low-temperature removal of graphene layer-by-layer. The heat source, laser, and the highly oxidizing ozone gas selectively control the removal of graphene layers. | 10-29-2015 |
20150318085 | Deposition and Selective Removal of Conducting Helplayer for Nanostructure Processing - A method for making one or more nanostructures is disclosed, the method comprising: depositing a conducting layer on an upper surface of a substrate; depositing a patterned layer of catalyst on the conducting layer; growing the one or more nanostructures on the layer of catalyst; and selectively removing the conducting layer between and around the one or more nanostructures. A device is also disclosed, comprising a substrate, wherein the substrate comprises one or more exposed metal islands separated by one or more insulating areas; a conducting helplayer disposed on the substrate covering at least some of the one or more exposed metal islands or insulating areas; a catalyst layer disposed on the conducting helplayer; and one or more nanostructures disposed on the catalyst layer. | 11-05-2015 |
20150330922 | METHOD FOR EVALUATION TESTING OF MATERIAL FOR INTERNAL COMBUSTION ENGINE - A sample composed of a nickel-based metal is immersed in a corrosive solution (aqueous solution containing an acid and sodium chloride). The sample that has been immersed in the corrosive solution is exposed to a flame of engine oil, and further heated. By immersing the sample in the particular corrosive solution, a Ni-enriched phase which is deficient in additional elements and in which the Ni concentration increases is formed in a surface layer region of the sample. By exposing the sample having the Ni-enriched phase to the flame of the engine oil, components in the engine oil are activated and brought into contact with the sample to form a low-melting point phase in the surface layer region of the sample. By heating the sample having the low-melting point phase to melt the low-melting point phase and resolidifying the low-melting point phase, particles and the like can be formed depending on the type of material of the sample. The ease of the generation of particles can be evaluated by using simple equipment instead of an engine for testing. | 11-19-2015 |
20150340205 | METHOD FOR MANUFACTURING TOUCH SCREEN PANELS USING A DRY ETCHING APPARATUS - A dry etching apparatus for performing dry etching in manufacture of a set of touch screen panels on a mother substrate, including a chamber, an upper electrode in the chamber at an upper portion thereof, the upper electrode configured to apply a high-frequency power source (RF) to the interior of the chamber, a lower electrode in the chamber at a lower portion thereof, the lower electrode configured to apply the high-frequency power source to the interior of the chamber, a gas injection port configured to inject a compound mixture gas into the chamber, an exhaust port configured to exhaust a reactive gas produced in the interior of the chamber, and a shadow mask disposed above a location on the lower electrode for the mother substrate for the touch screen panels, the shadow mask having a plurality of exposure windows respectively corresponding to a plurality of exposure portions to be formed. | 11-26-2015 |
20150357625 | METHOD FOR PRODUCING LITHIUM-ION BATTERIES - A method for producing lithium-ion batteries comprising the steps of (a) forming, on a substrate, a cathode current collector layer and a stack of a cathode layer made from a material capable of inserting lithium ions, an electrolyte layer and an anode layer, (b) depositing a lithium layer on the anode layer in order to form a lithium alloy, (c) short-circuiting the anode and cathode layers by depositing an anode current collector layer on the anode layer, thereby causing the diffusion of the lithium ions from the anode layer to the cathode layer, and (d) separating the batteries, resulting in the opening of the short-circuit between the anode and cathode layers in all the batteries. The method simplifies and improves the method for producing lithium-ion microbatteries and improves the diffusion of the lithium ions from the anode layer to the cathode layer after short-circuiting these two layers. | 12-10-2015 |
20150361341 | High-efficiency High-quality and Safe Alkaline Cupric Chloride Etchant for Printed Circuit Board - A high-efficiency high-quality and safe alkaline cupric chloride etchant for printed circuit board, which includes cupric chloride and a sub-etchant. The sub-etchant contains (in percentage by weight) 10%-30% NH4Cl; 0.0002%-25% carboxylic acid and/or ammonium carboxylate; 0.3%-25% ammonium hydroxide. The etchant is used in connection with an automatic detection and charging control during the etching process in order to keep the concentration of copper ions in the etchant no less than a set value. | 12-17-2015 |
20150368801 | PLASMA PROCESS CHAMBERS EMPLOYING DISTRIBUTION GRIDS HAVING FOCUSING SURFACES THEREON ENABLING ANGLED FLUXES TO REACH A SUBSTRATE, AND RELATED METHODS - Plasma process chambers employing distribution grids having focusing surfaces thereon enabling angled fluxes to reach a substrate, and associated methods are disclosed. A distribution grid is disposed in a chamber between the plasma and a substrate. The distribution grid includes a first surface facing the substrate and a focusing surface facing the plasma. A passageway extends through the distribution grid, and is sized with a width to prevent the plasma sheath from entering therein. By positioning the focusing surface at an angle other than parallel to the substrate, an ion flux from the plasma may be accelerated across the plasma sheath and particles of the flux pass through the passageway to be incident upon the substrate. In this manner, the angled ion flux may perform thin film deposition and etch processes on sidewalls of features extending orthogonally from or into the substrate, as well as angled implant and surface modification. | 12-24-2015 |
20150375253 | DEVICE FOR WET-TREATING THE LOWER FACE OF SUBSTRATES - A device for the wet treatment of flat substrates (S | 12-31-2015 |
20150376797 | ANISOTROPIC ETCH OF COPPER USING PASSIVATION - A method for anisotropically etching a feature in a Cu-containing layer includes providing a substrate having a Cu-containing layer and a patterned etch mask formed on the Cu-containing layer such that on exposed Cu-containing layer is exposed to processing through the patterned etch mask, passivating a first surface of the exposed Cu-containing layer, and inhibiting passivation of a second surface of the Cu-containing layer. A Cu compound is formed on said second surface of the Cu-containing layer, and the Cu compound is removed from the second surface of the Cu-containing layer to anisotropically etch a feature in the Cu-containing layer. | 12-31-2015 |
20150378210 | MANUFACTURING METHOD FOR LINEAR-GRID OF DISPLAY PANEL - A method of manufacturing a linear grid for a display panel, the method including: applying a material layer for the linear grid to a substrate; laminating a negative photoresist layer having a pattern of the linear grid to a target area within an entire area of the material layer; laminating a positive photoresist layer to the entire area of the material layer; covering, with a mask for blocking ultraviolet light, areas within the entire area not including the target area, and emitting the ultraviolet light; etching the material layer according to the negative photoresist layer exposed by the ultraviolet light; and forming the pattern of the linear grid on the material layer by removing the negative photoresist layer and the positive photoresist layer from the entire area. | 12-31-2015 |
20160013511 | THREE-DIMENSIONAL BATTERIES AND METHODS OF MANUFACTURING THE SAME | 01-14-2016 |
20160018731 | PHOTORESIST COMPOSITION AND METHOD OF MANUFACTURING SUBSTRATE FOR DISPLAY DEVICE BY USING THE SAME - A photoresist composition includes an alkali soluble resin, a hardening agent, a photo acid generator, and an organic solvent. The photo acid generator may be represented by Formula 1, | 01-21-2016 |
20160027996 | METHOD FOR ETCHING PIEZOELECTRIC FILM AND METHOD FOR MANUFACTURING PIEZOELECTRIC ELEMENT - In a method for etching a piezoelectric film and a manufacturing method thereof, a piezoelectric film is formed on a substrate on which a lower electrode is formed, a metal film having a thickness of 20 nm to 300 nm is formed, a patterned resist film is formed, the metal film is etched with a first etchant to which the piezoelectric film has etching resistance, and the piezoelectric film is etched with a second etchant to which the metal film has etching resistance. | 01-28-2016 |
20160032441 | APPARATUS FOR MANUFACTURING DISPLAY DEVICE AND METHOD OF MANUFACTURING DISPLAY DEVICE - An apparatus for manufacturing a display device and a method of manufacturing a display device is disclosed. In one aspect, the apparatus includes a guider configured to guide a substrate on which a display portion is formed, a plasma sprayer configured to be spaced apart from the display portion and configured to spray plasma onto the substrate and a mask configured to be arranged over the substrate and cover the display portion. The mask includes a body portion configured to face the display portion and a protrusion portion formed at an end of the body portion and configured to extend towards the substrate. | 02-04-2016 |
20160033840 | DEFECT-MITIGATION LAYERS IN ELECTROCHROMIC DEVICES - Electrochromic devices and methods may employ the addition of a defect-mitigating insulating layer which prevents electronically conducting layers and/or electrochromically active layers from contacting layers of the opposite polarity and creating a short circuit in regions where defects form. In some embodiments, an encapsulating layer is provided to encapsulate particles and prevent them from ejecting from the device stack and risking a short circuit when subsequent layers are deposited. The insulating layer may have an electronic resistivity of between about 1 and 10 | 02-04-2016 |
20160052783 | ELECTROMECHANICAL DEVICES AND METHODS FOR FABRICATION OF THE SAME - A fabricated electromechanical device is disclosed herein. An exemplary device includes, a substrate, at least one layer of a high-transconductance material separated from the substrate by a dielectric medium, a first electrode in electrical contact with the at least one layer of a high-transconductance material and separated from the substrate by at least one first supporting member, a second electrode in electrical contact with the layer of a high-transconductance material and separated from the substrate by at least one second supporting member, where the first electrode is electrically separate from the second electrode, and a third electrode separated from the at least one layer of high-transconductance material by a dielectric medium and separated from each of the first electrode and the second electrode by a dielectric medium. | 02-25-2016 |
20160053383 | ETCHING AGENT FOR COPPER OR COPPER ALLOY - Object is to provide an etching solution which generates less foam and can etch copper or copper alloy at high selectivity when used in a step of etching copper or copper alloy in an electronic substrate having both of copper or copper alloy and nickel. | 02-25-2016 |
20160055973 | Methods Of Forming Capacitors - A method of forming capacitors includes providing first capacitor electrodes within support material. The first capacitor electrodes contain TiN and the support material contains polysilicon. The polysilicon-containing support material is dry isotropically etched selectively relative to the TiN-containing first capacitor electrodes using a sulfur and fluorine-containing etching chemistry. A capacitor dielectric is formed over sidewalls of the first capacitor electrodes and a second capacitor electrode is formed over the capacitor dielectric. Additional methods are disclosed. | 02-25-2016 |
20160057865 | CIRCUIT SUBSTRATE HAVING A CIRCUIT PATTERN AND METHOD FOR MAKING THE SAME - A circuit substrate includes: an insulative substrate formed with a pattern of a recess, the recess being defined by a recess-defining wall that has a bottom wall surface and a surrounding wall surface extending upwardly from the bottom wall surface; a patterned metallic layer structure including at least a patterned active metal layer disposed within the recess, formed on the bottom wall surface of the recess-defining wall, and spaced apart from the surrounding wall surface of the recess-defining wall, the patterned active metal layer containing an active metal capable of initiating electroless plating; and a primary metal layer plated on the patterned metallic layer structure. | 02-25-2016 |
20160057871 | ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF, AND FLAT PANEL DISPLAY DEVICE - A method for manufacturing an array substrate includes a step of forming a first metal layer on a glass substrate such that the first metal layer includes multiple first metal lines distributed as a fan shape, each of the first metal lines including a predetermined number of first metal strip portions that are spaced from each other and have an equal length; forming an insulation layer on the multiple first metal lines in such a way that portions of the insulation layer respectively covering the first metal strip portions are each provided with a first through hole and a second through hole formed therein; and forming a second metal layer on the insulation layer such that the second metal layer includes multiple second metal strip portions respectively in contact with the first metal strip portions of the first metal lines via the first through holes and the second through holes. | 02-25-2016 |
20160064725 | COMPOSITE STRUCTURES CONTAINING HIGH CAPACITY POROUS ACTIVE MATERIALS CONSTRAINED IN SHELLS - Provided are novel electrode material composite structures containing high capacity active materials formed into porous base structures. The structures also include shells that encapsulate these porous base structures. During lithiation of the active material, the shell mechanically constrains the porous base structure. The shell allows lithium ions to pass through but prevents electrolyte solvents from interacting with the encapsulated active material. In certain embodiments, the shell contains carbon, while the porous base structure contains silicon. Although silicon tends to swell during lithiation, the porosity of the base structure and/or void spaces inside the shell helps to accommodate this additional volume within the shell without breaking it or substantially increasing the overall size of the composite structure. This allows integration of the composite structures into various types of battery electrodes and cycling high capacity active materials without damaging the electrodes' internal structures and deteriorating cycling characteristics of batteries. | 03-03-2016 |
20160067473 | METHODS FOR FORMING AN ELECTRODE DEVICE WITH REDUCED IMPEDANCE - Improved low-cost, highly reliable methods for increasing the electrochemical surface area of neural electrodes are described. A mono-layer of polymeric nanospheres is first deposited on a metallization supported on a dielectric substrate. The nanospheres self-assemble into generally repeating lattice forms with interstitial space between them. Then, the geometric surface area of the metallization material is increased by either selectively etching part-way into its depth at the interstitial space between adjacent nanospheres. Another technique is to deposit addition metallization material into the interstitial space. The result is undulation surface features provided on the exposed surface of the metallization. This helps improve the electrochemical surface area when the treated metallizations are fabricated into electrodes. | 03-10-2016 |
20160085150 | PHOTORESIST COMPOSITION AND METHOD OF MANUFACTURING CIRCUIT PATTERN USING THE SAME - A photoresist composition includes an alkali soluble resin, a photosensitive compound, a first solvent having a boiling point of less than 200° C., and a second solvent having a boiling point of equal to or greater than 200° C. | 03-24-2016 |
20160088739 | PERIPHERAL CIRCUIT OF TOUCH PANEL AND MANUFACTURING METHOD THEREOF - A method for manufacturing a peripheral circuit of a touch panel includes: printing a radiation curable conductive material on a substrate having a transparent conductive pattern; irradiating the radiation curable conductive material with a radiated ray, in order to cure parts of the radiation curable conductive material; and removing uncured parts of the radiation curable conductive material, in order to form the peripheral circuit. | 03-24-2016 |
20160097131 | METHODS FOR CYCLICALLY ETCHING A METAL LAYER FOR AN INTERCONNECTION STRUCTURE FOR SEMICONDUCTOR APPLICATIONS - Embodiments of the present disclosure provide methods for etching a metal layer, such as a copper layer, to form an interconnection structure in semiconductor devices. In one example, a method of patterning a metal layer on a substrate includes supplying a first etching gas mixture comprising a hydro-carbon gas and a hydrogen containing gas into a processing chamber having a substrate disposed therein, the substrate having a metal layer disposed thereon, supplying a second gas mixture comprising the hydrogen containing gas to a surface of the etched metal layer disposed on the substrate, and supplying a third gas mixture comprising an inert gas into the processing chamber to sputter clean the surface of the etched metal layer. | 04-07-2016 |
20160103280 | METHOD FOR FABRICATING A MONOLITHIC OPTOELECTRONIC DEVICE - A monolithic optoelectronic device has a spot-size converter optically connected to a waveguide. The overclad extending over the core of the waveguide is thinner and differently doped than the overclad of the spot-size converter. This structure can be made by applying a process of etching and enhanced selective area regrowth to create regions of the overclad of different thickness or doping. The spot-size converter core is made of a different material than the waveguide core by using etching and enhanced selective area regrowth. | 04-14-2016 |
20160103529 | TOUCH PANEL AND METHOD FOR FABRICATING THE SAME - A method for fabricating a touch panel includes forming a routing and pad pattern group on a substrate to include first and second routing lines, first pad electrodes connected to the first routing line, and second pad electrodes connected to the second routing line, by using a first mask, forming a sensor electrode pattern group on the substrate having the routing and pad pattern group formed thereon to include first sensor electrodes formed in a first direction, second sensor electrodes formed in a second direction, and connection portions that each connects adjacent first sensor electrodes, by using a second mask, forming a first insulating layer to include contact holes to expose portions of the second sensor electrodes, respectively, by using a third mask and forming bridges that each connects adjacent second sensor electrodes through the contact holes and a second insulating layer on the bridges, by using a fourth mask. | 04-14-2016 |
20160104558 | METHOD OF MANUFACTURING TOUCH DEVICE WITH CURVED TOUCH SURFACE ON SUBSTRATE - A method of manufacturing a touch device is provided. The method includes: providing a transparent substrate, the transparent substrate being curved and including a touch surface and a connecting surface; coating a conductive material layer on the connecting surface; coating a photo-resist material layer on the conductive material layer; providing a mask, the mask being curved and made of transparent material; coating a pattern of circuit on the mask, the pattern of circuit made of opaque material; placing the mask with the pattern of circuit on the photo-resist material layer, the pattern of circuit touching the photo-resist material layer and totally covered by the photo-resist material layer; photo-etching the conductive material layer to form a conductive circuit layer on the connecting surface; and connecting a drive circuit to the conductive circuit layer to obtain the touch device. | 04-14-2016 |
20160123944 | METHOD FOR MANUFACTURING NO2 GAS SENSOR FOR DETECTION AT ROOM TEMPERATURE - A method for manufacturing an NO | 05-05-2016 |
20160130500 | COMPOSITIONS AND METHODS FOR SELECTIVELY ETCHING TITANIUM NITRIDE - Compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., cobalt, ruthenium and copper, and insulating materials from a microelectronic device having same thereon. The removal compositions contain at least one oxidant and one etchant, may contain various corrosion inhibitors to ensure selectivity. | 05-12-2016 |
20160131977 | METHOD FOR FABRICATING PERIPHERAL WIRING UNIT OF TOUCH PANEL - A method for fabricating a peripheral wiring unit of a touch panel includes the following steps: (a) forming a transparent conductive layer on a substrate, the substrate including a peripheral region and a window region surrounded by the peripheral region, and forming a photosensitive conductive layer on the peripheral region of the substrate, such that the photosensitive conductive layer at least partially overlies the transparent conductive layer; (b) exposing the photosensitive conductive layer by using a photomask; and (c) developing the exposed photosensitive conductive layer to form a peripheral wiring unit on the peripheral region of the substrate. | 05-12-2016 |
20160163426 | METHOD FOR MANUFACTURING CONDUCTIVE FILM - Provided is a method for manufacturing a conductive film. The method for manufacturing a conductive film includes providing a polymer thin-film on a base film, treating the polymer thin-film by using 10 M to 15 M of nitric acid, and washing the polymer thin-film treated with nitric acid. The nitric acid treatment is performed at room temperature for about 7 minutes to about 13 minutes. | 06-09-2016 |
20160170299 | PHOTOSENSITIVE RESIN COMPOSITION FOR PROJECTION EXPOSURE, PHOTOSENSITIVE ELEMENT, METHOD FOR FORMING RESIST PATTERN, PROCESS FOR PRODUCING PRINTED WIRING BOARD AND PROCESS FOR PRODUCING LEAD FRAME | 06-16-2016 |
20160170447 | HIGHLY STRETCHABLE INTERCONNECT DEVICES AND SYSTEMS | 06-16-2016 |
20160183385 | METHOD FOR PRODUCING FILM AND FLEXIBLE METAL-CLAD LAMINATE | 06-23-2016 |
20160185595 | AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY - Compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., tungsten, and insulating materials from a microelectronic device having same thereon. The removal compositions are low pH and contain at least one oxidizing agent and at least one etchant as well as corrosion inhibitors to minimize metal erosion and passivating agents to protect dielectric materials. | 06-30-2016 |
20160192502 | GERMANIUM OXIDE PRE-CLEAN MODULE AND PROCESS - In some embodiments, a method for integrated circuit fabrication includes removing oxide material from a surface of a substrate, where the surface includes silicon and germanium. Removing the oxide material includes depositing a halogen-containing pre-clean material on a silicon oxide-containing surface and sublimating a portion of the halogen-containing pre-clean material to expose the silicon on the surface. A passivation film is deposited on the exposed silicon. The passivation film may include chlorine. The passivation film may prevent contamination of the silicon surface by chemical species from the later sublimation, which may be at a higher temperature than the earlier sublimation. Subsequently, a remaining portion of the halogen-containing pre-clean material and the passivation film are sublimated. A target material, such as a conductive material, may subsequently be deposited on the substrate surface. | 06-30-2016 |
20160198575 | METHOD OF FABRICATING TOUCH SCREEN PANEL | 07-07-2016 |
20160199615 | CATHETER AND A METHOD FOR MANUFACTURING A CATHETER | 07-14-2016 |
20160202532 | METHOD FOR FORMING THIN FILM PATTERN | 07-14-2016 |
20160377976 | CURABLE COMPOSITION FOR NANOIMPRINTING AND CURED PRODUCT - Disclosed is a curable composition for nanoimprinting, which includes one or more polymerizable monomers, in which one or more monofunctional radically polymerizable monomers occupy 90 percent by weight or more of the one or more polymerizable monomers, and the one or more monofunctional radically polymerizable monomers give a polymer having a glass transition temperature of 25° C. or higher. The one or more monofunctional radically polymerizable monomers are preferably at least one compound selected from (meth)acrylic ester compounds, styrenic compounds, and vinyl ether compounds. | 12-29-2016 |
20160379800 | PLASMA ETCHING METHOD AND METHOD OF MANUFACTURING PATTERNED SUBSTRATE - When a mask pattern provided on a dielectric substrate is provided with a pattern area having a plurality of micro openings, and a non-pattern area other than the pattern area, in the case in which the dielectric substrate is mounted at a predetermined position in a substrate mounting structure portion, in the pattern area, the configuration of the substrate mounting structure portion is set such that an average dielectric constant between a surface of the dielectric substrate and a surface of a predetermined electrode of the substrate mounting structure portion is larger than an average dielectric constant in the non-pattern area, and the dielectric substrate is etched by mounting the dielectric substrate at the predetermined portion of the substrate mounting structure portion, and generating plasma under an atmosphere reduced in pressure compared with atmospheric pressure. | 12-29-2016 |
20190144700 | LIQUID COMPOSITION, AND METHOD FOR PRODUCING A FILM AND A LAMINATE BY USING THE LIQUID COMPOSITION | 05-16-2019 |
20190146336 | CRITICAL DIMENSION UNIFORMITY | 05-16-2019 |