Entries |
Document | Title | Date |
20080201582 | Method for Setting an Electrical Field Device - A method for adjusting an electric field device in order to simplify its operation includes the following steps: an electronic control unit of the field device identifies an external data storage module that is connected to the field device; the electronic control unit reads personal data allocated to a user of the data storage module out of the external data storage module; the electronic control unit makes adjustments on the field device based on the personal data that has been read. | 08-21-2008 |
20080201583 | POWER SUPPLY CONTROL CIRCUIT - A power supply control circuit has an input unit which receives a supply of a power supply from one or a plurality of power supply source apparatuses, and has plural input lines including a first input line and a second input line at least, an output unit which outputs the supplied power supply to a power supply destination apparatus, a current control unit which is arranged between the first input line and the output unit, and includes a first diode D | 08-21-2008 |
20080201584 | SEMICONDUCTOR INTERGRATED CIRCUIT AND METHOD FOR CONTROLLING SEMICONDUCTOR INTERGRATED CIRCUIT - Herein disclosed is a method for controlling a semiconductor integrated circuit having plural domains, the method including controlling plural power supplies which supply power to the plural domains, controlling an asynchronous bridge section being provided between each of the domains, receiving and transmitting data for dynamically changing a power supply voltage of at least one of the domains, wherein, when a power supply voltage of one of the domains is substantially equal to a power supply voltage of the other domains, switching the power supply paths so as to supply the power supply voltage from one power supply to at least two of the domains, and switching the data paths so as to receive and transmit data between the at least two of the domains by bypassing the asynchronous bridge section. | 08-21-2008 |
20080201585 | Multifunctional machine and method of controlling multifunctional machine - A multifunctional machine includes a first component section that starts up taking a first time period, and a second component section that starts up taking a second time period shorter than the first time period. An operation start prediction information obtaining section is provided to obtain operation start prediction information predicting a time when a user starts the multifunctional machine. A start up control section is provided to start up the first component section in advance of the second component section. A power supply control section is provided to supply power from at least one external power source and drive the start up control section in accordance with the operation start prediction information. | 08-21-2008 |
20080201586 | Integrated circult device and electronic instrument - An integrated circuit device includes a digital power supply regulation circuit, an analog power supply regulation circuit, a control logic circuit, an analog circuit, and a power supply wiring region. A digital power supply line which supplies a digital power supply voltage and an analog power supply line which supplies an analog power supply voltage are provided in the power supply wiring region. The digital power supply regulation circuit, the analog circuit, and the analog power supply regulation circuit are disposed in a first direction with respect to the control logic circuit. The power supply wiring region is formed along a second direction in a region between the control logic circuit and the digital power supply regulation circuit, the analog circuit, and the analog power supply regulation circuit. | 08-21-2008 |
20080209233 | TECHNIQUES FOR OPERATING A PROCESSOR SUBSYSTEM - A technique of operating a processor subsystem masks interrupts to the processor subsystem during a power-down sequence of a processor of the processor subsystem. A boot vector for the processor of the processor subsystem is set. The boot vector provides an address associated with a saved processor state. A current state of the processor is saved to provide the saved processor state. The technique determines whether one or more first masked interrupts occurred during the saving of the current state of the processor. The processor that is to be powered-down is stopped when the one or more first masked interrupts did not occur during the saving of the current state of the processor. The technique also determines whether one or more second masked interrupts occurred following the saving of the current state of the processor. The processor is powered-down when the one or more second masked interrupts did not occur following the saving of the current state of the processor. | 08-28-2008 |
20080209234 | Water-Based Data Center - A system includes a floating platform-mounted computer data center comprising a plurality of computing units, a sea-based electrical generator in electrical connection with the plurality of computing units, and one or more sea-water cooling units for providing cooling to the plurality of computing units. | 08-28-2008 |
20080209235 | POWER SWITCHING CIRCUIT - A power switching circuit includes a flip-flop for receiving an abnormal power-off signal output by shutdown software of an electronic device, and outputting a trigger signal when a power supply of the electronic device is in an abnormal shutdown status and the abnormal power-off signal. The power switching circuit further includes a switching device for receiving a first control signal indicating that the backup battery is providing power and a second control signal indicating that the power supply is providing power, and outputting a backup battery power supply signal when the abnormal power-off signal is transmitted from the flip-flop or a power supply signal when the abnormal power-off signal is not transmitted from the output end of the flip-flop. The power switching circuit further includes a voltage regulator device for switching the backup battery or a power supply to provide power. | 08-28-2008 |
20080209236 | GATE DRIVE VOLTAGE SELECTION FOR A VOLTAGE REGULATOR - A system comprises a load and a voltage regulator. The voltage regulator is configured to select a gate drive signal from among a plurality of input voltages. The voltage regulator is configured to use the selected gate drive signal to turn on a power transistor to produce a regulated voltage for the load. | 08-28-2008 |
20080209237 | COMPUTER APPARATUS AND POWER SUPPLY METHOD THEREOF - A computer apparatus includes an information processing unit that processes information, a storing unit having a storage space in which the information being processed is stored, a main power supply that supplies power to the information processing unit, an auxiliary power supply including a thermoelectric element that converts heat generated in the computer into auxiliary power, and a controller that controls the auxiliary power supply to supply the auxiliary power to the information processing unit and the storing unit if it is determined that supply of power from the main power supply is interrupted, and controls the information processing unit to store the information to be processed by the information processing unit in the storing unit. | 08-28-2008 |
20080209238 | CONTROLLER FOR PROCESSING APPARATUS - DVS control is established by determining a voltage frequency profile for a processing resource completing a task within a timing deadline. The voltage frequency profile is determined by way of constraining the available operating frequency to a number of discrete permitted operating frequencies. In one embodiment, acceptance of the voltage frequency profile is carried out by determining if the processing resource will carry out a task within an acceptable time period. In one embodiment, this is assessed by reference to a worst case cycle count for the task concerned. | 08-28-2008 |
20080209239 | Managing Voltage Supply in Multiple Linked Systems - In a data processing system having elements grouped into a plurality of power domains, each one of which has at least one processing element and at least two power supplies, power domains are interconnnected by sensing and switching circuitry which senses the conditions of power supply and demand in and among the domains and switches from one domain to power supplies in another domain in the event of failure in the first domain. | 08-28-2008 |
20080209240 | Pre-emptive power supply control system and method - A control system for controlling a power supply having an operating function. The power supply to supply an output current to an integrated circuit having at least one circuit block that is controllable by an enable signal or a clock signal. A receiver to receive the enable signal. A controller to determine a loading status of the at least one circuit block as a function of the enable signal or the clock signal and to control the output current of the power supply as a function of the loading status of the at least one circuit block such that the power supply preemptively changes the output current. | 08-28-2008 |
20080215898 | Computer device having display device capable of being automatically turned off or turned on according to switch motion of host - An exemplary computer device ( | 09-04-2008 |
20080215899 | METHOD AND APPARATUS FOR NEGOTIATING POWER BETWEEN POWER SOURCING EQUIPMENT AND POWERABLE DEVICES - The present invention provides a power negotiation protocol that enables PDs and PSEs to negotiate the amount of inline power that a PD consumes and the corresponding PSE provides. This power negotiation allows the PDs provide fine-grained power consumption level to PSEs, and the PSEs are able to manage inline power efficiently using the negotiation protocol of the present invention. The PDs can ask the PSEs for more power when needed rather than having to constantly reserve the maximum amount of power they can consume at all times. Similarly, the PDs can release reservation of excess power when their respective power requirements decrease. The PSEs can limit the amount of power that can be consumed by the PD, thereby providing the ability for an administrator to control how much power a given PD can consume. | 09-04-2008 |
20080215900 | Power-Managed Server and Method for Managing Power Consumption - A power-managed server and method for managing power consumption is disclosed. According to one embodiment, a power-managed server data processing system is provided among a plurality of server data processing systems which comprises a power management communication port to communicatively couple the power-managed server data processing system to a power management server data processing system of the plurality of server data processing systems. The power-managed server data processing system of the described embodiment further comprises a system management processor coupled to the power management communication port which comprises power-managed logic configured to transmit power management data to the power management server data processing system and to receive a power management command utilizing the power management communication port. Moreover, the power management command is generated utilizing the power management data, and the power management data comprises power management capability data. | 09-04-2008 |
20080215901 | BATTERY POWERED DEVICE WITH DYNAMIC AND PERFORMANCE MANAGEMENT - A computing device operates over a range of voltages and frequencies and over a range of processor usage levels. The computing device includes at least a variable frequency generator, a variable voltage power supply and voltage supply level and clocking frequency management circuitry. The variable frequency generator is coupled to the processor and delivers a clock signal to the processor. The variable voltage power supply is coupled to the processor and delivers voltage to the processor. The voltage supply level and clocking frequency management circuitry adjust both the voltage provided by the variable voltage power supply and the frequency of the signal provided by the variable frequency generator. The computing device includes a temperature sensor that provides signals indicative of the temperature of the processor and the voltage supply level and clocking frequency management circuitry adjusts the voltage and/or the clocking frequency provided by the variable voltage power supply. The computing device may also include a fan controlled by the voltage supply level and clocking frequency management circuitry, the fan adjusting the temperature of the processor when activated. In cold weather applications, the computing device may further include a heater controlled by the voltage supply level and clocking frequency management circuitry that raises the temperature of the processor when activated. | 09-04-2008 |
20080222431 | Power Adapter Capable of Communicating Digitally with Electronic Devices - A power adapter capable of communicating digitally with a device or a legacy adapter associated with a device is described. The power adapter includes an input port to receive power from a power source, a regulator to convert the received power from the power source, an output port configured to deliver power from the regulator to a device, and a microprocessor configured to communicate digitally with a communication module associated with the device to determine the power requirements of the device. The regulator converts the power from the power source in accordance with the power requirements of the device. A related method of adapting power to a device is also described. | 09-11-2008 |
20080222432 | APPARATUS, SYSTEM AND METHOD FOR SUPPLYING A PORTABLE ELECTRONIC DEVICE BY COMBINING A PLURALITY OF I/O PORTS BELONGING TO AT LEAST ONE OTHER ELECTRONIC DEVICE - Apparatus for combining powers coming from a plurality of I/O ports. Use of the apparatus to power electronic appliances that can require more power than can be delivered by a single I/O port, e.g. in order to charge more quickly energy storage means forming part of the portable electronic appliance connected to the apparatus. | 09-11-2008 |
20080222433 | Method and Apparatus for Supplying Power, and Display Device - A power supply apparatus for a display device includes a power unit for receiving commercial power, converting the commercial power into main power, supplying or cutting off a supply of the main power to the display device, and supplying the main power to a device installed in the display device, a user interface unit for receiving a power control signal from a user, and a control unit for, when the power control signal is inputted, supply or cutting off a supply of the main power to the display device, detecting a power control state of the device, and transmitting the power control signal to the device according to a detected power control state. | 09-11-2008 |
20080222434 | Method of power-aware job management and computer system - Provided is a method used in a computer system which includes at least one host computer, the method including managing a job to be executed by the host computer and a power supply of the host computer, the method including the procedures of: receiving the job; storing the received job; scheduling an execution plan for the stored job; determining, based on the execution plan of the job, a timing to execute power control of the host computer; determining a host computer to execute the power control when the determined timing to execute the power control is reached; controlling the power supply of the determined host computer; and executing the scheduled job. | 09-11-2008 |
20080229120 | SYSTEM AND METHOD FOR CONTINUAL CABLE THERMAL MONITORING USING CABLE RESISTANCE CONSIDERATIONS IN POWER OVER ETHERNET - A system and method for continual cable thermal monitoring using cable resistance considerations for Power over Ethernet (PoE) applications. Cable heating in PoE applications is related to the resistance of the cable itself. By periodically monitoring the resistance of the cable, it can be determined whether the cable has exceeded certain operating thresholds. The determined resistance as a proxy for cable heating can then be used in adjusting operational characteristics of PoE channels. | 09-18-2008 |
20080229121 | Selectively Powered Data Interfaces - A plurality of separately powered data interface circuits, a controller circuit, and power switch circuits that collectively enable a supply of power to only one of the data interface circuits and disable the supply of power to the other data interface circuits. Alternatively, the separately powered circuits need not be data interface circuits. | 09-18-2008 |
20080229122 | STATE CHANGE SENSING - Application of too much voltage to a memory cell will cause damage to the cell or even destroy the cell. Tracking current that arises from an application of voltage upon a memory cell allows for minimization of damage upon the memory cell. If there is a change in current, then the voltage application can be accordingly changed. | 09-18-2008 |
20080229123 | Power transmission line for power supply clusters - A power transmission line for power supply clusters is electrically connected to a personal computer and a power supply cluster to allow the power supply cluster to receive ON/OFF signals and has a signal delay circuit to generate a time difference for the ON/OFF signals so that the power supply cluster delivers power output in an asynchronous fashion to drive the personal computer. | 09-18-2008 |
20080229124 | Power supply controlling circuit and scanner unit - A power supply controlling circuit includes: power supplied portions, adapted to receive power supply from at least one external apparatus; a first power unit, adapted to be driven by a first power; and a second power unit, adapted to be driven by a second power. In response to a change of the power supply, the second power is varied, while the first power is maintained. | 09-18-2008 |
20080229125 | POWER MANAGING METHOD OF A SCHEDULING SYSTEM AND RELATED SCHEDULING SYSTEM - A scheduling system includes a main processor, a micro control unit and a power supply. The main processor has a first memory module for storing a plurality of scheduled times. The micro control unit has a second memory module for storing a first scheduled time of the plurality of scheduled times provided by the first memory module and a timer for providing a real time. A corresponding power managing method includes: (a) starting the micro control unit; (b) the micro control unit determining whether the first scheduled time is stored in the second memory module according to a schedule flag; (c) the micro control unit determining a correlation between the first scheduled time and the real time; and (d) the micro control unit controlling the power supply to power on or power off the main processor of the scheduling system according to determined results in step (b) and step (c). | 09-18-2008 |
20080229126 | COMPUTER SYSTEM MANAGEMENT AND THROUGHPUT MAXIMIZATION IN THE PRESENCE OF POWER CONSTRAINTS - Methods are provided for maximizing the throughput of a computer system in the presence of one or more power constraints. Throughput is maximized by repeatedly or continuously or periodically optimizing task scheduling and assignment for each of a plurality of components of a computer system. The components include a plurality of central processing units (CPUs) each operating at a corresponding operating frequency. The components also include a plurality of disk drives. The corresponding operating frequencies of one or more CPUs of the plurality of CPUs are adjusted to maximize computer system throughput under one or more power constraints. Optimizing task scheduling and assignment, as well as adjusting the corresponding operating frequencies of one or more CPUs, are performed by solving a mathematical optimization problem using a first methodology over a first time interval and a second methodology over a second time interval longer than the first time interval. The first methodology comprises a short term heuristic solver for adapting to computer system changes that occur on a short time scale, and the second methodology comprises a long term solver having greater accuracy and greater computational complexity than the first methodology. | 09-18-2008 |
20080235523 | Power Over Ethernet Connector With Integrated Power Source Equipment (PSE) Controller Supporting High Power Applications - In a Power over Ethernet (POE) system, a power source equipment (PSE) device configured to deliver power to one or more powered devices (PDs) over a plurality of Ethernet transmission lines. The PSE interface includes a multi-port transmission line connector capable connecting to multiple Ethernet transmission lines, and a power source equipment (PSE) controller module integrated with the multi-port transmission line connector. The PSE controller module is capable of high power operation beyond that specified by IEEE standard 802.3af™ for powered devices. More specifically, the PSE controller is configured to deliver over 15.4 Watts, and up to 36 Watts of power, to meet the needs of high powered devices such as IP Phones, and access points. Further, the PSE controllers can utilize, currently unused, class 4 of IEEE standard 802.3af™ to identify, initiate, and classify the high power operation mode. Or it could use multiple event physical layer classification and Data Link Layer Classification for this high power PD detection as described in the latest draft from ‘at’ task force from IEEE. | 09-25-2008 |
20080235524 | System for peripheral re-enumeration - The present invention reduces the need for peripherals to be physically removed and re-installed when a malfunction occurs. In one embodiment, a device is placed in series between the system and the peripheral. This device controls the passage of power to the peripheral. When the peripheral malfunctions, the device disallows passage of power to the peripheral, and at some later point restores power, effectively emulating a physical removal and re-installation. In a second embodiment, additional software is added to the software driver for the peripheral. In this scenario, the additional software, upon detecting that the peripheral is incommunicative, would remove power being supplied to the peripheral by the system. Again, at some later point, power is restored, thereby emulating a disconnect/reconnect sequence. | 09-25-2008 |
20080235525 | PERSONAL ELECTRONIC DEVICE WITH APPLIANCE DRIVE FEATURES - A novel personal electronic device includes a first (embedded) and second (non-embedded) processors including associated operating systems and functions. In one aspect, the first processor performs relatively limited functions, while the second processor performs relatively broader functions under control of the first processor. Often the second processor requires more power than the first processor and is selectively operated by the first processor to minimize overall power consumption. Protocols for functions to be performed by the second processor may be provided directly to the second processor and processed by the second processor. In another aspect, a display controller is designed to interface with both processors. In another aspect, the operating systems work with one another. In another aspect, the first processor employs a thermal control program. Advantages of the invention include a broad array of functions performed by a relatively small personal electronics device. | 09-25-2008 |
20080244278 | Leakage Power Estimation - Methods and apparatus to provide leakage power estimation are described. In one embodiment, one or more sensed temperature values ( | 10-02-2008 |
20080244279 | Methods and Apparatus to Detect Voltage Class of a Circuit - A method including monitoring whether an externally originating signal reaches a predetermined threshold value in a host, producing an output value based on the monitoring, and identifying a power environment for the host based on the output value is described. Also described is a method for determining the power environment of a host. Systems and hosts for implementing the methods are also described. | 10-02-2008 |
20080244280 | System and Method for Hardware Manipulation in a Computing Device - A device having an operating system including a power control policy for a component of the device and an effector program controlling power for the component based on the power control policy and power control hardware controlled by the effector program to implement the power control policy for the component. A method for determining a power state for a component, executing an effector program to produce a control output corresponding to the power state and controlling power supplied to the component so that the component enters the power state. | 10-02-2008 |
20080244281 | Method and System for Associating Power Consumption with a Network Address - A system for associating power consumption with a network address. Network traffic is inspected to determine network addresses. The network addresses are assigned to one or more servers. A power output of a plurality of power outlets is measured to determine a power consumption of the one or more servers connected to the plurality of power outlets. The network addresses assigned to the one or more servers is associated with the power consumption of the one or more servers. A total power consumption is calculated for the one or more servers having an association of network addresses with power consumption. Then, the total power consumption is recorded for the one or more servers in a table and a user is provided access to the table. | 10-02-2008 |
20080244282 | Managing Power Allocation To Ethernet Ports In The Absence Of Mutually Exclusive Detection And Powering Cycles In Hardware - A method of allocating power to ports in an Ethernet switch, including: (1) assigning a configuration power to a selected port, wherein the assigned configuration power is less than a power supplied by the selected port to a powered, (2) enabling and powering the selected port in a single indivisible step, (3) determining the power limit of a device coupled to the selected port, (4) comparing the power supplied by the selected port to the device with the configuration power assigned to the selected port, and (5) if the power supplied by the selected port to the device is greater than the configuration power assigned to the selected port, then increasing the configuration power of the selected port to correspond with the power limit of the device. | 10-02-2008 |
20080244283 | System and Method for Thresholding System Power Loss Notifications in a Data Processing System - A system and method for thresholding system power loss notifications in a data processing system are provided. Power loss detection modules are provided in a data processing system having one or more data processing devices, such as blades in an IBM BladeCenter® chassis. The power loss detection modules detect the type of infrastructure of the data processing system, a position of a corresponding data processing device within the data processing system, and a capability of the data processing system to provide power during a power loss scenario. The detection module detects various inputs identifying these types of data processing system and power system characteristics and provides logic for defining a set of behaviors during a power loss scenario, e.g., behaviors for sending system notifications of imminent power loss. The detection of the various inputs and the defining of a set of behaviors may be performed statically and/or dynamically. | 10-02-2008 |
20080244284 | Methods and apparatus providing advanced classification for power over Ethernet - A system conducts a plurality of cycles on the powered device. Each cycle has a detection phase and a classification phase. A classification voltage is applied to the conductors during each cycle. The system measures a current in the conductors while the classification voltage is applied. The system determines a final class responsive to a plurality of measured currents. The final class utilized to determine an amount of inline power to deliver to the powered device. | 10-02-2008 |
20080244285 | Method to control core duty cycles using low power modes - A processor starting a duty cycle timer with a specified duty cycle period and a specified power state, and if the duty cycle timer expires, placing the processor in the specified power state in response to the expiry of the timer, if the timer has not expired and if an interrupt other than a timer tick interrupt is received, canceling the duty cycle timer in response to the interrupt other than a timer tick interrupt. | 10-02-2008 |
20080244286 | Starting device - A starting device includes a first transform device that restores a first transfer signal, which is converted from an input signal supplied from an input device, to the input signal, and outputs the input signal to a computer, and a power connecting device that starts the computer when the input signal restored by the first transform device includes a starting signal. | 10-02-2008 |
20080250254 | APPLICATION SETTINGS MIGRATION USING VIRTUALIZATION - Application setting virtualization for a user. Application settings from a source computer are copied to a user-specific location on a destination computer and layered under corresponding application settings associated with one or more applications installed on the destination computer. In response to receiving a request from an application for an application setting, the requested application setting is retrieved from the layered application settings such that the layers are searched in order for the requested application setting and the first application setting found is retrieved and returned to the requesting application. | 10-09-2008 |
20080250255 | SYSTEM AND METHOD FOR APPLYING POWER OVER ETHERNET TO PORTABLE COMPUTING DEVICES - A system and method for applying Power over Ethernet (PoE) to portable computing devices. Portable computing devices such as portable computers have unstable power profiles due to the wide variability in tasks that are undertaken by users. This unstable power profile makes it difficult for power source equipment (PSE) in a PoE system to effectively manage powering of those devices. In one embodiment, PoE power is used to augment a reservoir of charge (e.g., battery) that has a stable power profile. The reservoir of charge provides a charge buffer such that a PSE need not match the swings in power demands by electronic circuitry in the portable computing device. | 10-09-2008 |
20080250256 | INFORMATION PROCESSING APPARATUS AND METHOD OF CONTROLLING POWER THEREOF - Backup control means controls processing for backing up data in storage means. In a state in which the storage means is not being supplied with power from the main power supply, a backup power supply supplies power to the storage means in order that backup processing by the backup control means will be performed. A timer measures power-supply time, which is the length of time the backup power supply supplies a voltage equal to or greater than a rated voltage to the storage means in order that backup processing will be performed. Determination means determines whether the power-supply time counted by the timer is shorter than length of a reference backup time. Notification of result of backup processing is given by alert means in accordance with the result of the determination made by the determination means. | 10-09-2008 |
20080256370 | Intrusion Protection For A Client Blade - Receiving, by a blade management module from a client blade, notification of a loss of communications between a remote desktop apparatus and the client blade; responsive to the notification, accepting, by the blade management module from the remote desktop apparatus, an instruction to alter a power setting of the client blade; and; responsive to the instruction, altering, by the blade management module, the power setting of the client blade. | 10-16-2008 |
20080256371 | SYSTEM AND METHOD FOR POWER MANAGEMENT IN A COMPUTING DEVICE FOR POWER OVER ETHERNET - A system and method for operating system power management in a computing device for power over Ethernet (PoE). Computing devices such as portable computers or embedded devices having an operating system (OS) can leverage power management features in an OS. Power management state information such as user parameters, computing device parameters, application parameters, IT parameters, network parameters, etc. can be used to generate power requests that are acted upon by power sourcing equipment. | 10-16-2008 |
20080256372 | Inline Power Controller - An inline power controller includes at least one analog interface circuit module (AICM) having a first analog input node for receiving an inline power port voltage, a second analog input node for receiving an inline power port current, a first analog output for effecting an inline power port voltage, a second analog output for effecting an inline power port current, and a digital interface converting the received inline power port voltage to a digital value, the inline power port current to a digital value, a first digital value to the first analog output and a second digital value to the second analog output. A digital serial bus (DSB) couples the AICM to a digital controller via digital serial bus interfaces (DSBIs). | 10-16-2008 |
20080263373 | Token based power control mechanism - A token-based power control mechanism for an apparatus including a power controller and a plurality of processing devices. The power controller may detect a power budget allotted for the apparatus. The power controller may convert the allotted power budget into a plurality of power tokens, each power token being a portion of the allotted power budget. The power controller may then assign one or more of the plurality of power tokens to each of the processing devices. The assigned power tokens may determine the power allotted for each of the processing devices. The power controller may receive one or more requests from the plurality of processing devices for one or more additional power tokens. In response to receiving the requests, the power controller may determine whether to change the distribution of power tokens among the processing devices. | 10-23-2008 |
20080263374 | SYSTEM AND METHOD FOR MODELING A POWER OVER ETHERNET COMPONENT IN A COMPUTING DEVICE PLATFORM USING A COMMON INFORMATION MODEL - A system and method for modeling a power over Ethernet component using a common information model. With the profiling of components based on the common information model, a remote agent can query status/capabilities or configure a power over Ethernet component based on messaging that is consistent with a power over Ethernet common information model schema. | 10-23-2008 |
20080270808 | Electronic Device - An electronic device is disclosed herein. An embodiment of the electronic device comprises an electronic component, wherein the electronic component is operated by a DC voltage. The electronic component comprises an AC to DC converter that converts an AC voltage to the DC voltage, wherein the RMS value of the AC voltage is greater than the DC voltage. The electronic device further comprises a power supply comprising an input and an output. The input is connectable to a line voltage and the output is connected to the AC to DC converter of electronic component. The AC voltage is output by the output of the power supply. | 10-30-2008 |
20080270809 | I/O port power control system and method - An input/output (I/O) power control system comprises an electronic device having at least one I/O port and a controller, wherein the controller is configured to, while the electronic device is powered off, detect coupling of an external device to the at least one I/O port and, in response to detecting the coupling, provide power to the I/O port. | 10-30-2008 |
20080276103 | Universal serial bus assembly structure - A USB (Universal Serial Bus) assembly structure is composed of a power supply device, a lead wire, an electromagnetic wave elimination device, a power adapter, and a plug, wherein a side of the lead wire is provided with a USB power supply device, such that when a computer is not turned on or is hibernated, power can be still supplied by the USB power supply device. In addition, when a computer is not provided with enough USB slots, it is still convenient to supply the USB power. | 11-06-2008 |
20080276104 | Power source equiment for power over ethernet system with increased cable length - Increased cable length Power over Ethernet (PoE) systems are provided. Embodiments can be designed for compliance with IEEE 802.3af, IEEE 802.3at, or legacy PoE standards. Embodiments include PSE and PD designs enabled for increased length PoE. Embodiments include example modifications of IEEE 802.3af PSE system rules, including example modifications of PSE port voltage ranges to support IEEE 802.3af compliant PDs across increased cable lengths. Embodiments include example modifications of IEEE 802.3af PD system rules, including example modifications of PD port voltage ranges to enable current IEEE compliant PSEs to support increased cable length PoE. Embodiments include PDs having increased voltage process and/or added voltage protection circuitry to support increased length PoE. Modifications of PSE system rules and PD system rules can be performed independently of each others, so that modified PSEs can be made to work with existing PDs, or vice versa. | 11-06-2008 |
20080276105 | POWER MANAGERS FOR AN INTEGRATED CIRCUIT - A system for an integrated circuit comprising a plurality of power islands includes a first power manager and a second power manager. The first power manager manages a first power consumption for the integrated circuit based on needs and operation of the integrated circuit. The second power manager communicates with the first power manager and manages a second power consumption for one of the power islands. | 11-06-2008 |
20080288791 | Computer Power Measurement Device - The present invention provides a computer power measurement device, which comprises: a first inductor connected power supply, and the first inductor is provided with a first DC equivalent resistance; a second inductor connected power consumption components, and the second inductor is provided with a second DC equivalent resistance; an input measurement module, which is connected to the first inductor, and measures the input power based on the first DC equivalent resistance; and, an output measurement module, which is connected to the second inductor, and measures the output power based on the second DC equivalent resistance. | 11-20-2008 |
20080294915 | ETHERNET INTERFACE - A network adaptor is disclosed that uses Power over Ethernet (PoE) protocols to derive power from an Ethernet port and provide the derived power to a field device. The network adapter communicates analog data with the field device and converts the analog data to digital data using an analog to digital converter before transmitting the digital data through the Ethernet port to a central office. The network adapter is uniquely assigned to the field device allowing an IP address to be assigned to the network adapter/field device system which may be accessed using IP protocols from any suitable browser. | 11-27-2008 |
20080294916 | Dynamic voltage converter topology switching circuit, system, and method for improving light load efficiency - A voltage converter includes a plurality of voltage converter circuits, each voltage converter circuit having a topology, and a control circuit coupled to the voltage converter circuits. The control circuit is operable to select one of the voltage converter circuits to provide an output power on an output node. The control circuit selects one of the voltage converter circuits in response to a parameter associated with the operation of the voltage converter, such as a parameter associated with the output power on the output node. | 11-27-2008 |
20080301473 | METHOD AND SYSTEM FOR HYPERVISOR BASED POWER MANAGEMENT - A method of hypervisor based power management, includes: allocating resources to a plurality of partitions defined within a virtual machine environment; monitoring performance of the plurality of partitions with respect to a service level agreement (SLA); tracking power consumption in the plurality of partitions; scaling power consumption rates of the plurality of partitions based on the allocated resources, wherein the power consumption rate of physical resources is scaled by adjusting resource allocations to each partition; identifying partitions that are sources of excessive power consumption based on the SLA; and adjusting the allocation of resources based on the power consumption of the plurality of partitions, the performance of the plurality of partitions, and the SLA. | 12-04-2008 |
20080301474 | Performance Analysis Based System Level Power Management - A multiprocessor system-on-chip | 12-04-2008 |
20080301475 | PERFORMANCE CONSERVING METHOD FOR REDUCING POWER CONSUMPTION IN A SERVER SYSTEM - A method for managing power in a data processing system having multiple components includes determining a power budget for the system. Activity levels during a forthcoming time interval are then predicted for each of the components. Using the predicted activity levels, the power budget is allocated among the system components. An activity limit is then established for each component based on its corresponding portion of the power budget. The activity of a component is then monitored and, if the component's activity exceeds the component's corresponding activity limit, constrained. Determining the predicted level of activity may include determining a predicted number of instructions dispatched by a processor component or a predicted number of memory requests serviced for a system memory component. Allocating the power budget includes allocating each component its corresponding standby power and a share of the system power available for dynamic powering based on the expected levels of activity. | 12-04-2008 |
20080301476 | Power supply and method of controlling same - A power supply supplying electric power through parallel operation of power supply units is disclosed. Each of the power supply units includes a transformer; a stopped state latch part configured to latch a control signal for controlling outputting of the power supply unit in a predetermined stopped state to stop the outputting, after passage of a first time in response to detection of an overcurrent on a primary side of the transformer; and an outputting restriction part configured to set the control signal in a predetermined restricted state to restrict the outputting of the power supply unit without stopping the outputting, after passage of a second time in response to detection of an overcurrent on a secondary side of the transformer. The second time is shorter than the first time. | 12-04-2008 |
20080307238 | System for Unified Management of Power, Performance, and Thermals in Computer Systems - A system is provided for unified management of power, performance, and thermals in computer systems. This system incorporates elements to effectively address all aspects of managing computing systems in an integrated manner, instead of independently. The system employs an infrastructure for real-time measurements feedback, an infrastructure for regulating system activity, component operating levels, and environmental control, a dedicated control structure for guaranteed response/preemptive action, and interaction and integration components. The system provides interfaces for user-level interaction. The system also employs methods to address power/thermal concerns at multiple timescales. In addition, the system improves efficiency by adopting an integrated approach, rather than treating different aspects of the power/thermal problem as individual issues to be addressed in a piecemeal fashion. | 12-11-2008 |
20080313476 | Method And System Of Determining Computing Module's Power Requirements - By supplying auxiliary power to a blade's optional components, instead of polling the blade for the power requirements of a fully configured module, each installed component can be individually polled and the values summed to produce a more accurate value representing the actual power needs of a blade system prior to booting. | 12-18-2008 |
20080320320 | MOBILE POWER CONTROL APPARATUS AND METHOD - Disclosed herein are approaches for reducing the difference in voltage between a DC power source and a system supply voltage for a mobile system, for example, to reduce over-voltages, inrush currents, and power conversion inefficiencies when a DC source such as an adapter is connected to the mobile system. | 12-25-2008 |
20090006871 | METHOD, SYSTEM, AND APPARATUS FOR A CORE ACTIVITY DETECTOR TO FACILITATE DYNAMIC POWER MANAGEMENT IN A DISTRIBUTED SYSTEM - A system and method to provide source controlled dynamic power management. An activity detector in a source determines expected future resource usage. Based on that expected usage, the source generates a power management command and sends that command to a destination. The destination then adjusts the power level of the resource based in the command. | 01-01-2009 |
20090006872 | REPRODUCER AND REPRODUCING METHOD - According to one embodiment, an acquiring unit for acquiring content information and a playlist of the content information, processing units for processing the content information by a plurality of processing modules supplied with power to function, and managing units for managing power delivery to the plurality of processing modules based upon the playlist, respectively, are included. | 01-01-2009 |
20090013198 | ELECTRONIC APPARATUS WITH IMPROVED MEMORY POWER MANAGEMENT - An electronic apparatus includes a battery holder, a detector detecting a battery, a non-volatile memory storing a program for initialization, a volatile memory, a first power supply for the non-volatile memory, a second power supply for the volatile memory, a power switch, a power supply controller activating the first power supply and the second power supply after the battery is detected or after the power switch is turned on, and a management circuit sending the program from the non-volatile memory to the volatile memory if the first and the second power supplies are activated and before the program is fully sent to the volatile memory, causing the power supply controller to deactivate the first power supply after sending the program, and causing the program in the volatile memory to run after the power switch is turned on. | 01-08-2009 |
20090013199 | DIGITAL POWER SUPPLY CONTROLLER WITH INTEGRATED MICROCONTROLLER - A digital power supply controller is disclosed for controlling the operation of a switched power supply. The controller is contained within an integrated circuit package enclosing an integrated circuit chip. A plurality of inputs are provided on the package for interfacing with the switched power supply for receiving sensed inputs therefrom. A plurality of outputs provide switch control signals for turning on and off switches in the switched power supply. An integrated digital controller on the chip receives the inputs and generates the outputs, and includes an integrated instruction based processing engine for providing a portion of the digital control of the digital controller. A memory associated with the processing engine stores instructions for the processing engine. | 01-08-2009 |
20090019294 | Redundant power supply system - A redundant power supply system aims to balance power supply among main power units and stationary power units to achieve optimum output quality for the main power units and stationary power units, and also prevent interruption of power supply resulting from any main power units or stationary power units. It includes a power integration control unit. The power integration control unit and the main power units and stationary power units are bridged respectively by a power balance unit which functions in a load power balance mode such that the power integration control unit outputs a total output power in power ON and standby conditions, and each power supply device delivers actual output power according to the load ratio of the power supply device. | 01-15-2009 |
20090019295 | MOTHERBOARD AND POWER SUPPLY MODULE THEREOF - A motherboard and a power supply module thereof are disclosed. The power supply module provided by the invention can be directly fixed on a motherboard supporting an AM2 CPU and an AM2+ CPU. The power supply module provided by the invention utilizes a switching unit to switch between a group of pulse width modulation (PWM) signals for generating core voltages needed by an AM2 CPU and another PWM signal for generating a core voltage needed by an AM2+ CPU according to a version signal provided by the CPU of the motherboard. Therefore, no matter a CPU socket of the motherboard receives the AM2 CPU or the AM2+ CPU, the power supply module of the invention can obtain the maximum usage efficiency thereof, and the manufacture cost of motherboard with the power supply module decreases. | 01-15-2009 |
20090024855 | DISPLAY DEVICE WITH USB CONNECTIVITY - The disclosure relates to a human figure toy. The toy includes a head with an aperture. A support member is placed within the head and a motor is secured to the support member. The motor includes a threaded motor shaft. A nose assembly, which is attached to the motor shaft, includes a first rod, an attachment means, a second rod, and a shock absorbing means. The first rod includes a threaded bore for engaging with the motor shaft. The second nose extends through the aperture on the head. The attachment means is used for slidably attaching the second rod to the first rod. The shock absorbing means is placed between the first rod and the second rod. Additionally, the toy includes rotation stop means on the attachment means and the support member for limiting a rotation of the first rod. The toy also includes a sensor means and a controller. | 01-22-2009 |
20090031147 | APPARATUS FOR WAKING UP A DEVICE - Apparatus for waking up a device having a power supply. The apparatus includes a receiver configured to receive a receive signal and an extractor configured to extract power from the receive signal. The apparatus further includes a deriver configured to derive wake up information from the receive signal, which is powered by the extracted power. Furthermore, the apparatus includes an effecter configured to effect a connection of the device to the power supply if the deriver derives the wake up information. | 01-29-2009 |
20090031148 | Distributed Electrical Power System for Computer Rooms - A scalable electrical power distribution system for air conditioned computer rooms has an uninterruptible power supply and at least one rack mounted power distribution unit to supply conditioned power to the critical loads. If the power demand of the rack mounted critical loads connected to any rack mounted power distribution unit exceeds the power rating of that unit, a further rack mounted power distribution unit may be placed in another cabinet so as to distribute conditioned electrical power to additional rack mounted critical loads. The power distribution system is scalable as to the number of rack mounted power distribution units that may be installed in the computer room; it reduces initial capital costs, and eliminates cable congestion below the raised floor of the computer room. | 01-29-2009 |
20090031149 | Storage subsystem and power supply system - The present invention includes a plurality of disk units for storing data from a host computer, a plurality of power supply apparatuses for supplying DC power to each of the disk units via main power supply wirings, and a redundant power supply apparatus for generating, with any one of the disk units among the plurality of disk units as a load, DC power to the load. As auxiliary power supply wirings for guiding the output of the redundant power supply apparatus to each of the disk units, a common power supply wiring that is common to each of the power supply apparatuses, a plurality of branch power supply wirings branching from the common power supply wiring and connected to each of the disk units, and a redundant power supply wiring for connecting the redundant power supply apparatus and the common power supply wiring are wired to a backboard. | 01-29-2009 |
20090031150 | Storage controller and method for controlling the same - A storage controller that can maintain its performance and reduce power consumption and thereby realize large capacity and low power consumption, and a method for controlling such a storage controller are provided. | 01-29-2009 |
20090031151 | INFORMATION TERMINAL DEVICE AND OPTION UNIT THEREFOR - According to one embodiment, there is provided an information terminal device which receives power via a communication cable from a power sourcing device recognizes a class identifying power-sourcing characteristics on the basis of response characteristics to a defined value voltage given in authentication and which is configured to connect an option unit. The information terminal device comprises a resistor unit which is disposed on a power sourcing line from the communication cable and configured to vary a resistor value, a control unit which recognizes a class of the information terminal device itself and sets a resistor value in order to achieve response characteristics corresponding to the recognized class, and a storing unit which stores the set resistor value. | 01-29-2009 |
20090031152 | METHOD FOR STARTING UP AT LEAST ONE FIELD DEVICE - Disclosed is a method for starting up of at least one first field instrument, wherein the method comprises the step of signaling a firs demand for electrical power output of the first field instrument over a first port to a supply unit. According to this method, the first field instrument is previously connected to the supply unit over the first port by means of a first communication connection. In addition, the reception of the power output is effected according to the first demand for power output by the first field instrument over the first communication connection and the first port, by which the first filed instrument is activated. In an additional step, a power usage unit of the first field instrument is assigned to the first port, wherein the power usage unit is provided as consumer load for the power output. | 01-29-2009 |
20090037750 | MAKING A STORAGE DEVICE UNUSABLE UNTIL A REQUEST IS PROVIDED TO RECOVER AN OPERATING SYSTEM OR SYSTEM FIRMWARE - A system comprises a storage device comprising code that is executable to cause recovery of at least one of an operating system and system firmware. Logic coupled to the storage device is also provided to cause the storage device to be unusable until a request is provided to recover at least one of the operating system and system firmware. | 02-05-2009 |
20090037751 | POWER REGULATOR CIRCUIT OF A MOTHERBOARD - An exemplary power regulator circuit of motherboard includes a power connector comprising a power supply on pin, a power good pin and a first power pin for being coupled to an ATX power supply, the power good pin providing a first power good signal; an electric switch having a first terminal connected to the power supply on pin of the power connector, a second terminal connected to the power good pin of the power connector, and a grounded third terminal; a diode with the cathode connected to the power good pin of the power connector, and the anode connected to the first power pin of the power connector via a first resistor and grounded via a first capacitor; and an output terminal connected to the anode of the diode to provide a second power good signal. | 02-05-2009 |
20090037752 | Power Supply Apparatus with System Controller - In a power supply apparatus for supplying a target power supply voltage to a microprocessor, a system controller sets the target power supply voltage to be supplied to the microprocessor based on a voltage configuration signal outputted from the microprocessor and outputs a voltage setting signal corresponding to the target power supply voltage. The regulator circuit generates the target power supply voltage set by the system controller based on the voltage setting signal outputted from the system controller and supplies the voltage to the microprocessor | 02-05-2009 |
20090037753 | Methods and apparatus to selectively power functional units - A processing engine fetches one or more lines of software instructions into an instruction cache. Based on the contents of the cache, potentially needed functional units are identified as functional units that are operable to execute at least one software instruction stored within the instruction cache. Unneeded functional units are identified as functional units that are not operable to execute a software instruction stored within the instruction cache. A power increase is initiated for selected ones of the potentially needed functional units that are determined to be in a low power state. A power decrease is initiated for selected ones of the unneeded functional units that are determined to be in an operable power state. | 02-05-2009 |
20090044026 | Integrated uninterrupted power supply unit - A system may provide integrated uninterrupted power supply for computer systems. The system comprise a first unit that outputs an AC input voltage, and produces an AC output voltage from a battery voltage in response to the AC input voltage being absent; and a second unit that produces a DC output voltage from the AC input voltage, and produces the DC output voltage from the battery voltage in response to the AC input voltage being absent. | 02-12-2009 |
20090044027 | LIMITING POWER CONSUMPTION BY CONTROLLING AIRFLOW - A power management scheme is disclosed wherein power limits are imposed on devices of an electronic system using selective airflow reduction. In one embodiment, each server in a rack system includes a throttling system for maximizing processor throughput within a fixed temperature constraint. An airflow system is capable of providing a variable amount of airflow to each server. The power consumption for each server is detected by an external power meter circuit and monitored by a controller operatively connected to the airflow source. The controller selectively reduces the amount of airflow to each server in relation to its power consumption, to induce an amount of throttling of each server sufficient to impose its respective power limit. | 02-12-2009 |
20090044028 | PORTABLE COMPUTER WITH SHARED HARDWARE RESOURCES - The invention provides a portable computer with shared hardware resources that can be used by other computers. The portable computer includes an interface converter, a control module, a power management module, and a power path switch. When the portable computer is powered off and connected with a computer host through a serial bus interface, the shared hardware resources of the portable computer obtain power from the computer host through the serial bus interface. The interface converter converts data between a shared hardware resource interface format and a serial bus interface format, and enables the shared hardware resources to communicate with the computer host and therefore are employed by the computer host. In one embodiment, the shared hardware resources include a keyboard. In another embodiment, the shared hardware resources include a keyboard and a display. | 02-12-2009 |
20090044029 | COMPUTER APPARATUS, STORAGE APPARATUS, SYSTEM MANAGEMENT APPARATUS, AND HARD DISK UNIT POWER SUPPLY CONTROLLING METHOD - To provide a storage system capable of minimizing a performance deterioration, saving power consumption, and realizing a high reliability. A storage system according to the present invention includes a computer, a storage apparatus | 02-12-2009 |
20090044030 | APPARATUS FOR DYNAMIC POWER MANAGEMENT IN AN EXECUTION UNIT USING PIPELINE WAVE FLOW CONTROL - Power is conserved by dynamically applying clocks to execution units in a pipeline of a microprocessor. A clock to an execution unit is applied only when an instruction to the execution unit is valid. At other times when the execution unit needs not to be operational, the clock is not applied to the execution unit. In a preferred embodiment of the invention, a dynamic clock-control unit is used to provide a control signal to a local clock buffer providing a local clock to an execution unit. | 02-12-2009 |
20090044031 | POWER COMBINING POWER SUPPLY SYSTEM - A power supply system comprises a low-speed power supply and a high-speed power supply configured to operate in first and second frequency ranges, respectively, and generate first and second outputs, respectively. The lower end of the second frequency range is at least higher than a lower end of the first frequency range. A frequency blocking power combiner circuit combines the power from the first output with the power from the second output to generate a combined, third output for driving a load, while providing frequency-selective isolation between the first and second outputs. A feedback circuit is coupled to receive the combined, third output through a global feedback loop. The feedback circuit generates first and second power supply control signals for controlling the low-speed power supply and the high-speed power supply, respectively, based on a difference between the third output and the predetermined control signal. | 02-12-2009 |
20090049312 | Power Management for System Having One or More Integrated Circuits - Power management control software including power management policies is provided with those policies divided into observation code and response code. When predetermined execution points within the operating system | 02-19-2009 |
20090049313 | Proactive Power Management in a Parallel Computer - Proactive power management in a parallel computer, the parallel computer including a service node and a plurality of compute nodes, the service node connected to the compute nodes through an out-of-band service network, each compute node including a computer processor and a computer memory operatively coupled to the computer processor. Embodiments include receiving, by the service node, a user instruction to initiate a job on an operational group of compute nodes in the parallel computer, the instruction including power management attributes for the compute nodes; setting, by the service node in accordance with the power management attributes for the compute nodes of the operational group, power consumption ratios for each compute node of the operational group including a computer processor power consumption ratio and a computer memory power consumption ratio; and initiating, by the service node, the job on the compute nodes of the operational group of the parallel computer. | 02-19-2009 |
20090049314 | Method and System for Dynamic Voltage and Frequency Scaling (DVFS) - Methods and systems for dynamic voltage and frequency scaling (DVFS) may include monitoring change in resource utilization of an electronic device. If the change is greater than a threshold amount, a frequency of at least one clock and/or voltage for at least one voltage island may be adjusted. The resource utilization may be measured as, for example, a number of instructions executed per second. The frequency and/or voltage adjustment may depend on one or more operating points that may correspond to a power management state. An interrupt received in a power management state may also indicate an operating point. If resource utilization has increased, the frequency/voltage may be increased. Similarly, in cases where resource utilization has decreased, the frequency/voltage may be decreased. Voltage to circuits using the clock may be increased prior to increasing the clock frequency, and the voltage may be decreased after decreasing the clock frequency. | 02-19-2009 |
20090055661 | ALWAYS-ON SYSTEM - The invention relates to an always-on system mainly provided with a control unit to govern a power supply switch unit. When one of main power supply units or a bypass power supply unit of an external power supply device is to be installed or uninstalled, a user can operate the control unit to enable the power supply switch unit to switch to the main power supply unit or the bypass power supply unit that is not to be installed or uninstalled to provide power for loads connected with the always-on system to operate continuously, without interrupting the operation of the load. | 02-26-2009 |
20090055662 | MIDSPAN POWERING IN A POWER OVER ETHERNET SYSTEM - A midspan power sourcing equipment (PSE) for operation with power over Ethernet (PoE). The midspan PSE provides powering over wire pairs that are also used for data communication. To ensure compatibility with legacy Ethernet devices, the ports used for transmission of data are designed to present an increased level of inductance. | 02-26-2009 |
20090055663 | POWER SUPPLY CONTROL SIGNAL GENERATING CIRCUIT - A power supply control signal generating circuit includes a connector, a switch, a transistor, and an input-output (I/O) controller. The connector includes a first pin and a grounded second pin. The switch has two terminals respectively coupled to the first pin and the second pin of the connector. The transistor has its base coupled to the first pin of the connector and a power supply via a first resistor, its collector coupled to the power supply via a second resistor, and its emitter grounded. The I/O controller has an input terminal coupled to the collector of the transistor, and outputs a power supply control signal at the output terminal. | 02-26-2009 |
20090055664 | Communication Device - A communication device includes a bus including a signal line supplied with a pull-up voltage Vp, a first power supply operating during both a standby state and a power-ON state, and supplies a source of a first predetermined voltage of 3.3V, a second power supply operating during only the power-ON state, and supplies a source of a second predetermined voltage of 5V, a first device driven by the first power supply, and capable of communicating via the bus when the pull-up voltage Vp is equal to either of 3.3V and 5V, a second device driven by the second power supply, and capable of communicating via the bus when the pull-up voltage Vp is equal to 5V, and a pull-up voltage supply circuit supplying the pull-up voltage Vp by the first power supply during the standby state, and supplying the pull-up voltage Vp by the second power supply during the power-ON state. | 02-26-2009 |
20090063873 | DOCUMENT VIEWING MODE FOR BATTERY POWERED COMPUTING DEVICES - Embodiments of the present invention address deficiencies of the art in respect to document viewing within a battery powered computing device and provide a method, system and computer program product for the power optimized use of a battery powered computing device for selective document viewing. In one embodiment, a battery powered computing device configured for power optimized selective document viewing can be provided. The device can include a central processing unit (CPU) and a microcontroller, both coupled to a battery, static memory, and a display within a single computing case. The device further can include a boot read only memory (ROM) programmed to selectively bootstrap into either a personal computing mode powering the CPU and display, or a document viewing mode powering the microcontroller and display. | 03-05-2009 |
20090063874 | Power sharing between midspan and endspan for higher power PoE - Methods and systems for higher power PoE are provided. Embodiments overcome system limitations to PSE power scaling by using an endspan-midspan configuration which allocates power to the PD from both an endspan PSE and a midspan PSE. Embodiments are particularly suitable for deployed PoE systems having limited power supplies and/or ports designed for lower power. Further, embodiments include power management schemes to enable the proposed endspan-midspan configuration to intelligently allocate power between the endspan PSE and the midspan PSE according to required PD power. | 03-05-2009 |
20090063875 | DATA PROCESSING DEVICE, POWER SUPPLY VOLTAGE GENERATOR AND METHOD OF CONTROLLING POWER SUPPLY VOLTAGE THEREOF - A data processing device including a power supply terminal having a first power supply voltage applied thereto; a regulator that generates a second power supply voltage based on the first power supply voltage; an internal circuit having an operation clock, wherein the second power supply voltage is supplied to the internal circuit through a power supply; and a power supply voltage variation suppressor connected between the power supply terminal and the power supply line. In this device, the power supply voltage variation suppressor sets an auxiliary period and supplies auxiliary current to the power supply line during the auxiliary period, and wherein the auxiliary period is synchronized with the operation clock of the internal circuit. | 03-05-2009 |
20090063876 | INFORMATION PROCESSING APPARATUS AND SEMICONDUCTOR INTEGRATED CIRCUIT - The information processing apparatus equipped with a microprocessor is provided. The information processing apparatus equipped with a microprocessor includes: an operation clock signal generator that generates a frequency-variable operation clock signal supplied to the microprocessor; and a power supply voltage generator that determines a value of a power supply voltage to be supplied to the microprocessor according to a logarithm of a frequency of the operation clock signal and generates the power supply voltage. | 03-05-2009 |
20090070602 | System and Method of Executing Instructions in a Multi-Stage Data Processing Pipeline - A device is disclosed that includes an instruction execution pipeline having multiple stages for executing an instruction. The device also includes a control logic circuit coupled to the instruction execution pipeline. The control logic circuit is adapted to skip at least one stage of the instruction execution pipeline during execution of the instruction. The control logic circuit is also adapted to execute at least one non-skipped stage during execution of the decoded instruction. | 03-12-2009 |
20090070603 | SYSTEM AND METHOD FOR SECURE COMMUNICATION FOR POWER OVER ETHERNET BETWEEN A COMPUTING DEVICE AND A SWITCH - A system and method for secure communication for power over Ethernet (PoE) between a computing device and a switch. Various power management information can be used as inputs in a process for determining a power request/priority. This power management information can be communicated in Layer 2, Layer 3, or higher messaging during initial power allocation and ongoing power reallocation. Encryption of such messaging enables confidentiality, secure allocation processes, and prevention of denial of service attacks. | 03-12-2009 |
20090077392 | COMPUTER APPARATUS, STORAGE APPARATUS, SYSTEM MANAGEMENT APPARATUS, AND HARD DISK UNIT POWER SUPPLY CONTROLLING METHOD - To provide a storage system capable of minimizing a performance deterioration, saving power consumption, and realizing a high reliability. A storage system according to the present invention includes a computer, a storage apparatus | 03-19-2009 |
20090083549 | TRANSMISSION IMPEDANCE FOR MIDSPAN POWERING IN A POWER OVER ETHERNET APPLICATION - A midspan power sourcing equipment (PSE) for operation with power over Ethernet (PoE). The midspan PSE provides powering over wire pairs that are also used for data communication. To ensure compatibility with legacy Ethernet devices, the ports used for transmission of data are designed with a low effective impedance at a frequency of operation. | 03-26-2009 |
20090083550 | FOUR-PAIR MIDSPAN POWERING IN A POWER OVER ETHERNET APPLICATION - A midspan power sourcing equipment (PSE) that supports four-pair powering in a power over Ethernet (PoE) applications. The midspan PSE can provide power to two separate end devices using a single cable. To ensure compatibility with legacy Ethernet devices, the ports used for transmission of data are designed with a sufficient inductance level or a low effective impedance at a frequency of operation. | 03-26-2009 |
20090083551 | DYNAMICALLY MANAGING THERMAL LEVELS IN A PROCESSING SYSTEM - A technique to dynamically maintain the thermal levels of a plurality of cores of a processing system by interleave core hopping with throttling techniques. The interleaving logic may transfer execution of threads from a hot core to a cold if core hopping is applicable. Core hopping may be applicable if there exist a cold core to which the execution of threads can be assigned to from a hot core and if the rate of occurrence of core hopping is within an allowable rate value. The interleaving logic may apply throttling techniques if core hopping is not applicable. The throttling techniques may throttle the throttling parameters, which may comprise voltage, frequency, and micro-architecture throttling parameters provided to the hot core if the core hopping is not applicable. | 03-26-2009 |
20090083552 | SYSTEM AND METHOD FOR MULTIPLE POE POWER SUPPLY MANAGEMENT - A system and method for multiple power over Ethernet (PoE) power supply management. Power supply status signals indicative of an operating condition of a plurality of PoE power supplies are provided to a plurality of power sourcing equipment (PSE) controller chips. Pre-configured combination logic within each of the PSE controller chips converts an indicated operational state of the plurality of PoE power supplies into a powering decision for each of the Ethernet ports served by the PSE controller chip within one microsecond. | 03-26-2009 |
20090083553 | DUAL PROCESSOR POWER SUPPLY - A power supply includes multiple power cells and a master control system in communication with each of the power cells. The master controller includes a control processor configured to receive power cell control information and a host in communication with the control processor wherein the host is configured to receive command and status information. | 03-26-2009 |
20090083554 | DYNAMIC CORE SWAPPING - An embodiment of the present invention is a technique to dynamically swap processor cores. A first core has a first instruction set. The first core executes a program at a first performance level. The first core stops executing the program when a triggering event occurs. A second core has a second instruction set compatible with the first instruction set and has a second performance level different than the first performance level. The second core is in a power down state when the first core is executing the program. A circuit powers up the second core after the first core stops executing the program such that the second core continues executing the program at the second performance level. | 03-26-2009 |
20090089594 | Method and System to Validate Physical and Logical System Connectivity of Components in a Data Processing System - A method, system, and computer program product are provided for validating a connection of powered elements within a data processing system. A request for data is issued to a set of powered elements using a set of communication channels. The set of communication channels comprises one or more alternating current power lines. The request is sent as a set of instructions injected onto the communication channels to the set of powered elements. Data received from the set of powered elements using the set of communication channels includes physical connection information for the set of powered elements. A determination is made as to whether each powered element in the set of powered elements is connected in a predetermined configuration. A notification is presented to a user identifying each powered element in the set of powered elements that is not connected in the predetermined configuration. | 04-02-2009 |
20090089595 | Managing Computer Power Among A Plurality Of Computers - Methods, systems, and computer program products are provided for managing computer power among a plurality of computers so that the aggregate power consumption does not exceed a maximum subscription amount, the maximum subscription amount comprising the maximum amount of power that can be supplied to the plurality of computers. Embodiments include monitoring, by a central power management module, aggregate power consumption of the plurality of computers; the central power management module and the computers coupled for data communications through a power management network; determining, by the central power management module, whether the aggregate power consumption exceeds a predetermined maximum aggregate power threshold, the maximum aggregate power threshold less than the maximum subscription amount; if the aggregate power consumption exceeds the predetermined maximum aggregate power threshold, throttling-down the plurality of computers, reducing the aggregate power consumption to a level below the predetermined maximum aggregate power threshold. Typical embodiments also include determining, by a central power management module, whether the aggregate power consumption is below a predetermined minimum aggregate power threshold; and if the aggregate power consumption is below a predetermined minimum aggregate power threshold, throttling-up the plurality of computers increasing the aggregate power consumption to a level that exceeds the predetermined minimum threshold. | 04-02-2009 |
20090089596 | Method and Apparatus for Mitigating Current Drain in a Low-Power Hand-Held Device - An authentication device or other type of low-power hand-held device comprises a processor, an external button alternately configurable in an unpressed state and a pressed state, and current drain mitigation circuitry coupled to the external button and a corresponding input of the processor. The current drain mitigation circuitry is configured to connect the input of the processor to a first potential when the external button is in the unpressed state and to connect the input of the processor to a second potential different than the first potential when the external button is in the pressed state, thereby limiting current drain arising from the external button being stuck in the pressed state. | 04-02-2009 |
20090094465 | BATTERY PACK FOR PORTABLE COMPUTER - A first battery assembly is in a first battery housing that is mechanically engageable with a portable computer, and a second battery assembly is in a second battery housing that is mechanically couplable to the first battery housing. A switch has a first configuration in which communication is established between the portable computer and the first battery assembly and a second configuration in which communication is established between the portable computer and the second battery assembly. | 04-09-2009 |
20090094466 | PROCESS FIELD DEVICE WITH AUGMENTED LOOP POWER AND WIRELESS COMMUNICATION - A system for controlling and measuring a power source switches between a first source and a second source in rapid succession to help maintain function of a load. In particular, a primary source may be replaced or augmented by an auxiliary source. Fast switching is achieved by delivering current to the output load through a nonlinear device. A record of conditions when the power sources switch modes is maintained in memory. The record is used to anticipate subsequent mode switching events. | 04-09-2009 |
20090094467 | Multiple Computer Equipment and Management Method Thereof - An object of the present invention is to provide a management technique for managing power supply modules. In multiple computer equipment | 04-09-2009 |
20090100274 | SYSTEM AND METHOD FOR IMPLEMENTING FAIRNESS IN THE POWERING OF COMPUTING DEVICES IN A POWER OVER ETHERNET APPLICATION - A system and method for implementing fairness in the powering of computing devices in a power over Ethernet (PoE) application. Power supplies in a power sourcing equipment are often oversubscribed. This oversubscription can lead to starvation of certain computing devices that have power requests that are not granted relative to competing requests. A fairness consideration can be implemented to ensure that starvation conditions are avoided. | 04-16-2009 |
20090100275 | Dynamic port power allocation apparatus and methods - Methods and apparatus for dynamically adjusting the amount of power (or current) distributed to one or more connected devices via electrical interfaces. In one embodiment, the apparatus comprises a first module adapted to detect current drawn by a first set of ports, and a second module adapted to adjust the current provided to a second set of ports based on the detected current. The second module is also optionally adapted to distribute unreserved current among the devices according to an allocation protocol. In the exemplary context of a plurality of interconnected serial bus devices, the invention enables a device to draw more current than that required to be reserved for that device (such as to comply with a specification such as USB), yet without increasing the total amount of power which must be dedicated to the serial ports as a whole. Power supply efficiency may also be advantageously optimized. | 04-16-2009 |
20090100276 | SYSTEM AND METHOD FOR CONTROLLING VOLTAGE LEVEL AND CLOCK FREQUENCY SUPPLIED TO A SYSTEM - A system that includes at least one component adapted to execute at least one application, characterized by including a controller adapted to receive at least one load indication of at least one component of the system and to selectively alter at least one control parameter of a voltage and clock frequency management scheme; whereas the system is adapted to apply the voltage and clock frequency management scheme. A method for controlling voltage level and clock frequency supplied to a system, the method includes receiving at least one load indication of at least one component of the system; characterized repeating the stages of: selectively altering at least one control parameter of a voltage and clock frequency management scheme; and applying the voltage and clock frequency management scheme. | 04-16-2009 |
20090100277 | Electrical power sharing circuit - An electrical power sharing circuit applied in a computer system includes first and second sense units and first and second control units. The first and second sense units are electrically connected to a central processing unit (CPU) and a graphical processing unit (GPU) of the computer system and thus generate first and second sense values, respectively. The first control unit is electrically connected to the first and second sense units, the CPU and the GPU and receives the first and second sense values. The second control unit is electrically connected to the first and second sense units and the first control unit, receives the first and second sense values and provides a reference value to the first control unit. The first control unit controls performances of the CPU and the GPU according to the reference value. | 04-16-2009 |
20090100278 | Method and Apparatus for Managing Power Consumption Relating to a Differential Serial Communication Link - Briefly, a method, apparatus and system for managing power corresponding to a differential serial communication link that has a link width defined for example by one or more lanes wherein the lanes are adapted to communicate clock recovery information in a data stream, determines, during normal operating conditions, such as conditions other than power on, reset or link fault conditions, a desired link width for the serial communication link and then changes the link width accordingly. | 04-16-2009 |
20090106564 | ACOUSTIC NOISE REDUCTION IN POWER SUPPLY INDUCTORS - Embodiments of the present invention provide an apparatus that reduces an audible noise produced in a power supply. The apparatus includes: (1) a housing; (2) an inductor coil formed from a coil of wire enclosed in the housing; (3) a set of wires that are coupled from the inductor coil to the outside of the housing through corresponding apertures in the housing, comprising electrical leads for the inductor coil; and (4) a predetermined amount of adhesive in the apertures that bonds the wires to the housing to reduce an audible noise produced when the current through the inductor coil is cycled quickly. | 04-23-2009 |
20090106565 | POWER CONTROL APPARATUS FOR MOTHERBOARD - A power control apparatus for automatically turning on or off a motherboard includes a first connector, a second connector, and a control circuit. The control circuit includes a timing chip, a first variable resistor, a second variable resistor, a first capacitor, a first switch element, and a second switch element. When the first capacitor is charged, the output terminal of the timing chip outputs a high level signal, the first switch element and the second switch element are turned on, the control circuit outputs a 5V voltage to the motherboard. When the first capacitor discharges, the output terminal of the timing chip outputs a low level signal, the first switch element and the second switch element are turned off, the control circuit does not output 5V voltage to the motherboard. | 04-23-2009 |
20090106566 | Power supply for plasma display panel, plasma display device including the same, and associated methods - A power supply of a plasma display device includes a power source unit configured to convert a direct current source into an alternating current source, a transformer including a primary side winding electrically coupled to the power source unit and a secondary side winding having a first winding and a second winding, a sustain power supply electrically coupled to the first winding of the secondary side of the transformer, the sustain power supply configured to output a first voltage to a first voltage output terminal, and an address power supply electrically coupled to the second winding of the secondary side and serially connected to the sustain power supply, the address power supply configured to output a second voltage to a second voltage output terminal. | 04-23-2009 |
20090106567 | LAPTOP AND PORTABLE ELECTRONIC DEVICE WIRELESS POWER SUPPLY SYSTEMS - The present invention provides wireless power supply systems intended primarily for use in powering and charging laptops and other portable electronic devices. In one embodiment, the power supply system includes a laptop cradle that can be used in upright or reclined positions. The cradle may be generally J-shaped and may house the wireless power supply circuit with the channel defined in the cradle. The cradle may includes a plurality of primaries to provide the ability to supply power to more than one device and/or to permit flexibility in placement of devices on or in the cradle. The present invention may also provide handheld cradles sized to power handheld devices that can be separate, standalone power supply stations or they can receive power from the laptop cradle. In an alternative embodiment, the power supply station may be incorporated into an adjustable height desktop pedestal. In yet another embodiment, the power supply station may be incorporated into a flexible desktop mat. The power supply station may be incorporated into a power block having one or more magnets that draw the power block into alignment. In another alternative embodiment, the power supply station is incorporated directly into items of luggage. | 04-23-2009 |
20090106568 | PASSIVE CLIENT-HOST DETECTION FOR CONNECTED PERIPHERALS - A client-host detection device for detecting connecting a connected peripheral is disclosed herein. The device comprises a peripheral sensor connected to a terminal, a terminal sensor connected to or for connection to a peripheral and a terminal electrical connector connected to the terminal for electrical connection with a peripheral electrical connector connected to a peripheral. The peripheral sensor is positioned to sense the terminal sensor upon connection of the terminal electrical connector with the peripheral electrical connector. The device allows for an electrical connector to be maintained in an unpowered state unless a peripheral is connected thereby reducing or preventing de-plating of the contacts of the electrical connector caused by conductive solution across the contacts as a result of the voltage on the connections. The device also allows for the use of an unpowered peripheral. | 04-23-2009 |
20090106569 | Apparatus and method for controlling voltage and frequency in network on chip - An apparatus and a method for controlling a power in a Network on Chip (NoC) are provided. The apparatus includes a storage for storing status information of links interconnecting processing elements (PEs), link configuration information for establishing paths between the PEs, and voltage and clock information of the links according to a bandwidth; and a control device for establishing paths between the PEs to transmit data by checking the link configuration information in the storage, and controlling the voltage and the clock of the links by determining the voltage and the clock of the links of the established paths according to a bandwidth required for the data transmission of the PEs. | 04-23-2009 |
20090106570 | POWER OFF CONTROLLERS AND MEMORY STORAGE APPARATUS INCLUDING THE SAME AND METHODS FOR OPERATING THE SAME - Memory storage apparatus include a non-volatile memory for storing data and a power management unit configured to sense a level of an external power supply and to predict a loss of the external power supply. A power-polling time control circuit is configured to control a time when a voltage level sourced from the external power supply is reduced below a predetermined level after loss of the external power supply. A control logic controls read and/or write operations of the non-volatile memory responsive to a prediction of loss of the external power supply from the power management unit. | 04-23-2009 |
20090119519 | System and method for dynamic loop compensation for voltage mode control - A voltage regulator provides power to an information handling system component under management by a controller, such as a Buck circuit managed by a PID controller according to PID coefficient settings. An update module interfaced with the voltage regulator monitors operating conditions of the voltage regulator to update the coefficients used by the controller. For example, changes in the load applied to the voltage regulator and an inductance associated with the voltage regulator are used to periodically compute updated PID coefficients, which are then used by the controller to control the voltage regulator. | 05-07-2009 |
20090119520 | INFORMATION PROCESSING APPARATUS - According to an aspect of the present invention, there is provided an information processing apparatus including: a connection port to which an external device is connected; a charge portion that performs a charge operation to the external device connected to the connection port in a first mode or in a second mode according to a charge control signal; an update portion that toggles a charge designating data between a first state corresponds to the first mode and a second state corresponds to the second mode; and a setting portion that sets the charge control signal in accordance with the charge designating data when the charge designating data is updated by the update portion. | 05-07-2009 |
20090125730 | Managing Power Consumption In A Computer - Methods, apparatus, and products are disclose for managing power consumption in a computer, the computer including random access memory (‘RAM’) implemented in two or more memory modules, the computer having installed upon it an operating system, the operating system including a power management module, that includes: freeing, by the operating system from one or more of the memory modules, at least the amount of allocated memory in one memory module; selecting, by the operating system, at least one memory module to power down; moving, by the operating system, content of the selected memory module to other memory modules; and powering, by the operating system, down the selected memory module. | 05-14-2009 |
20090125731 | CORE VOLTAGE CONTROLLING APPARATUS - A core voltage controlling apparatus suitable for a center processing unit (CPU) is provided. The apparatus includes a level shifting unit, a time-delay unit and a logic unit. An input terminal of the level shifting unit receives and shifts a first voltage signal, and an output terminal generates a second voltage signal, in which the first voltage signal indicates a power-on stable state, and the second voltage signal indicates a magnitude of the core voltage. The time-delay unit delays the second voltage signal to generate a third voltage signal. The logic unit is coupled to the time-delay unit for performing a logic operation on the third voltage and a fourth voltage signal transmitted by a power supply, and generating a fifth voltage signal for controlling a core voltage generator whether to provide the core voltage to the CPU or not, in which the fourth voltage signal indicates a power state. | 05-14-2009 |
20090125732 | NETWORK-CONNECTABLE DEVICE AND METHOD FOR MANAGING POWER THEREOF - A network-connectable device is configured to communicate with one or more computer-related devices via a network. The network-connectable device includes: a mode selector configured to select a power mode between a first power mode and a second power mode; a power state switching unit operable on the first power mode and configured to: turn on power of the network-connectable device when one of the computer-related devices is connected to the network in a state where no computer-related device has been connected to the network; and shut down the power no computer-related device is connected to the network; and a power state maintaining unit operable on the second power mode and configured to maintain the power of the network connectable device in a same state regardless of whether the computer-related devices are connected to or disconnected from the network. | 05-14-2009 |
20090132834 | Distributing Integrated Circuit Net Power Accurately in Power and Thermal Analysis - A method, system, and computer program product are provided for distributing net power accurately. A workload is simulated operating on an integrated circuit. Net switching activity is determined for a set of nets and a set of subnets in the integrated circuit. Net switching data is generated based on the net switching activity. A net power value is calculated for each individual net and each individual subnet using the net switching data and a net capacitance for each individual net or subnet. Each calculated net power value is assigned to one of a set of source devices that drives the individual net or subnet, wherein the net power is distributed accurately. A net power assignment list is generated based on the assigning of each net power value to one of the set of source devices that drives the individual net or subnet. | 05-21-2009 |
20090132835 | METHOD AND SYSTEM FOR POWER-STATE TRANSITION CONTROLLERS - Power-state transitioning arrangements are implemented using a variety of methods. Using one such method, a power-state transitioning circuit arrangement is implemented having a processing circuit that does not include an arithmetic logic unit. A power-state transition script including instructions from an instruction set is stored in a memory circuit. The processing circuit implements the power-state transition script to facilitate a change in a power-state of another processor circuit. | 05-21-2009 |
20090138732 | Network Type Power Distribution Device - A network type power distribution device includes a set of program memory, a set of data memory, a micro-controller, a data buffer zone, a control input or output module, an analog-digital converter, a transceiver and an Ethernet port. The network type power distribution device is a single layer structure, which uses a micro-controller to process network information and electricity information directly, wherein micro-controller and software control power management and network communication. Accordingly, it does not need serial communication connection in the interior to solve the problems of waiting time in information transformation and network brand width waste. | 05-28-2009 |
20090138733 | System and Method for Throttling Memory Power Consumption - A power throttling method and system for a memory controller in a computer system comprising a power supply module including a plurality of bulk power supplies (“BPSs”) are described. In one embodiment, each of the at BPSs provides to a power output monitor a status signal indicative of a status thereof. Responsive to receipt of the status signals, the power output monitor determines whether a bulk power supply capacity is below system power requirements. Responsive to a positive determination, the power output monitor drives a throttle control signal to the memory controller to a level indicative of an over-threshold state. | 05-28-2009 |
20090144566 | Method for Equalizing Performance of Computing Components - A performance measure (e.g., processor speed) for computing components such as servers is optimized by creating models of power consumption versus the performance measure for each server, adding the power models to derive an overall power model, and calculating an optimum set point for the performance measure which corresponds to a power limit on the servers using the overall power model. The set point is then used to set power budgets for the servers based on their power models, and the servers maintain power levels no greater than their respective power budgets. The server power models are preferably created in real time by monitoring power consumption and the performance measure to derive sets of data points for the servers, and performing regression on the sets of data points to yield power models for the servers. Multiple server power models may be created for different program applications. | 06-04-2009 |
20090144567 | BLADE SERVER - A blade server that includes at least two data processing modules for receiving data and processing the received data; an exchange backboard for achieving data exchange between the data processing modules, and also for achieving data exchange between each of the data processing modules and an interconnection module; the interconnection module for achieving connection and high-speed data exchange between the data processing modules; an I/O interface module for achieving a connection between the blade server and an external network; and a management and control module for controlling the switching between the data processing modules and also for monitoring and managing the blade server. The blade server unites multiple networks such as a high-speed network, an Ethernet, a KVM network and a management network, reduces the cost for high-speed exchange, and reduces the delay for network exchange. | 06-04-2009 |
20090144568 | APPARATUS AND METHOD FOR MODULAR DYNAMICALLY POWER MANAGED POWER SUPPLY AND COOLING SYSTEM FOR COMPUTER SYSTEMS, SERVER APPLICATIONS, AND OTHER ELECTRONIC DEVICES - Network architecture, computer system and/or server, circuit, device, apparatus, method, and computer program and control mechanism for managing power consumption and workload in computer system and data and information servers. Further provides power and energy consumption and workload management and control systems and architectures for high-density and modular multi-server computer systems that maintain performance while conserving energy and method for power management and workload management. Dynamic server power management and optional dynamic workload management for multi-server environments is provided by aspects of the invention. Modular network devices and integrated server system, including modular servers, management units, switches and switching fabrics, modular power supplies and modular fans and a special backplane architecture are provided as well as dynamically reconfigurable multi-purpose modules and servers. Backplane architecture, structure, and method that has no active components and separate power supply lines and protection to provide high reliability in server environment. | 06-04-2009 |
20090150686 | ELECTRICAL POWER SYSTEM EVENT DETECTION AND ANTICIPATION - A method for analyzing an electrical power system includes analyzing data representing a signal of interest of the power system, the data containing at least one power system event; and based on the analysis, associating the power system event with a root cause. A computer program product is also provided for carrying out the method. | 06-11-2009 |
20090150687 | POWER SHARING BETWEEN PORTABLE COMPUTER SYSTEM AND PERIPHERAL DEVICES - A method and apparatus that allows for controlling operating time of a portable computer system and a peripheral device. A portable computing system that includes a rechargeable power supply and that includes a connection mechanism for coupling to a peripheral device is used to control operating time of the portable computer system and the peripheral device. In one embodiment, a user can choose between maximizing the operating time of the portable computer, maximizing the operating time of the peripheral device, or maximizing the life of the entire system (maximizing the operating time of the portable computer system and the peripheral device). When operating time of the portable computer system is to be maximized, power is sent from the peripheral device to the portable computer system to extend the operating time of the portable computer system. Similarly, when operating time of the peripheral device is to be maximized, power is sent from the rechargeable power supply of the portable computer system to the peripheral device to extend the operating time of the peripheral device. When operating time of the entire system is to be maximized, power is moved such that the operating time for the portable computer system is equal to the operating time of the peripheral device. | 06-11-2009 |
20090150688 | METHOD FOR EFFICIENT SUPPLY OF POWER TO A MICROCONTROLLER - A method and a system for supplying power to a microcontroller with a single cell. One embodiment of the present invention discloses incorporation of a power supply pump circuit with the microcontroller and their dynamic interaction. The microcontroller sends its power requirements to the power supply pump circuit and in response, the power supply pump circuit controls the operating voltage with optimal efficiency. The dynamic update of power supply pump circuit results in an efficient use of the power supply pump circuit and thus results in a reduction of the number of dry cell batteries to only a single cell. Incorporation of the microcontroller and power supply pump circuit onto a single chip reduces the pin number requirements as well as the space required on the printed circuit board. | 06-11-2009 |
20090150689 | Data Path Controller With Integrated Power Management to Manage Power Consumption of a Computing Device and its Components - A data path controller, a computer device, an apparatus and a method are disclosed for integrating power management functions into a data path controller to manage power consumed by processors and peripheral devices. By embedding power management within the data path controller, the data path controller can advantageously modify its criteria in-situ so that it can adapt its power management actions in response to changes in processors and peripheral devices. In addition, the data path controller includes a power-managing interface that provides power-monitoring ports for monitoring and/or quantifying power consumption of various components. In one embodiment, the data path controller includes a power-monitoring interface for selectably monitoring power of a component. It also includes a controller for adjusting operational characteristics of the component for modifying the power consumed by the component to comply with a performance profile, which generally specifies permissible power consumption levels for the component. | 06-11-2009 |
20090150690 | PERSONAL COMPUTING DEVICE HAVING SINGLE-CELL BATTERY - The present invention features a personal computing device that may be powered by a single battery having a single lithium-ion cell or by a plurality of lithium-ion cells connected in parallel. The personal computing device may provide computing power comparable to that of conventional laptop computers and execute an operating system and application software comparable to that executed by conventional laptop computers. Furthermore, the battery's time between charging, when used to power the personal computing device, may be similar to the time between charging of a multi-cell battery when used to power a conventional laptop computer. | 06-11-2009 |
20090158056 | Battery Load Allocation in Parallel-Connected Uninterruptible Power Supply Systems - An uninterruptible power supply (UPS) of a UPS system including a plurality of UPSs connected in parallel at a load bus and configured to provide power thereto from respective batteries of a plurality of batteries is operated such that a difference between a variable, for example, battery voltage, indicative of battery capacity for a battery associated with the subject UPS and an average value of the variable for the plurality of batteries is determined and a power flow between the subject UPS and the load bus is controlled responsive to the determined difference. Controlling a power flow between the subject UPS and the load bus responsive to the determined difference may include, for example, controlling a phase of an inverter of the subject I 0 UPS responsive to the determined difference. | 06-18-2009 |
20090158057 | SYSTEM AND METHOD FOR INTERCHANGEABLY POWERING SINGLE OR MULTIPLE MOTHERBOARDS - In one embodiment, a single electrical power supply is used to interchangeably power either a single motherboard or dual motherboards. Switchable output power and individual sequencing may be provided to each motherboard using FETs, such that the power supply may respond to the sequencing of each motherboard as if it were dedicated to that motherboard. In a two motherboard system, power to the first motherboard is reduced by removing some output voltages from the first motherboard. Fault circuitry may also be provided so that a power related fault on one domain does not affect operation of the other motherboard. | 06-18-2009 |
20090158058 | ASSEMBLY OF A POWER SUPPLY TO A DATA ACCESS DEVICE - An assembly of a power supply to a data access device inside which a 3.5-inch hard disk and a circuit are provided. The hard disk is electrically connected to a signal and power conversion unit to obtain a required voltage and signal supplied by the signal and power conversion unit. Two connection terminals arranged on the signal and power conversion unit are respectively may transmit a signal and meanwhile carry a first voltage to supply power to a circuit and its electronic parts and may receive only a second voltage or convert the second voltage into a third voltage. Alternatively, dual sets of second and third voltage are optionally received as the power supplied to the 3.5-inch hard disk and the circuit and its electronic parts for a solution to a dual-channel multi-power and signal transmission. | 06-18-2009 |
20090158059 | VOLTAGE REGULATING CIRCUIT FOR MOTHERBOARD - An exemplary voltage regulating circuit for a motherboard includes a selecting switch and a first switch module, the selecting switch comprising a first input terminal arranged to receive a standby power provided by a power supply, a first control terminal arranged to receive a state signal from the motherboard via a first switch module controlled by a power good signal generated by the power supply, and an output terminal, wherein, when the motherboard is turned off, the state signal is at a high level and the first switch module is turned on by the power good signal for turning off the selecting switch to stop outputting the standby power. | 06-18-2009 |
20090158060 | Runtime control of system performance - An apparatus includes a hardware unit having an interface to a clock generator, an interface to a power supply and an interface to a software unit. The interface to the software unit is configurable to receive a request from the software unit that identifies at least one operating point for the apparatus. The hardware unit is operable to control at least one of the clock generator and the power supply so as to achieve the requested operating point. | 06-18-2009 |
20090158061 | Method and apparatus for on-demand power management - Embodiments of a method and an apparatus for on-demand power management of a processing system have been presented. In some embodiments, the apparatus includes a power management unit (PMU) to provide power to an electronic system. The apparatus further includes a power management controller (PMC) coupled to the power management unit, to control values of one or more parameters of an operating state of the PMU in response to the operating conditions of the electronic system. The PMC may execute a power management algorithm (PMA) to determine the values of the parameters. Further, the PMU and the PMC may reside on different integrated circuit substrates. | 06-18-2009 |
20090158062 | PERIPHERAL TELECOMMUNICATIONS DEVICE HAVING MOVABLE COVER WITH INTEGRATED ANTENNA - A computer peripheral telecommunications device having an electronic interface for connection to a computer and being configured for adding wireless telecommunication functionality to the computer, the device comprising a movable cover portion for covering the electronic interface while not in use and an antenna integrated in the movable cover portion. | 06-18-2009 |
20090158063 | METHOD AND DEVICE FOR DYNAMICALLY CONTROLLING POWER CONSUMPTION AND CONNECTION MODE OF NETWORK CARD - The invention provides a method and a device for dynamically control power consumption and a connection mode of a network card, which relates to a power saving technique for the network card. The method comprises steps of: monitoring a data transmission state in a network in real time, and obtaining a statistic value for the data transmission state in a current or a predetermined period; obtaining a target connection mode matching with the current data transmission based on the statistic value, and switching the connection mode of the network card to the target connection mode. In the embodiments of the present invention, when a network connection is established, it is not forced to a certain connection mode. The connection mode may be dynamically varied according to a load task by monitoring the data transmission state in the network and comparing with a switching condition. The connection mode may be dynamically switched among respective modes, so as to improve efficiency of the transmission bandwidth and implement an optimal dynamic match of the bandwidth/power consumption. | 06-18-2009 |
20090164805 | SYSTEM AND METHOD FOR ENABLING POWER OVER ETHERNET FOR LEGACY DEVICES - A system and method for enabling power over Ethernet (PoE) for legacy devices. Legacy devices often represent a large installed base of devices. This installed base of devices (e.g., mobile computing devices) may have little or no PoE functionality. It is a feature of the present invention that an external device (e.g., dongle) can be used to retrofit such an installed base of devices for use with state of the art PoE functionality. | 06-25-2009 |
20090164806 | APPARATUS, SYSTEM, AND METHOD FOR A HIGH EFFICIENCY REDUNDANT POWER ARCHITECTURE - An apparatus, system, and method are disclosed for providing regulated electric power. At least two power buses transfer regulated direct current (“DC”) power from at least four power supplies to an electric load. The power supplies receive electric power from one or more electric sources and convert the electric power to the regulated DC electric power. A switch is connected between each of the power buses and the electric load. Each switch connects and disconnects a power bus to the electric load and transfers the regulated DC electric power from the buses to the electric load. An output power bus connection is disposed on each of the power supplies. Each of the power buses is connected to at least two power supplies and each output power bus connection connects the corresponding power supply upon which the output power bus connection is disposed to exactly one power bus. | 06-25-2009 |
20090164807 | Self-configurable multi-regulator ASIC core power delivery - A method for operating an electronic product having an application specific semiconductor circuit (ASIC) including in its circuitry both a linear regulator module for use with an optional external capacitance and a capless regulator module coupled to internal capacitance of the product selects a low-power sub-module or high-power sub-module of the capless regulator module for use in a power-up phase of the ASIC. Control logic of the ASIC determines if an external capacitance is present. If so, then the high-power capless sub-module is used during a power-up phase of the ASIC; if not only the low-power capless sub-module is used during the power-up phase of the ASIC. After power-up of the ASIC, the control logic may select the linear regulator module for certain times of operation and the capless regulator module for other times of operation or it may select one or the other for all times of post-power-up operation. | 06-25-2009 |
20090164808 | MONITOR - A monitor includes a display device, a signal interface, a power interface, and a power controller. The display device is configured for displaying information to users. The signal interface is configured for receiving display signals, and providing the display signals for the display device. The power interface is configured for receiving power from an external power source, and providing the source for the display device. The power controller monitors the existence of the display signal at the signal interface, and accordingly controls the power interface to selectively provide the power for the display device. | 06-25-2009 |
20090164809 | POWER SUPPLY TESTING ARCHITECTURE - A power supply testing architecture for embedded sub-systems is described, where each embedded sub-system can have at least one testable internal voltage supply. A plurality of embedded sub-systems are organized into groups, where each group of sub-systems shares a common voltage test line connected to the internal voltage supplies of the sub-systems. Accordingly, the collective internal voltages of each group can be tested in parallel. A power control signal can disable the internal voltage supply of all the sub-systems to allow application of an external power to the common voltage test lines. Alternately, the sub-systems in each group can be tested sequentially, such that each enabled sub-system of the group has dedicated access to its common voltage test line. In such a scheme, dedicated power control signals are used to independently disable each sub-system of the groups. | 06-25-2009 |
20090172421 | FACILITATING COMMUNICATION AND POWER TRANSFER BETWEEN ELECTRICALLY-ISOLATED POWERED DEVICE SUBSYSTEMS - A system employing power over Ethernet (PoE) technology may include at least one powered device and power sourcing equipment (PSE). The powered device may include a first powered device (PD) subsystem and a second powered device (PD) subsystem that is electrically isolated from the first PD subsystem. The powered device may also include an interface connecting the first PD subsystem and the second PD subsystem. The PSE may be operable to provide power to one or more of the PD subsystems through a link connecting the PSE to the powered device. Also, the first PD subsystem may be operable to receive a communication from and transfer power to the second PD subsystem through the interface while maintaining the electrical isolation. | 07-02-2009 |
20090172422 | KEYBOARD WITH DETACHABLE RECHARGEABLE MOUSE - In one embodiment an electronic device comprising a keyboard coupled to a power supply and a mouse coupled to the keyboard. In one embodiment the keyboard further has a docking station into which the rechargeable mouse or other input device can be mounted. Furthermore, in one embodiment, the mouse can be used while in the keyboard or when separated from the keyboard through a wired or wireless connection. | 07-02-2009 |
20090172423 | METHOD, SYSTEM, AND APPARATUS FOR REROUTING INTERRUPTS IN A MULTI-CORE PROCESSOR - A method, system, and apparatus may route an interrupt to a first core of a plurality of cores of a multi-core system. If the first core is in an idle or low power state, or operating in a power state at or below a threshold power state, a core in a least idle state may be found. The interrupt may be rerouted to and processed by the core in the least idle state. Cores in a multi-core system may be rated based on for example, power states or other characteristics, and interrupts may be assigned based on these ratings. Other embodiments are described and claimed. | 07-02-2009 |
20090172424 | THREAD MIGRATION TO IMPROVE POWER EFFICIENCY IN A PARALLEL PROCESSING ENVIRONMENT - A method and system to selectively move one or more of a plurality threads which are executing in parallel by a plurality of processing cores. In one embodiment, a thread may be moved from executing in one of the plurality of processing cores to executing in another of the plurality of processing cores, the moving based on a performance characteristic associated with the plurality of threads. In another embodiment of the invention, a power state of the plurality of processing cores may be changed to improve a power efficiency associated with the executing of the multiple threads. | 07-02-2009 |
20090172425 | Digitally controlled dynamic power management unit for uninterruptible power supply - A memory system power management process includes providing a first level of power to operate a memory system while a primary power source is enabled, detecting an interruption of the primary power source, increasing a frequency of an oscillator driving a charge pump of a power converter providing the first level of power, and beginning a memory operation that increases a load on the power converter. | 07-02-2009 |
20090172426 | PORTABLE ELECTRONIC DEVICE HAVING SYNCHRONOUS PROCESSING MODULE - A portable electronic device includes a system chip, an enabling switch, a signal conversion circuit, a power switching circuit, a storage medium and a synchronous processing module. The enabling switch is triggered to issue an analog signal. The signal conversion circuit converts the analog signal into a digital control signal. The power switching circuit selects one of multiple power sources to be outputted as a voltage signal. The storage medium receives the voltage signal. The synchronous processing module is electrically connected to the system chip, the storage medium, the signal conversion circuit, the power switching circuit and the transmission line. In response to the digital control signal, the storage medium is communicated with an external electronic device through a transmission line, so that the storage medium of the portable electronic device is used as an external storage medium of the external electronic device. | 07-02-2009 |
20090172427 | Method and system for power management of a motherboard - A method for power management of a motherboard is provided to manage a power supply on the motherboard and specially to manage an output power of a power management module on the mother board. The motherboard at least comprises a microprocessor, and the power management module provides a power with a number of output phases to the microprocessor. First, a first load of the microprocessor is detected in a first time. Then a second load of the microprocessor in a second time is detected. When the second load is less than the first load and is lower than a first predetermined value, the number of output phases of the power outputted from the power management module is reduced. | 07-02-2009 |
20090172428 | APPARATUS AND METHOD FOR CONTROLLING POWER MANAGEMENT - The present invention relates to an apparatus and a method for controlling power management based on information on ticks for performing program tasks and information on each of power management states, which is applicable to every apparatus and component able to enter a power saving state. | 07-02-2009 |
20090177896 | Communication Device - There is provided a communication device which can search for a desired communication device and request a service, without being conscious of the status of the power supply of other communication devices on a network, and achieve a reduction in power consumption. A communication device 100 includes a main processing unit 110 to process main service provided for other communication devices, a network processing unit 120 to transmit and receive a request packet and a response packet among other communication devices, and an integrated power supply unit | 07-09-2009 |
20090177897 | POWER CONTROL APPARATUS FOR MOTHERBOARD - A power control apparatus for automatically turning on and off a motherboard, the power control apparatus includes a power supply supplying electric power to the motherboard periodically, a control circuit including a first switch element, a second switch element, a first capacitor, a second capacitor, a first resistor, and a second resistor. When the power supply is powered up, the second capacitor is charged, the second switch element is turned on, a second terminal of the second switch element outputs a low level signal to power up the motherboard. When the motherboard is powered up, the first capacitor is charged, the first switch element is turned on, the second switch element is turned off, the second terminal of the second switch element outputs a high level signal. The motherboard is powered off when the power supply is powered off. | 07-09-2009 |
20090177898 | Computer system and notebook computer, and method for controlling computer system - The present invention provides a computer system, a notebook computer and a method for controlling a computer system. The method comprises steps of: determining current state of the main computer subsystem; turning off the power of the embedded subsystem or enabling the embedded subsystem into a low-power state of the embedded subsystem when the main computer subsystem stays in a power-on state of the main computer subsystem; and enabling the embedded subsystem into an operating state of the embedded subsystem when the main computer subsystem stays in a low-power state or a shut-down state of the main computer subsystem. The computer system comprises: a power supply, a main computer subsystem and an embedded subsystem, wherein the main computer subsystem comprises a state determination module and a state control module for the embedded subsystem. The notebook computer comprises a main board, an embedded subsystem and a power supply, wherein the main board comprises an embedded controller and a power switch controller as well. With the above technical solutions, the power consumption caused by the embedded subsystem is reduced while implementing a preset function in real time. | 07-09-2009 |
20090177899 | MULTI-REGULATOR POWER SUPPLY CHIP WITH COMMON CONTROL BUS - A system and method for implementing a common control bus in a multi-regulator power supply integrated circuit. The integrated circuit may, for example, comprise first and second power regulator modules that control at least one characteristic of respective power signals. The integrated circuit may also, for example, comprise a communication interface module that receives power control information related to operation of the first and second power regulator modules over a shared data bus. An exemplary method may, for example, comprise receiving power control information over a data bus. The method may also, for example, comprise determining which of a plurality of power regulators corresponds to the received power control information. The method may further, for example, comprise determining a regulator control signal, based at least in part on the received power control information, and provide the regulator control signal to the determined regulator(s) to control operation of the determined regulator(s). | 07-09-2009 |
20090177900 | Systems and Methods for Controlling Use of Power in a Computer System - In one embodiment, a power adapter comprises a power supply to output power for powering a powered device. The power adapter outputs information indicative of an amount of power output by the power supply for use by the powered device to control the amount of power used by the powered device. | 07-09-2009 |
20090183012 | KEYBOARD - A keyboard includes a keyboard processor configured for outputting a control signal for turning a computer on/off; a switch circuit connected to a turn-on port of a south bridge chipset on a mainboard of the computer; and a processor connected to the keyboard processor and the switch circuit. When the processor receives the control signal output from the keyboard processor, the processor outputs a high pulse signal to the switch circuit to make the turn-on port grounded to turn on or turn off the computer. | 07-16-2009 |
20090187773 | MCU WITH POWER SAVING MODE - A microcontroller unit includes a processor for generating a first control signal to start a comatose mode of operation for the microcontroller unit. Control logic responsive to the first control signal generates an enable signal at a first level and the control logic is further responsive to a second control signal for generating the enable signal at a second level. A voltage regulator generates regulated voltage from an input voltage. The voltage regulator shuts down to provide a zero volt regulated voltage responsive to the enable signal at the first level and powers up to provide a regulated voltage at an operating level responsive to the enable signal at the second level. | 07-23-2009 |
20090187774 | Information Recording and Reproducing Apparatus - An information recording and reproducing apparatus is disclosed, wherein a hard disk drive or a flash memory in a video camera is accessed by a personal computer having a control program for the recording and reproducing process, through a USB-I/F circuit kept on. A CPU in the video camera, on the other hand, is connected to an external dubbing optical disk having a control program for the recording and reproducing process, through a SATA-I/F circuit only in the case where a user command is given through a switch or power is supplied from an AC adaptor. As a result, the connection with the personal computer is established at an arbitrary timing, and the I/F circuit of the external optical disk can save the power consumption. | 07-23-2009 |
20090193268 | Packetized Power - Methods, systems, and devices are disclosed for producing and delivering packetized power within a DC computing environment. Within the DC computing environment a power requirement or request is communicated to a power router. The power router then determines a power source capable of fulfilling the power requirement and then causes the power to be delivered in packetized form. The packetized power is appended to a message header which allows the power packet to be received by the requesting device. | 07-30-2009 |
20090193269 | DATA NETWORK AND METHOD OF CONTROLLING THEREOF - A data network that includes a plurality of nodes for storing data and a plurality of control units, the adapted to control at least one of the nodes in which individual nodes are powered-up when the assigned control unit receives a request from a client to access the data stored in the node. | 07-30-2009 |
20090193270 | Power Management in Federated/Distributed Shared Memory Architecture - This invention is a power management scheme for a shared memory multiprocessor system which splits the control logic between the master-specific logic and memory bank logic. Power-down is initiated from a central power-down controller. This central power-down controller informs the master and target specific logic. Further memory accesses are blocked. All pending activities complete. The central controller then proceeds to power down the memory and informs the master and target specific logic upon completion. No requests for wakeup are initiated by master-specific logic from the time a power-down request is received until the completion of power-down. | 07-30-2009 |
20090193271 | POWER MESH MANAGEMENT METHOD - The invention discloses a power mesh managing method utilized in an integrated circuit. The integrated circuit includes a standard cell and a standard-cell power supplying mesh corresponding to a first direction. The power mesh managing method includes: defining a power supplying network including a first plurality of power meshes growing along the first direction and a second plurality of power meshes growing along a second direction, and defining an assistant connecting network on a third metal layer, wherein the assistant connecting network includes a plurality of assistant connecting lines growing along the second direction, the first plurality of power meshes are formed on a first metal layer, the second plurality of power meshes on a second metal layer, the third metal layer is below the first metal layer, and the second metal layer is above the first metal layer. | 07-30-2009 |
20090199019 | APPARATUS, METHOD AND COMPUTER PROGRAM PRODUCT FOR REDUCING POWER CONSUMPTION BASED ON RELATIVE IMPORTANCE - An apparatus, method and computer program product are provided for reducing power consumption of an electronic device by taking into consideration not only the load history of each run-time entity operating on the electronic device, but also the importance of those run-time entities. In particular, a run-time entity's utilization of the hardware resources of an electronic device may be controlled in association with the importance level assigned to that run-time entity. Run-time entities having a lower importance level may be allocated less than the maximum operating power of the processor necessary to implement the run-time entity at the highest performance level. | 08-06-2009 |
20090199020 | METHOD AND SYSTEM OF MULTI-CORE MICROPROCESSOR POWER MANAGEMENT AND CONTROL VIA PER-CHIPLET, PROGRAMMABLE POWER MODES - A computer-implemented method and a system for managing power in a multi-core microprocessor are provided. A power management control microarchitecture in a chiplet translates a first command comprising a power setting. A chiplet comprises a processor core and associated memory cache. The power management control microarchitecture comprises power mode registers, power mode adjusters, translators, and microarchitectural power management techniques. The power management control microarchitecture sets microarchitectural power management techniques according to the power setting. The global power management controller issues the first command. The global power management controller may reside either on or off of the microprocessor. The global power management controller issues commands either directly for a specific chiplet out of the plurality of chiplets or to the plurality of chiplets and the control slave bus translates the command into sub-commands dedicated to specific chiplets within the plurality of chiplets. Each chiplet may be set to separate power levels. | 08-06-2009 |
20090199021 | POWER MANAGEMENT MODULE FOR CENTRAL PROCESSING UNIT - A power management module for a CPU is provided. The power management module includes a basic input/output system (BIOS) chip, a power stripping module, and a DC-DC converter module. The BIOS chip is coupled to the CPU, and has a power consumption information of the CPU. The power stripping module is coupled to BIOS chip, and is adapted for outputting a power control signal according to the power consumption information of the CPU. The DC-DC converter module is coupled to the CPU and the power stripping module. The DC-DC converter module includes a plurality of DC-DC converters. The power control signal is accorded to determine a quantity of the DC-DC converters for enabling, so as to provide a suitable power to the CPU. | 08-06-2009 |
20090199022 | Image processing apparatus, serial bus control method, and storage medium - A disclosed image processing apparatus has a normal power mode and a power saving mode and includes a serial bus; a serial bus PHY configured to control a physical layer of the serial bus, the serial bus PHY being turned off in the power saving mode; a voltage detection unit configured to detect a voltage output from an external device connected to the serial bus; and a physical layer control unit configured to turn on the serial bus PHY when the voltage is detected by the voltage detection unit. | 08-06-2009 |
20090199023 | Processor and Semiconductor Device Capable of Reducing Power Consumption - A processor and a semiconductor device capable of reducing power consumption is provided. The processor includes one or more logic blocks each having a logic circuit corresponding to m bits for processing m bits of data and a logic circuit corresponding to n bits for processing n bits of data, n being an integer smaller than m. A power control unit controls the processor for operation as an m-bit processor by providing a power voltage to the logic circuit corresponding to m bits, or controls the processor for operation as an n-bit processor by providing the power voltage to the logic circuit corresponding to n bits. | 08-06-2009 |
20090199024 | METHOD, APPARATUS AND SYSTEM TO DYNAMICALLY CHOOSE AN AOPTIMUM POWER STATE - Some embodiments of the invention include an apparatus and method for dynamically choosing an optimum power state. In some embodiments, the optimum power state may be determined from historical information about the various power states that any of the embodiments of the apparatus or a system equipped with embodiments of the apparatus or operating embodiments of the method may encounter. Some embodiments may generate registers to maintain information regarding the various power states. In some embodiments, power management logic may determine the optimum power state based upon this information. Other embodiments are described. | 08-06-2009 |
20090210725 | VARIABLE POWER OVER ETHERNET BASED ON LINK DELAY MEASUREMENT - According to an example embodiment, an apparatus at a power source equipment (PSE) may include a transceiver configured to transmit and receive data via a communications link with a powered device (PD), and a controller configured to: determine a propagation delay of the communications link based on a message exchange between the PSE and the PD, the PSE and PD being connected via the communications link; and determine an amount of power to be supplied via Power Over Ethernet (POE) from the PSE to the PD via the communications link based on the propagation delay of the communications link. | 08-20-2009 |
20090210726 | CENTRAL POWER MANAGEMENT METHOD AND SYSTEM - The present invention provides a central power management method and system, a device side agent and a central power management controller. The central power management method includes: registering a plurality of devices, wherein at least power management capabilities associated with controllable statuses of power management of each of the plurality of devices are recorded; collecting running status data from each of the plurality of devices; analyzing the running status data to determine a current power management policy for each of the plurality of devices; and for each of the plurality of devices, controlling running status thereof according to the current power management policy determined for the device and the power management capabilities of the device. | 08-20-2009 |
20090217059 | Utilizing Networked Three Dimensional Voltage Regulation Modules (VRM) to Optimize Power and Performance of a Device - A method, system, and computer program for using an array of networked 3D voltage regulation modules (VRMs) to optimize power usage by components on a voltage island in real time is presented. The networked VRM devices work in parallel to supply adequate power to connected voltage islands, and to supplement other VRMs in the system that may require additional power in the case of a critical event. | 08-27-2009 |
20090217060 | POWER SUPPLY CONTROL DEVICE - To improve the efficiency of power supply units, and save the power of a device. A power supply control device for controlling on/off of a plurality of power supply units which supply power to a device, includes: a necessary power amount obtaining device which obtains a necessary power amount that shows a value of a power amount required by the device; a power supply unit specifying device which extracts combinations of a single or a plurality of power supply units capable of supplying the necessary power amount based on power amount-efficiency tables, calculates an efficiency of the power amount supplied to the device from the power supply units of the respective combinations, and specifies a combination of the power supply units whose calculated efficiencies satisfy a preset condition; and a power supply controller which controls the power supply units in specified combination to supply the power to the device. | 08-27-2009 |
20090217061 | COMPUTER SYSTEM, STORAGE SYSTEM, AND DEVICE CONTROL METHOD - A computer system, storage system, and device control method which keep and operate long-term data stored in a disk device using its characteristics for a long time and at low cost, in a storage system or in a storage system using an external storage connection method. A computer system comprises a host computer, a storage system, and a management server, which are connected to one another via a network. The storage system receives a request to detach a logical device, specifies a logical device to be processed with information included in the request and a physical device corresponding to the relevant logical device, releases a definition of a host path of the logical device, and stops the physical device. | 08-27-2009 |
20090222677 | DISPLAY OF BLADE SERVER OPERATING SYSTEM INFORMATION - A system, method, and computer program product for providing operating system information for a plurality of blade servers in a blade chassis to a management module for display to a user is provided. A first blade server of the plurality of blade servers is queried to determine operating system information for the first blade server. The operating system information is provided to the management module. | 09-03-2009 |
20090222678 | ACTIVE POWERED DEVICE FOR THE APPLICATION OF POWER OVER ETHERNET - An active powered device (PD) for the application of power over Ethernet (PoE). In a fixed power budget environment, it is important for the power sourcing equipment (PSE) to accurately determine a power budget for the various powered ports. An active PD can be designed to gather additional information (e.g., current and input voltage) during PD operation and to forward the additional information to the PSE. The PSE can then use the additional information to adjust operational parameters such as a power budget. | 09-03-2009 |
20090222679 | METHOD FOR PROVIDING INFORMATION FOR POWER MANAGEMENT OF DEVICES ON A NETWORK - The present invention relates to a method for providing information for power management of devices connected to a network. The present method creates a PM (Power Management) server list which includes, for at least one PM server, a pair of friendly names including a friendly name allocated to the PM server, and displays, for the at least one PM server, the other friendly name of the pair of friendly names, i.e., a friendly name allocated to an AV media server or an AV device instead of one friendly name allocated to the PM server in the event that information included in the created PM server list is provided to a user. | 09-03-2009 |
20090235093 | SYSTEM AND METHOD FOR POWER SOURCING EQUIPMENT DETECTION OF A POWERED DEVICE POWER FAILURE FOR POWER BACKUP - A system and method for power sourcing equipment (PSE) detection of a powered device (PD) power failure for power backup. A PSE can power a PD at a low level of power as a backup power source. Upon a failure in the PDs primary power source, the PSE can detect a transient (e.g., current and/or voltage) on the PD load as a signal that the PD requires additional power. The PSE can then allocate increased power to the port by entering into an active state as compared to a backup state. As the PSE is responsive to the detection of the transient, the PSE need not rely on a real-time communication from the PD. | 09-17-2009 |
20090235094 | SYSTEM AND METHOD FOR USING AN ETHERNET PHYSICAL LAYER DEVICE TO IDENTIFY CABLING TOPOLOGIES - A system and method for using an Ethernet physical layer device to identify cabling topologies. A power sourcing equipment (PSE) can power independent powered devices (PDs) using two sets of wire pairs in a single four-pair cable. Higher power PSEs can power a single PD using all four wire pairs in the cable. Conventional power over Ethernet (PoE) analog techniques (i.e., voltage, current, etc.) have a difficult time distinguishing where the wire pairs are going from the PSE. By using information (e.g., negotiated speed, link energy, distance diagnostic, etc.) generated by the physical layer device (PHY) subsystem, the PoE system can determine whether the two sets of wire pairs in a cable are powering a single PD or two independent PDs. | 09-17-2009 |
20090235095 | POWER SUPPLY VOLTAGE SUPPLY CIRCUIT AND DISK APPARATUS - Aspects of the present embodiment are related to a power supply voltage supply circuit and the disk apparatus that are capable of reducing power consumption in data writing and reading. The power supply voltage supply circuit includes a data processing unit writing data onto a disk medium and/or reading data from the disk medium=having a plurality of zones assigned a cylinder number, a data input-output unit transmitting data to the data processing unit at a transfer rate in accordance with the zones, a power supply voltage supply unit supplying a voltage to the data input-output unit and a control unit controlling the power supply voltage supply unit in order to supply the voltage in accordance with the transfer rate. | 09-17-2009 |
20090240959 | BLOCK BASED POWER MANAGEMENT - A system and method is disclosed for efficiently managing power distribution among the various functional blocks used within portable electronic devices. The method includes allowing each functional block to be independently controlled, containing its own low-level software and power controls for setting the local power state of the functional block. For each power control domain in the implementation, hardware uses these local power states and sets the actual operating state of the power control domain accordingly. | 09-24-2009 |
20090240960 | Serially loading programming information into a psr cc/cv controller integrated circuit - A primary-side regulation (PSR) controller integrated circuit includes a PSR CC/CV controller and a non-volatile shift register. An assembled power supply that includes the integrated circuit is in-circuit tested to determine errors in power supply output voltage and/or current. Programming information is determined and shifted into the shift register. During programming, the power supply regulates to a different output voltage, and the different voltage is used for shift register programming. After programming, the power supply operates in a normal mode so that the output voltage and current are within specification. The voltage and current to which the power supply regulates are set by some of the bits of the programming information. Other of the bits set error correction circuits of the PSR CC/CV controller such as a primary inductance variation compensation circuit, a line input voltage variation compensation circuit, an efficiency variation compensation circuit, and a cord resistance compensation circuit. | 09-24-2009 |
20090240961 | INFORMATION PROCESSOR, COMPUTER READABLE RECORDING MEDIUM WHICH RECORDS DATA EVACUATION PROGRAM, AND DATA EVACUATION METHOD - An information processor includes a set information storage unit storing evacuation residual quantity information representing an evacuation residual quantity as a residual quantity of the battery that corresponds to electric power necessary for executing a data evacuation process for storing data, stored in a memory of the information processor, onto a nonvolatile recording medium, a battery residual quantity detecting unit detecting the battery residual quantity of the battery, a load information collecting unit acquiring load information representing an electric load of the information processor, an evacuation residual quantity setting unit setting the evacuation residual quantity based on the load information collected by the load information collecting unit, and controls the set information storage unit to store the evacuation residual quantity information representing the set evacuation residual quantity, and an evacuation control unit controlling execution of the data evacuation process. | 09-24-2009 |
20090249086 | SYSTEMS AND METHODS FOR CONTROLLING THE POWER STATE OF REMOTE CONTROL ELECTRONICS - A remote control device may include circuitry configured to receive user input to wirelessly control an electronic device, a power source electrically coupled to provide power to the circuitry, and a power state controller configured to control a power state of the circuitry based on a state of the electronic device. An electronic device may be configured to be controlled by a remote control device, and may include an input device configured to receive a wireless signal from the remote control device, an output device configured to send a wireless signal to the remote control device, and a processor coupled to the input device. The processor may be configured to control a function of the electronic device based on the received signal, to determine information regarding the state of the electronic device, and to send the determined information regarding the state of the electronic device to the remote control device. | 10-01-2009 |
20090249087 | Power Event Indicator for Managed Memory Device - A host device coupled to a managed memory device (e.g., a managed NAND device) generates a signal indicative of an expected power event. The signal is received by the managed memory device which performs one or more operations in response to the signal. In some implementations, a pin is added to a power management chip that provides a signal to interrupt the managed memory device when a power event (e.g., power failure, system reset) is expected to occur. The signal provides the managed memory device time to finish one or more operations (e.g., the last physical operation) and to place the managed memory device in a known and/or safe state prior to the occurrence of the power event. | 10-01-2009 |
20090249088 | SEMICONDUCTOR APPARATUS INCLUDING POWER MANAGEMENT INTEGRATED CIRCUIT - Provided is a semiconductor apparatus including a power management integrated circuit. The semiconductor apparatus includes an application processor and a voltage management integrated circuit. The application processor outputs clock information on an operation clock signal, and includes a core circuit. The voltage management integrated circuit receives the clock information from the application processor, and generates and outputs a core voltage having a voltage level corresponding to the clock information in response to the clock information. The operation clock signal is a clock signal, which has a variable frequency and is input to the core circuit of the application processor. | 10-01-2009 |
20090249089 | METHOD AND APPARATUS FOR DYNAMIC POWER MANAGEMENT CONTROL USING SERIAL BUS MANAGEMENT PROTOCOLS - An apparatus for on-demand power management includes an I/O serial communication master device, peripheral devices that communicate with the master device along the serial bus, and a power manager that buffers the peripheral devices from the serial communication master. The power manager also manages voltage regulation and clock sources to the peripheral devices, with the ability of placing the peripheral devices in an inactive state, or in any number of active states as a means to conserve energy. In some embodiments, the I/O serial communications master acts as if the peripheral devices are always in the highest activity state, and the power manager manages the communications to and from the peripheral devices and the power management of the peripheral devices to minimize energy consumption and reduce system latency. | 10-01-2009 |
20090249090 | METHOD AND APPARATUS FOR DYNAMIC POWER MANAGEMENT CONTROL USING PARALLEL BUS MANAGEMENT PROTOCOLS - An apparatus for on-demand power management includes an I/O parallel communication master device, peripheral devices that communicate with the master along the parallel bus, and a power manager that arbitrates the parallel bus. The power manager also manages voltage regulation and clock sources to the peripheral devices, with the ability of placing the peripheral devices in an inactive state, or in any number of active states as a means to conserve energy. In some embodiments, the I/O parallel communication master device acts as if the peripheral devices are always in the highest activity state, and the power manager manages the communications to and from the peripheral devices and the power management of the peripheral devices to minimize energy consumption and reduce system latency. | 10-01-2009 |
20090254763 | MANAGEMENT OF POWER-EFFICIENT DATA CENTER - An illustrative power-efficient data center is described for operating in an uncontrolled environment in one scenario. The data center includes an air moving system that applies unconditioned air to resource items. The resource items are stripped down to provide a substantially minimum set of components for performing the data center'core functions. Various illustrative techniques for managing a power-efficient data center are also described. | 10-08-2009 |
20090254764 | Optimizing Preemptible Read-Copy Update for Low-Power Usage by Avoiding Unnecessary Wakeups - A technique for low-power detection of a grace period for deferring the destruction of a shared data element until pre-existing references to the data element have been removed. A grace period processing action is implemented that requires a response from a processor that may be running a preemptible reader of said shared data element before further grace period processing can proceed. A power and reader status of the processor is also determined. Grace period processing may proceed despite the absence of a response from the processor if the power and reader status indicates that an actual response from the processor is unnecessary. | 10-08-2009 |
20090254765 | METHOD FOR POWER MANAGEMENT FOR COMPUTER SYSTEM - The invention provides a method for power management for a computer system. In one embodiment, the computer system comprises a system controller, a chipset, and a battery coupled to the chipset via a system management bus. First, a timer of the chipset is used to calculate an accumulated time value. When the accumulated time value exceeds a threshold value, the chipset is directed to send a system control interrupt to the system controller. After the system controller receives the system control interrupt, the system controller is triggered to detect a power level supplied by the battery via the system management bus. | 10-08-2009 |
20090254766 | Wireless Communication Apparatus, Wireless Communication System, Wireless Communication Method, and Program - A wireless communication apparatus according to the present invention includes a user terminal information acquiring unit that acquires user terminal information related to user terminals, which are connected through a wireless communication network to enable communication, from the user terminals; a wireless power transmitting circuit that wirelessly supplies power to the user terminals; a control unit that determines whether or not to supply the power to the user terminals based on the user terminal information; a user terminal location acquiring unit that acquires location information of the user terminals where the power is supplied; and a transmission power direction instructing unit that controls directivity of power supply based on the location information of the user terminals. | 10-08-2009 |
20090259859 | POWER SUPPLY SYSTEM FOR MOTHERBOARD - An exemplary power supply system and method for a motherboard includes a power circuit providing power for a south bridge, and a controller having first and second transistors. An input terminal of the power circuit is connected to a power supply. An output terminal of the power circuit is connected to a reset pin of the south bridge. A first terminal of the first transistor is configured for receiving a control signal from the south bridge. A second terminal of the first transistor is connected to a first terminal of the second transistor. A second terminal of the second transistor is connected to the reset pin of the south bridge. Each of the first and second transistors has a third terminal grounded. | 10-15-2009 |
20090259860 | POWER SUPPLY CIRCUIT FOR MOTHERBOARD - A power supply circuit for a motherboard includes an input/output (I/O) controller, a power circuit providing a working voltage for the I/O controller, and a first resistor. The I/O controller includes an I/O controller voltage pin and an I/O controller case open detection (COPEN) pin. The power circuit includes an input, a first output, a second output, and a GND pin. The input of the power circuit is connected to a standby power supply; and the first output of the power circuit is connected to the I/O controller voltage pin. The second output of the power circuit is connected to the I/O controller COPEN pin via the first resistor; and the GND pin of the power circuit is grounded. | 10-15-2009 |
20090265563 | SYSTEMS AND METHODS OPERABLE TO ALLOW LOOP POWERING OF NETWORKED DEVICES - Embodiments of the present invention provide a network device operable to receive a network signal that may include both power and data from a coupled network. This network device includes a network connector and an integrated circuit. The network connector physically couples the network device to the network. An optional protection circuit may provide surge protection or incoming network signals received by the network device through the network connector. An optional switching/rectifying circuit sees the output of the protection circuit and is operable to rectify a power signal when contained within the network signal. The integrated circuit further includes a power feed circuit conductively coupled to the protection circuit and the rectifying circuit. This power feed circuit is operable to separate and pass the received data signal to a network physical layer and separate and pass the received power signal to a power management module. The power management module electrically couples to the integrated circuit but is not necessarily part of the integrated circuit. The power management module is operable to at least partially power the network device for specific circuits within the network device from the received power signal. | 10-22-2009 |
20090265564 | System Power Capping Using Information Received From The Installed Power Supply - A computer system comprising a motherboard and a power supply having an associated power management bus controller with memory storing the power capacity of the associated power supply. A power circuit provides power from the power supply to the motherboard, wherein the motherboard has a processor and a baseboard management controller. The system further comprises a power management bus providing communication between the baseboard management controller and the power management bus controller associated with the selected power supply, wherein the power management bus controller provides the stored power capacity to the baseboard management controller. This allows the baseboard management controller to limit operation of the processor to control the amount of power consumed from exceeding the power capacity of the selected power supply. The power capacity of the power supply may be sent to the baseboard management controller in response to booting the motherboard. | 10-22-2009 |
20090265565 | METHOD AND APPARATUS FOR MANAGING POWER IN COMPUTER SYSTEMS - The invention is directed towards minimizing power consumption in computer systems. One embodiment of the invention is a power management system that is used for a computer system that has at least one device and one power domain. This embodiment uses two different power managers to manage the power consumption of the device and the power domain. Specifically, this embodiment has (1) a first power manager that determines when to change power state of the device, and (2) a second power manager that determines when to change power state of the power domain. Each of these power managers decides to change the power state of its corresponding device or domain based on information from several different sources. These sources can include power-management clients and power managers of related domains or devices. | 10-22-2009 |
20090271639 | Personal Wireless Network Power-Based Task Distribution - Apparatus and method for dynamically reassigning between a plurality of personal portable devices in a wireless network one or more task portions of a task that have been distributed among the personal portable devices in response to at least one of the personal portable devices having diminishing access to electric power. A reassignment may be prompted by the remaining electric power available to one of the personal portable devices diminishing to a predetermined level, and/or it may be prompted as a result of a goal of causing the remaining operating times of the personal portable devices engaged in performing the task to be as close to equal as possible. A reassignment may be prompted by the remaining electric power available to one of the personal portable devices being changed either by the coupling of that personal portable device to an external power supply or by a suspension of execution of a task routine associated with a task portion that had been assigned to that personal portable device. A reassignment of a particular task routine between two of the personal portable devices may be forestalled as a result of it being impermissible to transmit a copy of a task routine associated with a task portion and/or a piece of data associated with that task routine from one of the two personal portable devices to the other. A task portion reassigned between two personal portable devices may be associated with communications between personal portable devices and its reassignment may result in a changing in topological positions of the two personal portable devices within the topology of the wireless network. | 10-29-2009 |
20090271640 | Storage device and method of starting the same - An OOB sequence monitoring unit detects that an OOB sequence carried out between a base device as a superior device and a connection I/F which operates even if an extension device is in a standby state has proceeded to a given stage. Based on the detection by the OOB sequence monitoring unit, a power supply control unit instructs a starting power supply unit to supply power. When the extension device starts, the OOB sequence is carried out between the extension device and the connection I/F of another extension device in the same manner. As a result, extension devices are started in decreasing order from the extension device closest to the superior device. | 10-29-2009 |
20090271641 | Method and apparatus for quick resumption - When transitioning from sleep mode to active mode, a processing system loads first stage resume content and second stage resume content into a volatile memory of the processing system. The first stage resume content may contain contextual data for a first program that was in use before the processing system transitioned to sleep mode. The second stage resume content may contain contextual data for another program that was in use before the processing system transitioned to sleep mode. The processing system may provide a user interface for the first program before all of the second stage resume content has been loaded into the volatile memory. Other embodiments are described and claimed. | 10-29-2009 |
20090271642 | POWER MANAGEMENT SYSTEM CAPABLE OF SAVING POWER AND OPTIMIZING OPERATING EFFICIENCY OF POWER SUPPLIES FOR PROVIDING POWER WITH BACK-UP OR REDUNDANCY TO PLURAL LOADS - The present invention relates to a power management system comprising at least one power management subsystem. Each power management subsystem comprises a first power module coupled to a first load and comprising at least one first power supply for supplying power to the first load; a second power module coupled to a second load and comprising at least one second power supply, wherein at least one second power supply is retractably installed in the second power module and selectively coupled to the second load; and a pass-through module comprising at least one pass-through unit retractably installed in the second power module to replace with the at least one second power supply and selectively connecting the first power module to the second load for allowing the first power module to supply power to the second load. | 10-29-2009 |
20090276637 | Power control system for mobile workstation and method - A method of controlling a power system includes receiving a signal indicative of user interaction with a handle of a removable battery, and switching a power interface of the power system from a first power sourcing mode receiving power from the removable battery to a second power sourcing mode receiving power from a back-up battery. An electronic control unit for the power system includes a memory storing computer executable instructions for controlling power sourcing in the power control system, a detector interface configured to receive a signal indicative of user interaction with a removable battery of the mobile workstation and a microprocessor configured by way of executing the computer executable instructions to switch a power interface of the power control system from a first power sourcing mode to a second power sourcing mode. | 11-05-2009 |
20090276638 | POWER CONTROL DEVICE AND POWER CONTROL METHOD APPLIED TO COMPUTER SYSTEM - The present invention provides a power control device and a power control method applied to a computer system. The power control device includes a data processing unit, a throughput determining module, and a clock control unit. The data processing unit is utilized for processing and outputting data according to an adjustable clock rate. The throughput determining module is coupled to the data processing unit, and utilized for obtaining an indication value corresponding to a data output rate of the data processing unit and comparing the indication value with at least a predetermined threshold value to generate a comparing result. The clock control unit is coupled between the throughput determining module and the data processing unit, and utilized for adjusting the adjustable clock rate of the data processing unit according to the comparing result. | 11-05-2009 |
20090276639 | DYNAMIC FREQUENCY SCALING OF A SWITCHED MODE POWER SUPPLY - System(s) and method(s) are provided for dynamically scaling switching frequencies and clock sources of switched mode power supplies (SMPSs) in a mobile station. Switching frequency is scaled to an optimal value in response to at least one of (i) a change in mode of operation for wireless communication employed by the mobile station, an additional mode of operation is triggered, (ii) a change in operation conditions of a set of loads associated with functionality of the mobile is determined, or (iii) an LO spur set-off by a SMPS in the presence of an interference signal with a frequency splitting from an operational band that matches the SMPS frequency or at least one of its harmonics. Switching frequencies can be selected from a lookup table, or through an analysis of switching frequencies available to the mobile and operational criteria. A set of clock sources can provide an ensemble of switching frequencies. | 11-05-2009 |
20090276640 | POWER MANAGEMENT APPARATUS AND SYSTEM USING THE SAME - A power management apparatus and a system using the same, which are suitable for managing power supplies for peripheral devices connected to a host system, are provided. The power management apparatus includes power supply switches, a control circuit and a power supply unit. The power supply switches are respectively coupled between the peripheral devices and the power supply unit. The control circuit determines whether or not operating powers are supplied to the corresponding peripheral devices through the power supply switches according to a control signal outputted from the host system. | 11-05-2009 |
20090276641 | MULTI-PHASE VOLTAGE REGULATOR ON MOTHERBOARD - A multi-phase voltage regulator includes a pulse width modulation control unit, a pulse signal extension circuit, M counts of current providing paths, and a feedback signal switching circuit. The pulse width modulation control unit generates N counts of pulse signals in a first cycle period. The pulse signal extension circuit receives the N counts of pulse signals in a second cycle period and divides the N counts of pulse signals into M counts of pulse signals, wherein M=N×2 | 11-05-2009 |
20090276642 | VOLTAGE REGULATOR WITH SUSPEND MODE - A system is disclosed. The system includes a central processing unit (CPU) to operate in one or more low power sleep states, and a power converter. The power converter includes phase inductors; and one or more power switches to drive the phase inductors. The one or more power switches are deactivated during the CPU sleep state. | 11-05-2009 |
20090276643 | STORAGE POWER SUPPLY SYSTEM, STORAGE DEVICE, AND CONTROL THEREOF - The present invention discloses a storage power supply system includes a first storage device coupled with a network, a second storage device coupled with the network and an uninterrupted power supply. The uninterrupted power supply comprises a backup circuit that supplies backup power when commercial power supply is interrupted. The uninterrupted power supply comprises a power supply state signal generation circuit that generates a power supply state signal indicating a state of the power. The power supply state signal includes a backup state signal indicating a supply of backup power. The first storage device performs a self-processing corresponding to a state in which backup power is supplied when the backup state signal generated by the power supply state signal generation circuit is inputted. The second storage device performs a self-processing corresponding to a state in which backup power is supplied in response to the input of the backup state signal from the power supply state signal generation circuit to the first storage device. | 11-05-2009 |
20090282270 | MEMORY CONTROL DEVICE - A clock enable (CKE) control circuit ( | 11-12-2009 |
20090282271 | POWER CONTROL DEVICE FOR PROCESSOR - A processor has: a power table including a plurality of power control registers each rewritably storing power control information; a condition determiner for rewritably storing a plurality of operating conditions (e.g., a comparison address to be compared with the program counter) and determining which one of the plurality of operating conditions is satisfied by a current operation of the processor so as to supply an index signal to select one of the plurality of power control registers based on the determination; and a voltage/clock controller for controlling the power consumption in a control object circuit block according to the power control information in one of the power control registers that is selected by the index signal. | 11-12-2009 |
20090287943 | Mapping power domains in a data center - Mapping power domains in a data center, the data center including one or more power consuming computing devices, the computing devices managed by a management module, each power domain in the data center including one or more power service modules located in an electrical path connecting one or more of the computing devices to a power source, each power service module associated with a power line communications controller, where the mapping includes establishing a unique domain identification for each electrical path connecting one or more of the computing devices to a power source; receiving, by the management module from the computing devices, the unique domain identifications; and maintaining, by the management module, a map associating the unique domain identifications with identifications of the computing devices. | 11-19-2009 |
20090300373 | SYSTEM FOR TURNING A COMPUTER ON AND OFF - A system for turning a computer on and off, the system has a first switch mounted on an external device, and a control circuit for turning the computer on or off. The control circuit has a second switch and a first resistor. The first switch is connected between the second switch and a first power supply. The second switch is connected to a second power supply via the first resistor, and to a control end capable of turning the computer on or off. The first switch actuates the second switch. Upon a condition of the second switch turning on, the control end is grounded to turn the computer on or off. | 12-03-2009 |
20090300374 | STORAGE APPARATUS AND START-UP CONTROL METHOD FOR THE SAME - At the time of initial start-up, two or more storage units are started as a start-up control unit so that the total power consumption will not exceed specified electric power. | 12-03-2009 |
20090300375 | POWER SUPPLY CONTROL CIRCUIT - An exemplary power supply control circuit includes a first electric switch, a second electric switch, a third electric switch, a power supply, and an output terminal. The first electric switch has a first terminal connected to an SIO chip to receive a control signal. When the control signal is at a high level, the first electric switch is turned on, the second electric switch is turned off, the third electric switch is turned off, and the output terminal outputs no power supply. When the control signal is at a low level, the first electric switch is turned off, the second electric switch is turned on, the third electric switch is turned on, and the output terminal outputs the power supply. | 12-03-2009 |
20090300376 | CONTROL METHOD AND COMPUTER SYSTEM FOR ADVANCED CONFIGURATION AND POWER INTERFACE - Provided is a control method for an advanced configuration and power interface (ACPI) in a computer system. The computer system comprises a processor and a bus master, wherein the processor, as defined by the ACPI specification, has a first state (C | 12-03-2009 |
20090300377 | Computer system for Managing Power consumption and Method Thereof - A computer system for managing power consumption includes a power supply, a current detecting module, a power control module and a feedback control module. The power supply is used for outputting a system voltage according to a feedback signal. The current detecting module senses a system current to generate system current information. The power control module includes a calculating unit and a user interface. The calculating unit calculates a power consumption of the computer system according to the system current information or the system voltage. The user interface includes a plurality of power adjusting functions, and is used for displaying the system current information and the power consumption. In addition, the user interface generates a voltage control signal according to a power adjusting function selected from the plurality of power adjusting functions. The feedback control module adjusts the feedback signal according to the voltage control signal. | 12-03-2009 |
20090300378 | Computer having power management function - A power management system is disposed in a computer. The power management system includes a current detecting module and a chipset. The current detecting module is disposed between the power receiving end of an external device and the power cord of the power source of the computer for detecting the current sink by the external device and accordingly outputting a current detecting signal. The chipset adjusts the operating voltage or operating frequency of the external device according to the current detecting signal. | 12-03-2009 |
20090300379 | SENSOR SYSTEM - A sensor node for use in a sensor system includes a core component a sensor component and a power component. The core component includes processing and transmission/receiving components. Additionally, the core component includes interfaces for selectively connecting sensor and power components of any one of a plurality of types. In this manner, the core component enables the corresponding power and sensor components to be matched according to a particular application to generate the desired sensor node. | 12-03-2009 |
20090307505 | Sensing Socket Assembly - A power distribution apparatus to control the supply of electrical power to a suite of master and peripheral devices, the apparatus comprising a master electrical outlet and at least one slave electrical outlet, both connectable to a common power supply. The apparatus including a sampling means adapted to sample power drawn from the master electrical outlet, and a controller adapted to calculate an updating average of a plurality of sampled power levels and operable to isolate the slave electrical outlet from the power supply in response to a prescribed change in the calculated average power drawn from the master electrical outlet relative to an automatically calculated switching threshold. | 12-10-2009 |
20090307506 | MOBILE PORTABLE DEVICE - The present invention provides a mobile portable device comprising a network communication module, a power-on circuit, a switching power supply, a system power supply and external battery and a calculation unit, wherein the system power supply and external battery constantly supply power to the network communication module by using an external AC power supply or the power of the battery, when the mobile portable device is in a power-off/sleep state, and when the power-on circuit detects that the network communication module has received information from a network, it activates the switching power supply to supply power to the mobile portable device and thus put the mobile portable device into an operating state. By supplying power to the network communication module in the power-off/sleep state of the notebook computer, the computer can be in the network communication state at any time and thus will not miss any information transmitted over the network. | 12-10-2009 |
20090307507 | COMPUTER STORAGE COMPONENTS THAT PROVIDE EXTERNAL AND INTERNAL ACCESS - A primary computing device and a secondary computing device couple with an internal storage component of the primary device powered by, and data accessed by either the first or secondary computing device. The internal storage component includes multiple connectors for connecting internal buses and external buses over which data can be accessed, internally or externally. It includes an internal storage unit (in general, at least one storage unit, and multiple storage units are supported) to which data can be written and from which data can be read. It also includes arbitration and isolation circuitry that makes it possible to access the internal storage unit (for read/write data access, etc.) over one of the buses. The arbitration and an isolation circuitry also facilitates powering the internal storage component employing power supplied by an internal power source, or via an external power source, such as power from an USB connection. | 12-10-2009 |
20090313484 | METHOD AND APPARATUS FOR PROLONGING BATTERY LIFE OF A MEDIA PLAYER - A method of operating a media player is provided. In one embodiment the method includes receiving a plurality of initially configured video settings for viewing a video segment on the media player for a desired playback duration. The method further includes determining power required to play the video segment based on the initial video settings and playing the video segment if the required power matches or is less than total power available to the media player. In another embodiment, the method may further include, if the required power exceeds the total power available to the media player, adjusting one or more of the initial video settings, either automatically or by user inputs, to reduce the power required to play the requested video segment for the desired playback duration. | 12-17-2009 |
20090313485 | Motherboard with additional voltage regulator module slot area and associated electronic module - A motherboard includes a central processing unit (CPU) slot, a voltage regulator module (VRM), a VRM slot area, and a control unit. The VRM is electrically connected to the CPU slot and used for supplying a CPU installed inside the CPU slot with a first power. When a VRM card is inserted into the VRM slot area, the control unit electrically conducts the VRM slot area with the CPU slot so as to make the VRM card and the VRM together supply the CPU installed in the CPU slot with a second power. The second power is greater than the first power. | 12-17-2009 |
20090313486 | INFORMATION PROCESSING SYSTEM, INSTRUCTING DEVICE, PROCESSING DEVICE, AND INFORMATION PROCESSING METHOD - A table information reception unit ( | 12-17-2009 |
20090313487 | APPARATUS AND METHOD FOR FORCIBLY SHUTTING DOWN SYSTEM - The present invention relates to an apparatus and method for forcibly shutting down a computer system, and more specifically, to an apparatus and method for forcibly shutting down a system when the system is determined to be in an abnormal state. An apparatus for forcibly shutting down a system according to a specific embodiment of the present invention comprises a reception unit | 12-17-2009 |
20090313488 | ELECTRONIC APPARATUS SERVING AS USB HOST AND USB DEVICE - An electronic apparatus includes a host controller, a device receptacle, a multiplexer, an outside voltage detecting and controlling circuit, an interface converting unit, and a storage unit. The host controller includes a first data terminal and a first power voltage output terminal for outputting a first power voltage. The device receptacle includes a second data terminal and a second power voltage output terminal. The multiplexer has two input terminals respectively connected with the first data terminal and the second data terminal. If a second power voltage is issued from the second power voltage output terminal of the device receptacle to the outside voltage detecting and controlling circuit, the third power voltage output terminal of the outside voltage detecting and controlling circuit issues the second power voltage, and the output terminal of the multiplexer is connected with the second data terminal. | 12-17-2009 |
20090313489 | INDEPENDENT POWER CONTROL OF PROCESSING CORES - Independent power control of two or more processing cores. More particularly, at least one embodiment of the invention pertains to a technique to place at least one processing core in a power state without coordinating with the power state of one or more other processing cores. | 12-17-2009 |
20090319808 | System and Method for Smoothing Power Reclamation of Blade Servers - A modular enclosure including first, second, third, and fourth servers, and a chassis management controller. The first, second, and third servers each have reclaimable power. The fourth server is configured to request a specific amount of power needed to complete a power-on request received at the fourth server. The chassis management controller is in communication with each of the first, second, third, and fourth servers. The chassis management controller is configured to reassign all the reclaimable power of the first server and to reassign a portion of the reclaimable power of the second and third servers to the fourth server. | 12-24-2009 |
20090319809 | POWER SUPPLY CIRCUIT FOR CENTRAL PROCESSING UNIT - A power supply circuit for a CPU includes a first control circuit, a switch circuit, an operational amplifying circuit, and a second control circuit. The first control circuit is connected to a BIOS to receive a CPU identification signal. The switch circuit is connected to the first control circuit, and also connected between a CPU and a PSI pin of a VRM. The operational amplifying circuit is connected to the VRM to receive a current monitor signal output from the VRM. The second control circuit is connected to the operational amplifying circuit, the first control circuit and the PSI pin of the VRM. When the CPU identification signal is at a high level and the current monitor signal output from the VRM is lower than a reference voltage of the operational amplifying circuit, the switch circuit turns off, and the operational amplifying circuit outputs a second control signal to make the PSI pin of the VRM grounded. | 12-24-2009 |
20090319810 | RECEIVING APPARATUS AND ACTIVATION CONTROL METHOD FOR RECEIVING APPARATUS - A receiving apparatus does not frequently activate a host processor in a sleep mode, so that it is possible to reduce a time overhead when the host processor transitions from a sleep mode to a running mode, also suppress power consumed in the overhead time and improve communication performance. With this apparatus, a communication interface circuit ( | 12-24-2009 |
20090327764 | Managing Power Consumption Of A Computer - Methods, computers, and products for managing power consumption of a computer, the computer including a computer processor and managing power consumption of a computer includes: dynamically during operation of the computer, setting, by an in-band power manager in dependence upon performance metrics of the computer processor, a current performance state (‘p-state’) of the computer processor; and providing, by the in-band power manager to an out-of-band power manager, the current p-state of the computer processor. | 12-31-2009 |
20090327765 | Managing Power Consumption Of A Computer - Methods and products for managing power consumption of a computer and computers for which power consumption is managed. The computer includes the computer including a computer processor and embodiments of the present invention include providing, by an in-band power manger to an out-of-band power manager, a proposed performance state (‘p-state’) for the computer processor; determining, by the out-of-band power manager, in dependence upon a power setpoint and currently-measured operating metrics of the computer processor, whether to approve the proposed p-state; and if the out-of-band power manager approves the proposed p-state, setting operating parameters of the computer processor according to the approved p-state. | 12-31-2009 |
20090327766 | Power Over Ethernet Reclassification - A power over Ethernet (PoE) system has a reclassification functionality. The illustrative PoE system comprises a powered device (PD) and a power sourcing equipment (PSE) communicatively coupled to the PD. A classification identification component coupled to the PD encodes a classification value. A classification identification component can typically be implemented as a classification resistor, although any other suitable component such as a capacitor, inductor, register, or other structure or method can otherwise be implemented. The PoE system can further comprise a reclassification register in a non-volatile memory that stores a value indicative of a new classification state and a new classification identifier and a power switch that powers the powered device to a classification voltage. A PD controller is coupled to the powered device that reads the value indicative of the new classification state and, if the new classification state is asserted, reads the new classification identifier and sets classification according to the new classification identifier. If the new classification state is not asserted, the PD controller sets classification according to the classification identification component. | 12-31-2009 |
20090327767 | Techniques for distributed management of wireless devices with shared resources between wireless components - An embodiment of the present invention provides an apparatus, comprising a network adapter configured for wireless communication using more than one technology using distributed management and wherein the network adapter is configured to share a plurality of shared hardware components by automatically turning all other comms to OFF when one comm is turned to ON. | 12-31-2009 |
20090327768 | POWER SUPPLY APPARATUS AND MODULAR POWER CONNECTION INTERFACE THEREOF - A power supply apparatus includes a power converting circuit and a modular power connection interface. By the power converting circuit, an input voltage is converted into several output voltages required for powering corresponding electronic devices. The modular power connection interface includes an output member and a connecting member. The output member includes a plurality of pins and a daughter board. The pins are arranged on at least one surface of the daughter board and electrically connected to the power converting circuit so as to transmit the output voltages. The connecting member has a first connecting part and multiple second connecting parts. The first connecting part is electrically connected with the pins of the output member, and the second connecting parts are electrically connected with corresponding electronic devices, so that the output voltages are transmitted from the power converting circuit to the electronic devices through the modular power connection interface. | 12-31-2009 |
20090327769 | INFORMATION PROCESSING APPARATUS AND WAKE-UP CONTROL METHOD - According to one embodiment, an information processing apparatus includes devices including functions of generating wake-up signals, a controller which returns the apparatus to the power-on status in response to wake-up signals, and a first nonvolatile memory which stores information indicating whether the functions are enabled. The devices initializes such that the function is enabled if the information of the first nonvolatile memory has indicated that the function has been enabled when the power supply is started. The controller includes a second nonvolatile memory which stores information indicating devices to which power should be continuously supplied in the power-off status. The controller starts the power supply to the devices indicated that the power supply should be continued by the information of the second nonvolatile memory when the power supply is started, and continues the power supply in the power-off status to devices indicated that the power supply should be continued. | 12-31-2009 |
20090327770 | POWER SUPPLY SYSTEM AND POWER SUPPLYING CONTROL METHOD - A power supply system adopting two power supplies connected in parallel includes a first power supply comprising a first voltage-output terminal; a second power supply comprising a second voltage-output terminal; a first switch circuit comprising an input terminal connected to the first voltage-output terminal; a second switch circuit comprising an input terminal connected to the second voltage-output terminal; and a plug comprising a first pin connected to both an output terminal of the first switch circuit and an output terminal of the second switch circuit; wherein the voltage outputted from the first voltage-output terminal is equal to the voltage outputted from the second voltage-output terminal. | 12-31-2009 |
20090327771 | POWER SUPPLY SYSTEM AND POWER SUPPLYING CONTROL METHOD - A power supplying control method of a computer system for use with a first power supply and a second power supply both providing a first specific voltage to a motherboard, including steps of: detecting whether the first power supply and the second power supply, outputting the first specific voltage, are at a stable state; outputting the first specific voltage to a first pin when the first power supply is at the stable state; outputting the first specific voltage to the first pin when the second power supply is at the stable state; and outputting the first specific voltage to the motherboard via the first pin. | 12-31-2009 |
20090327772 | POWER MANAGEMENT SYSTEM OF TERMINAL - A power management system optimizing the power consumption management of a terminal that uses limited power is disclosed. In more detail, a power management system of a terminal, which defines levels of power to be supplied in accordance with the operation state of the system or an application that is a currently activated application program and controls the amount of power supplied to software modules and hardware modules of the terminal by the defined levels of power, so that power management can be simply and easily performed and that the power supply amount is optimized to increase a power supply time. | 12-31-2009 |
20100005320 | Protected Midspan Power Sourcing Equipment for Power Over Ethernet Applications - A protected midspan power sourcing equipment for a local area network communications system includes a network connection subsystem that is connectable to at least one first network cable having a plurality of electrical wires, and a powered equipment connection subsystem that is connectable to at least one second network cable also having a plurality of electrical wires. The at least one second network cable is commumncatable with a powered end station of the LAN communications system and provides power signals and voice and data signals to the powered end station. A power source is connected to the second network cable and the powered equipment connection subsystem and generates the power signal that is provided on the at least one second network cable to the powered end station. Electrical surge protectors in the midspan power sourcing equipment are connected to the powered equipment connection subsystem and the at least one second network cable and provide electrical surge protection for the powered end station. | 01-07-2010 |
20100005321 | WIRELESS COMMUNICATION TERMINAL AND CONTROL METHOD THEREOF - In a wireless communication terminal | 01-07-2010 |
20100005322 | High Speed Isolation Interface for PoE - A high speed isolation interface which can be used to enable high speed communications between the isolated side and the wire side in a PoE system is provided. The high speed isolation interface may implement an Ethernet or non-Ethernet based signaling scheme. The high speed isolation interface can be used within both PSE (endspan or midspan) and PD systems, including single port and multi-port PSE and PD systems. Further, the high speed isolation interface is usable within pre-standard PoE, 802.3af PoE, and 802.3at PoE systems. The high speed isolation interface may also be used within 2-pair PoE (Alternative A) and 4-pair PoE (Alternative B) systems. | 01-07-2010 |
20100005323 | SEMICONDUCTOR INTEGRATED CIRCUIT - A semiconductor integrated circuit with processors incorporated therein, which makes it possible to achieve a good balance between realizing low-power consumption control, and securing a processing performance that the practicability of real time processing is required. | 01-07-2010 |
20100005324 | SUBSTRATE BIAS SWITCHING UNIT FOR A LOW POWER PROCESSOR - The feature of the present invention consists in: a processor main circuit for executing program instruction strings on a processor chip; a substrate bias switching unit for switching voltages of substrate biases applied to a substrate of the processor main circuit; and an operation mode control unit for controlling, in response to the execution of an instruction to proceed to a stand-by mode in the processor main circuit, the substrate bias switching unit in such a way that the biases are switched over to voltages for the stand-by mode, and for controlling, in response to an interruption of the stand-by release from the outside, the substrate bias switching unit in such a way that the biases are switched over to voltages for a normal mode, and also for releasing, after the bias voltages switched thereto have been stabilized, the stand-by of the processor main circuit to restart the operation. | 01-07-2010 |
20100011227 | SYSTEM AND METHOD FOR MEASUREMENT-BASED POWER AND ENERGY ACCOUNTING FOR VIRTUAL MACHINES - A method for measurement-based power and energy accounting for virtual machines distributed among at least one hosting device is disclosed. The method comprising determining an energy for the hosting device during a first time interval and a second time interval, partitioning a difference in the determined energy among virtual machines within a plurality of regions of the hosting device, determining a level of activity of each of the resources in each virtual machine within a corresponding one of the regions, determining an energy of each resource in each corresponding virtual machine wherein energy associated with resources shared among an plurality of virtual machines are allocated to a corresponding one of the virtual machines based on a number of requests made to the shared resource by the corresponding virtual machine, determining a total energy for each of the virtual machines in corresponding regions based on a level of activity of the virtual machine and the energy associated with the corresponding shared resources, and determining a power for each of the virtual machines by dividing the determined total energy by a length of the time interval. | 01-14-2010 |
20100011228 | Power supply - A power supply allows an electronic product to access the Internet, characterized in that a casing of the power supply is provided with a network connection port, the casing of the power supply is provided therein with a power line communication module, the power line communication module is coupled to the network connection port, the power line communication module receives an original package data and transmits the original package data to the electronic product via the network connection port. | 01-14-2010 |
20100011229 | METHODS OF POWERING UP A DISK DRIVE STORAGE ENCLOSURE AND STORAGE ENCLOSURES - A method of powering up a disk drive storage enclosure is disclosed, the storage enclosure having at least one power supply and at least one module having a keyed readable interface corresponding to its power rating. The method includes: receiving a power-on signal; determining the power supplying capability of the storage enclosure; determining the power requirement of the storage enclosure including reading the keyed readable interface to determine the power rating of the at least one keyed module; determining the power mode attainable by the system in accordance with the power supplying capability and the power requirement, the modes including at least power on and power off; and, powering up or not powering up the storage enclosure in accordance with the power mode. | 01-14-2010 |
20100017628 | Systems for Using Different Power Supply Configurations with a Common Motherboard - In some embodiments, an information handling system may include a motherboard including a processor and memory coupled to the processor; one or more power supply units configured to provide power to the motherboard; and a connection system configured to deliver voltage from the one or more power supply units to the motherboard in both: (a) a first configuration including a single power supply unit providing power to the motherboard; and (b) a second configuration including multiple power supply units providing power to the motherboard. | 01-21-2010 |
20100017629 | FILE SHARING APPARATUS AND FILE SHARING SYSTEM - There is provided a file sharing system which allows accessing a memory that stores failure information and using the failure information even in a case where a CPU is shut down. When a failure occurs on a substrate, a control circuit on the substrate detects the failure and stores the information on the failure to an NVRAM. In a normal case, an OS loads the failure information and transmits it to a PC for maintenance. Upon shut down of the OS, a BMC loads the failure information from the NVRAM according to an instruction from the PC for maintenance. If an operator operates a manual switch upon power shut down, the BMC operates with the power supply from a battery, and the failure information is obtained from the NVRAM. | 01-21-2010 |
20100017630 | POWER CONTROL SYSTEM OF A HIGH DENSITY SERVER AND METHOD THEREOF - A power control system of a server system is described. The power control system includes a plurality of server motherboards, a power supply and a micro controller. Each server motherboard comprises a BIOS, a power switch and a baseboard management controller (BMC), wherein each power switch is operable to selectively switch on or switch off a power supplying of each corresponding server motherboard, and each BMC is operable to output a status order in response to a control information of each corresponding BIOS. The power supply is electrically connected to all the power switches of all the server motherboards and operable to supply power to all the server motherboards. The micro controller is electrically connected to all the BMCs and operable to order the power switches to selectively switch on or switch off a power supplying of each corresponding server motherboard in response to the status order. | 01-21-2010 |
20100017631 | Detection Algorithm for Delivering Inline Power Down Four Pairs of an Ethernet Cable to a Single Powered Device - Methods and apparatus for determining that all conductors of an Ethernet connection are connected to the same powered device (PD). In one disclosed embodiment, it is first determined that a signal conductor pair of the Ethernet connection is coupled to a valid PD according to a discovery process, and the unused conductor pair of the Ethernet connection is also coupled to a valid PD. However, it is not yet determined whether they are both coupled to the same PD. This disclosure provides for injecting a polluting signal into one of the conductor pairs, and performing the discovery process on the other conductor pair. If the discovery process fails on the other conductor pair as a result of the polluting signal, then it is determined that both of the conductor pairs are indeed coupled to same PD. | 01-21-2010 |
20100023784 | Power Delivery Over Ethernet Cables - A method for power delivery comprises coupling an Ethernet cable comprising four wire pairs to a power delivery system and providing power to a powered device on all of the wire pairs. A power delivery system includes an interface operable to couple to an Ethernet cable comprising four wire pairs and a controller operable to provide power to a powered device on all of the wire pairs. | 01-28-2010 |
20100031066 | Method and System for Determining Power Over Ethernet Class Capabilities - The present invention relates generally to methods and systems for determining a class of power delivered via power sourcing equipment (“PSE”) utilizing power over Ethernet (“POE”) technology. Described is a method including operating an network device at a first power setting, increasing a power requirement of the network device, detecting a second power setting based on an amount of power available from a power source, the second power setting being greater than the first power setting, determining whether one or more resources of the network device is operable at the second power setting, operating the network device at the second power setting if the one or more resources is operable, and activating an alert if the one or more resources is not operable. Further described is a system including a network device operating at a first power setting, the network device increases a power requirement, a power source providing an amount of power to the network device at a second power setting, the second power setting being greater than the first power setting, and a server determining whether one or more resources of the network device is operable at the second power setting, wherein the network device operates the at the second power setting if the one or more resources is operable, and the network device activates an alert if the one or more resources is not operable. | 02-04-2010 |
20100031067 | Adaptive Noise Suppression Using a Noise Look-up Table - A proactive noise suppression system and method for a power supply network of an integrated circuit. The system and method include receiving an IC event sequence to a memory element, correlating the IC event sequence to a storage location in a second memory element, the storage location including an anti-noise response signature, and utilizing the anti-noise response signature to proactively generate an anti-noise response in a power supply network in at least a portion of the integrated circuit at about the time of execution of the first IC event sequence. Anti-noise response signatures may be adaptively updated and/or created based on noise measurements made corresponding to execution of an IC event sequence by the integrated circuit. | 02-04-2010 |
20100031068 | POWER SUPPLY CONSUMING LOW ENERGY IN STANDBY CONDITIONS - A power supply consuming low energy in standby conditions includes a primary power system activated by standby power, a standby power system, a remote start/stop signal source and a start triggering circuit. The remote start/stop signal source is triggered by users to generate a remote start/stop signal sent to the start triggering circuit to generate a start signal. The standby power system is connected to a control unit and generates the standby power according to an electric signal provided by the control unit. The control unit also determines time series of sending the electric signal to the standby power system according to time series of the start signal being generated. Thus a mechanism to start and stop the standby power system is formed. | 02-04-2010 |
20100031069 | Method for Managing the Power Transmitted from a Central Network Component to a Decentralized Network Component via a Line - Disclosed is a method for managing the power transmitted from a central network component to a decentralized network component via a line, said method determining the ultimately available power in a simple manner. In said method, a respective decentralized network component that is assigned to a predefined power class and can adjust different functionalities, each network component, successively simulates decentralized network components belonging to different power classes, verification is made as to whether the central network component responsible for transmitting power supports a decentralized network component of the simulated type, and the respective decentralized network component decides which functionalities implemented therein are activated after the respective decentralized network component has determined the power classes supported by the central network component. | 02-04-2010 |
20100031070 | POWER SUPPLY SYSTEM, SERVER, POWER SUPPLY METHOD, PROGRAM, AND STORAGE MEDIUM - Provided are a power supply system, a server, a power supply method a program, and a storage medium which enable wasteless and efficient PoE power supply to a device that is powered through a LAN. The power supply system comprises a LAN switch connected to the LAN to perform the PoE power supply to the device through the LAN and a server for determining whether or not the PoE power supply is to be performed. | 02-04-2010 |
20100037070 | DEMAND BASED POWER ALLOCATION - A demand based power re-allocation system includes one or more subsystems to assign a power allocation level to a plurality of servers, wherein the power allocation level is assigned by priority of the server. The system may throttle power for one or more of the plurality of servers approaching the power allocation level, wherein throttling includes limiting performance of a processor, track server power throttling for the plurality of servers. The method compares power throttling for a first server with power throttling for remaining servers in the plurality of servers and adjusts throttling of the plurality of servers, wherein throttled servers receive excess power from unthrottled servers. | 02-11-2010 |
20100042852 | POWER-ON PROTECTION METHOD, MODULE AND SYSTEM - The embodiment of the present invention discloses a power-on protection method, a module and a system. The method includes obtaining installation information and installation configuration file information of a blade server in a blade frame, wherein the installation configuration file information is configuration strategy information about setting the blade server in the blade frame; and detecting whether the installation information is matched with the installation configuration file information and supplying power to the blade server if the installation information is matched with the installation configuration file information, otherwise, not supplying power to the blade server. The misplug and the mixed plugging of the blade server is easily detected according to the installation configuration file information through the power-on protection method, the module and the system provided by the embodiment, thus avoiding the problems such as service abnormity and device fault caused by the wrong plug. | 02-18-2010 |
20100042853 | METHODS AND APPARATUS FOR PROVISIONING PHANTOM POWER TO REMOTE DEVICES - An apparatus provisions power from a power budget to remote devices configured to obtain phantom power. The apparatus includes, among other things, a controller which is configured to identify a power demand for a remote device (e.g., a power demand based on an actual cable loss), and generate a comparison between the power demand for the remote device and the power budget of the apparatus. The controller is further configured to allocate power from the power budget provided by the power supply to the remote device when the comparison indicates that the power budget supports the power demand for the remote device, and reject allocation of power from the power budget to the remote device when the comparison indicates that the power budget does not support the power demand for the remote device. | 02-18-2010 |
20100042854 | INLINE POWER CONTROL - A physical layer for an inline power device of a network power system. The network power system includes inline power devices such as power source equipment and a plurality of powered devices and further includes a plurality of corresponding transmission media. The plurality of transmission media are connected to the corresponding power source equipment and powered devices through a power interface at each end of the transmission media. The multiple power interfaces of the power source equipment are often referred to as ports. For each port of the power source equipment and the plurality of powered devices, there exists a physical layer. The physical layer includes an inline power control signal source. The inline power control signal designates when to apply power to a port when there is no power applied to the port and when to remove power from the port when there is power applied to the port. | 02-18-2010 |
20100049994 | Universal Ethernet Power Adapter - A power apparatus enables communication on a network. The power apparatus comprises a power adapter and a power injector coupled to the power adapter. An Ethernet output terminal is coupled to the power injector which enables power management and universal connection to the power adapter. | 02-25-2010 |
20100049995 | Enhanced Thermal Management for Improved Module Reliability - Mitigating effects of delamination of components in the data processing system is provided. A signal is received from one or more sensors in the data processing system. A determination is made as to whether the signal indicates that one threshold in a plurality of thresholds has been reached or exceeded. Responsive to the signal indicating that one threshold in the plurality of thresholds has been reached or exceeded, a determination is made as to whether the one threshold is a low temperature threshold or a high temperature threshold. Responsive to the one threshold being a low temperature threshold, one of a plurality of actions is initiated to increase a temperature of the data processing system thereby mitigating effects of delamination of the components in the data processing system. | 02-25-2010 |
20100049996 | LOAD DETECTING SYSTEM AND METHOD - A load detecting system includes a chipset connected to a hardware unit and an interrupt controller connected to the chipset. A maximum voltage value and a minimum voltage value of the hardware unit are stored in the chipset. The chipset is configured to detect a voltage signal of the hardware, and compare the voltage signal to the maximum voltage and the minimum voltage values to output an interrupt signal to the interrupt controller correspondingly. The interrupt controller is configured to adjust work frequency of the hardware unit according to the interrupt signal. | 02-25-2010 |
20100049997 | COMPUTER SYSTEM CAPABLE OF DYNAMICALLY MODULATING CORE-VOLTAGE AND CLOCK FREQUENCY OF CPU - A computer system capable of dynamically modulating a core-voltage and a clock frequency of a CPU is provided. The computer system includes a CPU capable of receiving a voltage and then generating a feedback voltage; a PWM controller capable of modulating and outputting the voltage in response to a compensated-feedback voltage, and capable of monitoring a real-time load of CPU and then generating a power monitor signal; an embedded controller for determining a power consumption of the CPU in response to the power monitor signal and then generating a control signal and a system interrupt notify signal; a voltage modulation unit capable of generating a compensate voltage in response to the control signal; a clock-frequency generator for generating a clock with a first frequency to the central processing unit; and, a BIOS for modulating the first frequency of the clock based on the system interrupt notify signal; wherein the compensated-feedback voltage is equal to the feedback voltage added to the compensate voltage. | 02-25-2010 |
20100049998 | METHOD AND APPARATUS FOR CHANGING POWER CLASS FOR A POWERED DEVICE - A method and apparatus for changing power class for a powered device are provided. During operation, a powered device, such as an IP telephone, receives power from a power source via a PoE device. In the event that the powered device detects connection to a second powered device, such as an IP telephone module, the powered device transmits a classification signal to the power source. Based upon the classification signal, the power source performs a powered device classification procedure to reclassify the powered device, such as according to an IEEE 802.3af standard, and provide an increase amount of power to the powered device. The powered device, in turn, provides a portion of the power to the modular device. The modular powered device, therefore, receives power directly from the first powered device and does not require additional equipment in order to operate. | 02-25-2010 |
20100049999 | System Software For Managing Power Allocation To Ethernet Ports In The Absence of Mutually Exclusive Detection And Powering Cycles In Hardware - A method of allocating power to ports in an Ethernet switch, including: ( | 02-25-2010 |
20100058078 | Protocol for Power State Determination and Demotion - A system may comprise a plurality of processing units, and a control unit and monitoring unit interfacing with the processing units. The control unit may receive requests for transitioning the processing units to respective target power-states, and specify respective target HW power-states corresponding to the respective target power-states. The monitoring unit may monitor operating characteristics of the system, and determine based on operating characteristics whether to allow the processing units to transition to the respective target hardware (HW) power-states. The control unit may be configured to change the respective target HW power-state to a respective updated HW power-state for each processing units for which it is determined that transition to its respective target HW power-state should not be allowed. The control unit may also be configured to infer a common target HW power-state based on the respective target HW power-states of processing units of a subset of the plurality of processing units, when the processing units of the subset of the plurality of processing units share at least one resource domain. | 03-04-2010 |
20100058079 | APPARATUS AND METHOD FOR SAMPLING POWER CONSUMPTION - In the computer apparatus which has a processing unit, a power consumption measuring unit, and a power counter, the power consumption of running programs on the processing unit is measured at arbitrary constant period, wherein the measuring value is integrated to the power counter. When the power counter overflows, the processing unit is interrupted for sampling information required for analysis. Then the processing unit which received the interruption executes a sampling of the power consumption base. So, power consumption based sampling and profiling becomes to be enabled. | 03-04-2010 |
20100064146 | POWER SYSTEM DESIGN TOOL - A power system, which can comprise multiple power subsystems (e.g., one or more power converters sources, etc.) delivers one or more rails of power to a system. The performance of a power system is thermally limited and depends on the different components' specifications. A power system design tool that dynamically generates constraints based on design specifications and power system configurations allows for robust and intelligent validation of a power system design. The power system design tool that allows for robust and intelligent validation of a design facilitates thorough designs that account for numerous factors that can affect a power system. Further, a power system design tool that generates a configuration file in accordance with a validated design, allows for efficient and automatic configuring of a configurable component of a power system. | 03-11-2010 |
20100064147 | PROFILE DRIVEN ELECTRICAL COMPONENT COMMAND INTERFACE - A profile-driven electrical component command interface allows a system to handle commands across devices that implement a specification differently. The profile-driven electrical component command interface handles electrical component command invocations for different electrical components (e.g., temperature sensor, power converter, accelerometer, gyro, etc.). The profile-driven electrical component command interface determines if an electrical component targeted by a command invocation supports the invoked command according to a profile for the targeted electrical component. The profile-driven electrical component command interface then performs the invoked command in accordance with an implementation definition provided in the targeted electrical component profile. | 03-11-2010 |
20100064148 | Universal USB power supply - A universal series bus (USB) power supply has a DC power source, a USB power interface and a voltage modulation module. The USB power interface is for connecting to an electronic device that stores a default D+ voltage and a default D− voltage. The voltage modulation module connects to and outputs signals to the D+ and D− terminals of the USB power interface. If voltage levels of the output signals are not respectively identical to the default D+ and D− voltages, the voltage modulation module changes the voltage levels of the signals output to the D+ and D− terminals of the USB power interface until the voltage levels of the output signals are respectively identical to the default D+ and D− voltages. Therefore, the USB power supply may be applied to any kind of electronic device that is charged over a USB interface. | 03-11-2010 |
20100064149 | VOLTAGE ADJUSTING SYSTEM AND METHOD FOR MOTHERBOARD COMPONENTS OF A COMPUTER - A voltage adjusting system for motherboard components of a computer includes a basic input and output system (BIOS) and a voltage regulator. The BIOS includes an input module, a voltage detection module, and a voltage record module. The input module is for receiving an adjusted voltage of a motherboard component and providing the adjusted voltage to the voltage regulator to adjust a voltage of the motherboard component. The voltage detection module is for determining whether the motherboard component works normally under the adjusted voltage. The voltage record module is for receiving the adjusted voltage and storing the adjusted voltage in a memory system when the motherboard component works normally under the adjusted voltage. | 03-11-2010 |
20100064150 | POWER SUPPLY SYSTEM AND POWER SUPPLY METHOD - A power supply system is adapted to a platform including a plurality of processing devices including baseboard management controllers (BMC) for processing basic information units, each of which is equipped with a plurality of power supply units (PSU) and at least one secondary power supply unit interconnected to a power cable. The controller calculates the maximum power consumption of the processing device based on its configuration, an adequate number of power supply units being turned on to meet the maximum power consumption, and a redundant power supply corresponding to one power supply unit times the adequate number minus the maximum power consumption. When the processing device suffers from a power shortage, the secondary power supply unit or the power supply unit of the other processing device is selectively turned on so as to recover the redundant power supply, thus normally achieving the N+1 redundancy of power supply. | 03-11-2010 |
20100064151 | APPARATUS FOR CONTROLLING SUPPLY OF ELECTRIC POWER AND APPARATUS FOR CONTROLLING ELECTRIC POWER - A power supply control apparatus communicates with a power control apparatus which controls use of electric power of an electronic apparatus. A receiver unit receives a change request to change a power supply-distribution capacity supplied to one electronic apparatus from the power control apparatus controlling use of electric power of the one electronic apparatus. A calculation unit calculates a sum of the power supply-distribution capacity supplied to the one electronic apparatus in response to the received change request and power supply-distribution capacities supplied to the other electronic apparatuses except the one electronic apparatus. A comparison unit compares the maximum power supply-distribution capacity to the sum of the calculated power supply-distribution capacities. A determination unit determines whether or not a change in the power supply-distribution capacity supplied to the one electronic apparatus is allowable based on a result of the comparison. | 03-11-2010 |
20100064152 | IC CHIP, INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING SYSTEM, AND PROGRAMS - There is provided an IC chip mountable on a CE device including a processing unit which is supplied with power from the CE device and performs processing necessary for the operation of the IC chip, an RF signal detection unit which detects radio frequency signals transmitted from a reader/writer via contactless communication and outputs detection signals indicating detection status of radio frequency signals to the CE device, and a power supply control unit which controls power supply to a logic unit or the like from the CE device according to control signals input from the CE device in response to at least the detection signals. As a result, the IC chip can appropriately control power supply from the information processing device such as the CE device according to the control signals input from the information processing device in response to the detection signals. | 03-11-2010 |
20100077232 | Processor power consumption control and voltage drop via micro-architectural bandwidth throttling - A method, device, and system are disclosed. In one embodiment the method includes supplying a processor with a first voltage. The method also includes allowing the processor to function within an enhanced processor halt state at the first voltage. The first voltage is a voltage below the lowest compatible voltage for the enhanced processor halt state. The method allows the processor to execute instructions upon waking from the enhanced processor halt state at the first voltage by throttling a maximum throughput rate of instructions being executed in the processor. | 03-25-2010 |
20100077233 | SYSTEMS AND METHODS FOR CONTROL OF INTEGRATED CIRCUITS COMPRISING BODY BIASING SYSTEMS - Systems and methods for control of integrated circuits comprising body-biasing systems. In accordance with a first embodiment of the present invention, a desirable power condition of a computer system comprising a microprocessor is determined. Body biasing voltage information corresponding to the power condition is accessed. A voltage supply coupled to a body terminal of the microprocessor is commanded to generate a voltage corresponding to the body biasing voltage information corresponding to the power condition. | 03-25-2010 |
20100077234 | CONTROL OF AWAKE TIME IN MOBILE DEVICE - A method of operating a mobile device comprises operating the mobile device in a low power mode, switching the mobile device to a high power mode in response to an event, identifying the event as specific type of event, selecting a time period according to the identified type of event, preventing an algorithm for switching the mobile device to the low power mode from executing, for the time period, and (ultimately) executing the algorithm. | 03-25-2010 |
20100077235 | MOBILE COMMUNICATION DEVICE AND CONTROLLING METHOD THEREOF - A mobile communication device includes a control circuit unit, a first interface, a status change detecting circuit, and an embedded controller. The control circuit unit is operated in either a normal working mode or a power-saving mode. The first interface is connected with the data card and the control circuit unit. The status change detecting circuit is connected with the first interface. The embedded controller is connected with the status change detecting circuit and the control circuit unit. If the control circuit unit is operated in the power-saving mode and the data card is switched from a standby status to a working status, the data card generates a status-changing signal to the status change detecting circuit. In response to the status-changing signal, the control circuit unit is controlled to be switched from the power-saving mode to the normal working mode by the embedded controller. | 03-25-2010 |
20100077236 | Method, system, and apparatus for dynamic thermal management - A method, apparatus, article of manufacture, and system, the method including, in some embodiments, processing a computational load by a first core of a multi-core processor, and dynamically distributing at least a portion of the computational load to a second core of the multi-core processor to reduce a power density of the multi-core processor for the processing of the computational load. | 03-25-2010 |
20100077237 | Bi-Directional Control of Power Adapter and Load - A system comprises a computer and an external power adapter configured to be connected to the computer to provide power to the computer. The computer comprises a computer control circuit that generates a computer control signal that is provided to the external power adapter and causes a change in an output voltage of the external power adapter. The external power adapter comprises an adapter control circuit that generates an adapter control signal that is provided to the computer and causes the computer to change its power draw. The computer and adapter control circuits generate the control signals on a common conductor interconnecting the computer and the external power adapter. | 03-25-2010 |
20100083007 | PROCESSOR PERFORMANCE DURING POWER SUPPLY FAILURE - A method, system, and computer usable program product for improving processor performance during power supply failure are provided in the illustrative embodiments. A throttled condition of a processor is detected in a data processing system. A voltage of the electrical power being provided to the processor is reduced. The processor is un-throttled. Additionally, a frequency of electrical power being provided to the processor may also be reduced. A determination is made whether a condition that caused the throttling has been corrected. In response to the condition having been corrected, the frequency is returned to normal frequency and the voltage is returned to normal voltage. The reducing the frequency operation and reducing the voltage operation may each be performed by distinct components communicating over a data network external to the data processing system. | 04-01-2010 |
20100083008 | BATTERY MANAGEMENT FOR OPTIMIZING BATTERY AND SERVICE LIFE - The provision of a mode in silver zinc batteries where a user can access extra capacity as an emergency reserve for times when extra capacity is needed. While this temporarily increases capacity, it does not detrimentally affect cycle life over the longer term, and it permits a silver zinc battery to essentially mimic the long term capacity and cycle life characteristics of a lithium ion battery while still affording inherent advantages associated with silver zinc batteries. In a variant embodiment, this ability to temporarily increase capacity is optimally employed at the end of a battery life cycle in a controlled “roll-off” that accords additional cycles of battery service life. In another variant embodiment, the general capability to control capacity is employed to gradually decrease the available capacity of a battery over the life of the battery, to thereby extend the battery service life. | 04-01-2010 |
20100083009 | POWER MANAGEMENT FOR PROCESSING UNIT - Methods, apparatuses, and systems for managing power of a processing unit are described herein. Some embodiments include determining a voltage variation of a subset of current components of a current consumed by a processing unit. Other embodiments include detecting architectural events on a processing core of the processing unit and instituting various actions to reduce an input rate of instructions to the core. Other embodiments may be described and claimed. | 04-01-2010 |
20100083010 | Power Management For Clusters Of Computers - Power management for clusters of computers, a cluster including a configuration of computers operating in a power management domain, one or more of the computers of the cluster having a power requirement that differs from power requirements of other computers in the cluster, data processing operations on the cluster effecting a processing load on the computers in the cluster, including selecting, from a plurality of configurations of computers capable of supporting a plurality of processing loads at a plurality of power levels, a configuration of computers capable of supporting the processing load at a lowest power level, the lowest power level defined by comparison among configurations capable of supporting the processing load; and reconfiguring the cluster according to the selected configuration. | 04-01-2010 |
20100083011 | INFORMATION PROCESSING DEVICE - In a configuration provided with, for example, sixty four pieces of processor cores, an on-chip-memory, a bus commonly connected thereto, and others, the processor cores are operated by a power supply with low voltage and a clock with low frequency, and the bus is operated by a power supply with high voltage and a clock with high frequency. Each of the processor cores is provided with a bus interface and a frequency divider in order to absorb a power supply voltage difference and a frequency difference between the bus and each of them. The frequency divider generates the clock with low frequency from the clock with high frequency, and the bus interface is provided with a level shifting function, a data width converting function, a hand shaking function between the bus and the bus interface, and the like. | 04-01-2010 |
20100083012 | Inductive Signal Transfer System for Computing Devices - At least two devices are provided that can each form part of a system to inductively exchange power and data. One device is capable of inductively transmitting a power signal to a second device, and to receive feedback from the second device in order to regulate the power signal. | 04-01-2010 |
20100083013 | Various Methods and Apparatuses for Power States in a Controller - Various methods, apparatuses, and systems are described in which a chipset controller has circuitry to control communications with a peripheral device in a computing device. The chipset controller has logic configured 1) to detect a plug-in event when the peripheral device connects to the chipset controller and 2) to transition the chipset controller from a low power consumption state to a higher power consumption state based on the logic detecting the plug-in event. | 04-01-2010 |
20100088530 | CRADLE FOR CONNECTING TO PORTABLE ELECTRONIC APPARATUS - A cradle for connecting to a portable electronic apparatus is described. The cradle includes an AC adapter connector, a USB connector, a mini USB plug, and a USB signal detecting circuit. The USB connector is used to connect to a USB interface of a computer. The mini USB plug is used to connect to the portable electronic apparatus. The USB signal detecting circuit detects the USB_D+ and the USB_D− of the USB connector. When the computer is suspended from an operating state, the portable electronic apparatus is charged by the AC adapter through the mini USB plug. When the computer resumes normal operation, the USB connection between the portable electronic apparatus and the computer is automatically reestablished. | 04-08-2010 |
20100095136 | POWERED COMMUNICATIONS INTERFACE WITH PRE-OPERATING MODE USING LOW VOLTAGES AND CURRENTS FOR INFORMATION SIGNALING - A powered device can provide information to another device (such as a power sourcing equipment) via a powered communications interface when operating power is not being provided to the powered device via the powered communications interface, enabling a variety of functions and applications. Relatively low voltages and currents of the type used for detection and classification of the powered device are used in an extended way to achieve the communications. The power sourcing equipment performs additional detections and/or classifications, and the powered device responds by presenting corresponding signatures or identity networks that convey additional information beyond the presence and power requirements of the powered device. As an example, a powered device can present a sequence of signatures signifying that power should be applied to the powered device notwithstanding an active policy of withholding power in furtherance of a power conservation scheme. Many other kinds of information can be signaled for a variety of system-level purposes and using a variety of signal forms using the relatively low signaling voltages and currents. | 04-15-2010 |
20100095137 | Dynamic Frequency And Voltage Scaling For A Computer Processor - Dynamic frequency and voltage scaling for a computer processor, including retrieving information specifying a nominal operating point of frequency and voltage and an operating range of frequency and voltage for the processor; creating, by the power management module dynamically at run time in dependence upon the retrieved information, a table of frequency, voltage pairs, each pair specifying an operating point of frequency and voltage for the processor, each pair disposed upon a line drawn in frequency-voltage space through the nominal operating point between the minimum operating point and the maximum operating point, the distance between each pair defined in dependence upon a minimum change in power supply voltage supported by the power supply; and selecting and applying, by the power management module from the table, an operating voltage and frequency for the processor in dependence upon current operating conditions of the processor. | 04-15-2010 |
20100095138 | COMPUTER START-UP TIMING CONTROL DEVICE AND METHOD THEREOF - A computer start-up timing control device and a method thereof are provided for generating a power supply signal to enable a power supply unit (PSU) to provide power. The device includes a chipset, a delay circuit, and a logic gate. The delay circuit delays a standby power ready signal of the computer to generate a standby power delay signal. The chipset generates a power supply signal. The standby power delay signal enables the logic gate to transmit the power supply signal to the PSU via the logic gate. The PSU provides a power to make the computer enter a start-up procedure. The standby power delay signal delays the time for the chipset to send a power supply signal, so that a baseboard management controller (BMC) has enough time to complete initialization. Therefore, the chipset is prevented from accessing the BMC and obtaining erroneous information before the BMC finishes initialization. | 04-15-2010 |
20100095139 | DOCKING STATION - The present invention relates to a docking station that is operable as a host. The docking station is suitable for docking a portable device that is operable as a slave. The portable device may be, for example, a personal digital assistant (PDA) or a mobile phone. The docking station includes determination means for determining an operational voltage of the docked portable device. An adjustable power supply outputs a supply voltage to the docked portable device in accordance with the determined operational voltage. Typically, the power supply includes control means (e.g. microcontroller) for controlling the supply voltage outputted from the adjustable power supply. The present invention also relates to a method for outputting the supply voltage from the host docking station to the docked slave portable device. | 04-15-2010 |
20100100750 | TECHNIQUES FOR ENSURING POWER DELIVERY OVER ONLY DATA-ACTIVE PAIRS OF DATA COMMUNICATIONS CABLING - A power delivery technique which involves connecting power sourcing equipment (PSE) with a powered device (PD) through data communications cabling (e.g., an Ethernet cable). The technique further involves negotiating an acceptable power level for the PD and a data rate for the PD, and enforcing delivery of power to the PD using only wire pairs of the data communications cabling which carry data between the PSE and the PD. As a result, the PSE does not deliver any power to the PD through wire pairs which are not data-active. | 04-22-2010 |
20100100751 | Power Management Method for a Portable Computer System and Related Power Supply Device and Portable Computer System - A power management method for a portable computer system is disclosed. The portable computer system includes a plurality of power storage devices utilized for storing power and outputting a discharge current to the portable computer system. The power management method includes receiving a power and generating a corresponding charge current for charging a power storage device of the plurality of power storage devices, and comparing the discharge current and the charge current and adjusting power consumption of the portable computer system accordingly. | 04-22-2010 |
20100106981 | METHODS OF INVOKING VARIOUS FUNCTIONS OF A DIGITAL MEDIA PLAYER USING A SINGLE SWITCH OF THE DIGITAL MEDIA PLAYER - There is provided a method for charging a power source of a digital media player ( | 04-29-2010 |
20100106982 | ADAPTIVE COMPUTING RESPONSIVE TO ENVIRONMENTAL CONDITIONS - Methods, including service methods, articles of manufacture, systems, articles and programmable devices are provided for adapting the power consumption of a computational device in response to environmental conditions. Operating environmental condition data relevant to the generation of electric power is acquired from an operating environment feed and analyzed to determine a high electric power demand indication. If the analyzing determines a high electric power demand indication, then a computational device automatically reduces an amount of electric power consumption. | 04-29-2010 |
20100106983 | System and Method for Adjusting Information Handling System Over Current Protection - An AC-to-DC adapter provides power to information handling systems at different power levels based on the power rating of the information handling system. An adapter manager sets a first lower level at an over current protection circuit unless the information handling system sends identification information that indicates the information handling system is rated to accept a second higher power level, such as by sending a low signal pulse through a serial communication line. While the identification information is present and external power is applied to the adapter, the adapter manager sets a second higher level at an over current protection circuit to allow output of a second higher power level. | 04-29-2010 |
20100106984 | Shared interface device for power supply over ethernet (POE) and wireless network mdoule - The present invention relates to a shared interface device for Power Over Ethernet (POE) and a wireless network module, comprises a motherboard and an interface device used for inserting a POE card or a wireless interface card. The motherboard includes an Ethernet connection interface, a signal processing unit, a logical conversion unit and an external power supply interface. When signals and power from an external network are transmitted via the Ethernet connection interface to the interface device, these signals and power will be further transmitted into the POE card for filtering to capture the power. Then, the captured power will be fed back to the interface device and transmitted via the logical conversion unit to the motherboard for use; when the logical conversion unit receives the power from the external power supply interface, the power is provided for the wireless interface card and the motherboard for use. Such shared design will effectively reduce complexity of circuit layout in the motherboard and lower costs for enterprises in developing a set of independent modules separately. | 04-29-2010 |
20100106985 | SYSTEM AND METHOD FOR GLOBAL POWER MANAGEMENT IN A POWER OVER ETHERNET CHASSIS - A system and method for global power management in a power over Ethernet (PoE) chassis. Power supply status signals indicative of an operating condition of a plurality of power supplies are provided to a plurality of power sourcing equipment (PSE) controller chips in a plurality of blades of a chassis system. Pre-configured combination logic within each of the PSE controller chips converts an indicated operational state of the plurality of power supplies into a powering decision for each of the ports served by the PSE controller chip. Global power management is also effected through the use of scaling factors for the various blades to ensure that the lowest priority powered port (LPPP) in a first blade does not have a lower priority than the highest priority non-powered port (HPNPP) in a second blade. | 04-29-2010 |
20100106986 | DEVICE STATE CONTROL METHOD AND INFORMATION PROCESSING APPARATUS - A device state control method for use in an information processing apparatus that has a body, a device connectable to the body, a storage portion which stores information of a state of power supply to the device, the device state control method including: determining whether the information of state of power supply stored in the storage portion is information of power-supply OFF state or not, after instructing to shift the state of the power supply to the device from the power-supply OFF state into a power-supply. ON state; and starting the power supply to the device, when the information of power-supply OFF state is stored in the storage portion. | 04-29-2010 |
20100115292 | MULTI-VOLTAGE POWER SUPPLY - A multi-voltage power supply includes a transformer connecting to an AC power source to regulate voltage and deliver voltage-transformed electric power, a rectification output circuit connecting to the transformer to rectify the voltage-transformed electric power and output first DC power, and at least one voltage regulation circuit to receive the first DC power from a first DC power output line and regulate to become second DC power. The first DC power on the first DC power output line reaches a first potential after a voltage boosting period. The rectification output circuit has a rear end installing a hysteresis unit which adds a delay time in the voltage boosting period to defer the time of the first DC power reaching the first potential. Thereby the time difference between delivering DC power output of the first DC power and the second DC power can be regulated to avoid abnormal start of computers. | 05-06-2010 |
20100115293 | DETERMINISTIC MANAGEMENT OF DYNAMIC THERMAL RESPONSE OF PROCESSORS - Methods and apparatus relating to deterministic management of dynamic thermal response of processors are described. In one embodiment, available thermal headroom may be used to extract the performance potential in a deterministic way, e.g., such that it reduces or even eliminates the product-to-product variations. Other embodiments are also disclosed and claimed. | 05-06-2010 |
20100115294 | Delayed shutdown system for auxiliary power supply device of personal computer - Disclosed is a delayed shutdown system applicable to a computer system including two power supply devices and a slave power supply interface card. A secondary power supply device of the delayed shutdown system serves as an auxiliary power supply to the computer system. The slave power supply interface card includes a delayed shutdown circuit, which delays the shutdown of the auxiliary power supply when the computer system is shut down, so that after the computer system is shut down, the secondary power supply device is maintained in operation for a given period of time. Thus, even the peripheral devices connected to the slave power supply interface card are set in a signal silent condition after the computer is shut down, they can maintain in operation with the electrical power supplied from the auxiliary power supply during the given period of time and thus they are provided with sufficient time complete a smooth shutdown operation by returning to a home position/an original condition and sufficiently dissipating heat therefrom. | 05-06-2010 |
20100115295 | Method And System For Energy Efficient Communication Among One Or More Interfaces In A Communication Path - Network devices coupled via serial and/or parallel interfaces may determine a power level mode of operation according to an energy efficient network communication control policy and may configure one or more of the interfaces for the lower power mode. The network devices may comprise a PHY, a MAC and/or higher layer devices. The interfaces may comprise a XGMII extender, a XAUI and/or a XFI device. The interfaces may comprise a backplane PHY, for example, a 10 GBASE-KR, a 10 GBASE-KX4 and/or a 1 GBASE-KX PHY which may perform FEC. The interfaces may comprise direct attach copper such as SFP+ and/or InfiniBand and/or 10 GBASE-CX4 PHYs. The interfaces may comprise a pluggable transceiver module. Energy efficient network control data may be communicated to and/or from the network devices which may enter and/or exit a lower power mode of operation. | 05-06-2010 |
20100115296 | INFORMATION PROCESSOR - According to one embodiment, an information processor, which is capable of supplying power to an external device, includes a connector, a storage module, and a power supply control module. The connector connects the external device to the information processor. The storage module stores a plurality of power supply modes each defining conditions of respective modules of the information processor to make the external device connected to the connector chargeable. The power supply control module selects a power supply mode effective for the external device from the power supply modes when the external device is connected to the connector, and sets the respective modules of the information processor according to the conditions corresponding to the power supply mode selected. | 05-06-2010 |
20100115297 | CIRCUIT, METHOD FOR OPERATING A CIRCUIT, AND USE - Circuit, method for operating a circuit, and use, having a voltage regulator, which has a regulator output for providing a supply voltage, which for the supply can be connected to at least one first digital subcircuit via a first switch and to a second digital subcircuit via a second switch, wherein the voltage regulator is formed to output a first status signal dependent on the supply voltage, and to turn on the first switch by the first status signal is connected to a first control input of the first switch, and the first switch is formed to output a second status signal dependent on its switching state, and to turn on the second switch by the second status signal is connected to a second control input of the second switch. | 05-06-2010 |
20100115298 | APPARATUS AND METHOD FOR ADAPTIVELY CHANGING CONSTANT POWER LEVEL OF SYSTEM IN COMPLIANCE WITH SYSTEM SPECIFICATION - An apparatus and method for adaptively changing a constant power level of a system in compliance with a current system specification can improve safety issues such as overheating, due to excess charging capacity. After purchase/after market add-ons to the system made by a customer can change the load such that the present invention adaptively changes the constant power level supplied to match the after initial purchase add-ons. The method includes acquiring system specification information, searching for a constant power level corresponding to the acquired system specification information, and changing a constant power level according to the searched constant power level. | 05-06-2010 |
20100115299 | COMPENSATION FOR HIGH POWERED MIDSPAN POWER SOURCING EQUIPMENT - A midspan unit arranged to supply power to a powered device over data communication cabling constituted of a signal conditioner exhibiting a transfer function with a gain of not less than −0.4 dB as compared with: (K | 05-06-2010 |
20100115300 | METHOD AND DEVICE FOR ADJUSTING CLOCK FREQUENCY AND OPERATING VOLTAGE OF COMPUTER SYSTEM - A frequency and voltage adjusting method is provided for adjusting a clock frequency or an operating voltage of a first component of a computer system. Firstly, a control function of a computer keyboard is enabled. Then, an initiating signal is generated by the computer keyboard. After the initiating signal is received, a control key of the computer keyboard is depressed to generate a frequency-increasing signal, a frequency-decreasing signal, a voltage-increasing signal or a voltage-decreasing signal. The clock frequency is increased according to the frequency-increasing signal. The clock frequency is decreased according to the frequency-decreasing signal. The operating voltage is increased according to the voltage-increasing signal. The operating voltage is decreased according to the voltage-decreasing signal. | 05-06-2010 |
20100115301 | CPU POWER DELIVERY SYSTEM - A central processing unit (CPU) is disclosed. The CPU includes a CPU die; and a voltage regulator die bonded to the CPU die in a three dimensional packaging layout. | 05-06-2010 |
20100122096 | SMPS CIRCUIT WITH MULTIPLE AC/DC INPUTS AND APPLICATION OF SUCH CIRCUIT TO COMPUTER POWER SUPPLIES OR LAPTOP ADAPTERS - The invention relates to a switch mode power supply (SMPS—Switching Mode Power Supply) which provides the output voltages generated in the AC-network-input switch mode power supply (SMPS—Switching Mode Power Supply) circuits also with battery and similar supply inputs when necessary by using a shared power transformer (T | 05-13-2010 |
20100122097 | COMMAND DECODER FOR MICROCONTROLLER BASED FLASH MEMORY DIGITAL CONTROLLER SYSTEM - A command decoder used for a microcontroller based Flash memory digital controller system includes multiple subsystems, including the command decoder, which serves as the main user interface for interpreting commands from a user and managing the priority of commands and command modes. The command decoder also stores crucial information including address, data, opcodes, and various flags registers that are used by other subsystems including the program buffer, burst read module, register block, and microcontroller. In addition, the command decoder contains clock synchronization logic, controls the sleep function of the microcontroller and serves as a test mode controller. | 05-13-2010 |
20100131777 | POWER MANAGEMENT IMPROVEMENTS - A data processing system refreshes a display at a first frequency when operating in a first power mode. The data processing system refreshes the display at a second frequency when operating in a second mode. The first frequency is higher than the second frequency, and the second power mode is configured to consume less power than the first power mode. | 05-27-2010 |
20100131778 | COMPUTER SYSTEM - A computer system including a power supply and N main boards is provided, herein N is an integer greater than 1. The power supply generates a main power and a standby power. The N main boards respectively correspond to one standby voltage. The 1 | 05-27-2010 |
20100131779 | COMPUTER SYSTEM - A computer system including a first and second main boards, a judgment unit, a power supply, a first switch and second switch is provided. The judgment unit receives a first and second power start signals from the first and second main boards, and outputs a total power start signal. The power supply outputs a power reply signal according to the total power start signal. The first and second switches determine whether to output a power good signal individually according to the first and second power start signals. When one of the first and second power start signals is available, the total power start signal and the power reply signal are available, and the power supply outputs an operating voltage. When the first and second power start signals are unavailable, the total power start signal and the power reply signal are unavailable, and the power supply stops outputting the operating voltage. | 05-27-2010 |
20100131780 | Selective mode PHY device for managing power utilization - There is provided a method of managing power utilization for use by a first communication system having a first communication mode and a second communication mode, for communication over a PHY connection through a cable connecting the two systems. The method comprises establishing the PHY connection with the second communication system, detecting interoperability of the two systems in the first communication mode, determining a length of the cable connecting the first communication system to the second communication system, selecting a mode based on the length of the cable, if the detecting detects interoperability of the first communication system and the second communication system in the first communication mode, selecting the second communication mode as the mode, if the detecting does not detect interoperability of the first communication system and the second communication system in the first communication mode, communicating data using the PHY connection through the cable in the mode. | 05-27-2010 |
20100138675 | Methods and Systems for Managing Power to Multiple Processors - A system for controlling power to multiple processing cores operating in separate domains in an information handling system (IHS) is provided. The system includes a power regulator that is programmable to output voltage depending on a processor domain and a corresponding performance state. In some instances, one processor may be an integrated graphics processing unit, and another processor may be a discrete graphics processing unit. | 06-03-2010 |
20100146305 | Electronic Apparatus and Method of Controlling the Same - An electronic apparatus that selectively performs one of a plurality of operation modes including a first mode and a power saving mode with lower power consumption than the first mode, includes: a memory unit that stores data; a power unit that is supplied with power; and a control unit that stores in the memory unit the operation mode at the time at which supply of power to the power unit is stopped, and when the supply of power to the power unit is started, reads the operation mode stored in the memory unit and starts operation in the read operation mode. | 06-10-2010 |
20100146306 | Retail display system and low power, bi-stable wireless monitor for use therein - A retail display system includes a centralized hub and a local retail facility. The centralized hub includes a hub server that independently communicates with a local server at the retail facility. In turn, the retail facility includes a plurality of wireless monitors that communicate with its local server. In this manner, each monitor is capable of wirelessly receiving a bundle of display events from the hub server via the local server, each display event instructing certain monitors to display a particular image at a specific time. To limit its power consumption, each monitor includes a display capable of retaining an image in the absence of power, such as a bi-stable LCD, and is configured to activate either to scan and receive display event updates or to comply with the next scheduled display event. As a result of its limited power requirement, each monitor is powered primarily using ambient solar energy. | 06-10-2010 |
20100146307 | Power Hub - A USB hub utilizes an external power supply connection and rechargeable battery to provide a fully functional USB hub that provides portable backup power for USB devices. The hub includes a housing with a host connector that is adapted to be coupled to a host. Device connectors are also positioned on the housing that are adapted to be coupled to electronic devices. A hub circuit enables USB communications between the devices and host connected to the hub. The rechargeable battery is selectively charged from the host or external power supply connection. A microcontroller controls the hub circuitry such that power is provided to the hub circuit and the device connectors from an external power source or host if available or the rechargeable battery if they are not. | 06-10-2010 |
20100146308 | PORTABLE POWER SUPPLY DEVICE FOR MOBILE COMPUTING DEVICES - A portable power supply device for a mobile computing device is provided. The portable power supply device comprises a retention structure to retain the mobile computing device, a power source, and an inductive signal interface. The inductive signal interface is used to inductively signal power from the power source to a corresponding inductive signal interface of the mobile computing device. | 06-10-2010 |
20100146309 | SEMICONDUCTOR DEVICE FOR SUPPLYING POWER SUPPLY VOLTAGE TO SEMICONDUCTOR DEVICE - A semiconductor device includes first, second and third power supply terminals respectively supplied with first, second and third power supply voltages. The semiconductor device also includes a first terminal connectable to a host device and a second terminal connectable to a peripheral device. The semiconductor device also includes a first circuit block connected to the first terminal and the first power supply terminal and receiving data output from the host device based on the first power supply voltage, a second circuit block connected to the second terminal and the third power supply terminal and receiving data output from the peripheral device based on the third power supply voltage, and a third circuit block connected to the second power supply terminal and controlling operation of the first circuit block and the second circuit block based on the second power supply voltage. | 06-10-2010 |
20100146310 | Multiprocessor system and multigrain parallelizing compiler - Provided is a multiprocessor system and a compiler used in the system for automatically extracting tasks having parallelism from an input program to be processed, performing scheduling to efficiently operate processor units by arranging the tasks according to characteristics of the processor units, and generating codes for optimizing a system frequency and a power supply voltage by estimating a processing amount of the processor units. | 06-10-2010 |
20100146311 | Method and Apparatus for a Zero Voltage Processor Sleep State - Embodiments of the invention relate to a method and apparatus for a zero voltage processor sleep state. A processor may include a dedicated cache memory. A voltage regulator may be coupled to the processor to provide an operating voltage to the processor. During a transition to a zero voltage power management state for the processor, the operational voltage applied to the processor by the voltage regulator may be reduced to approximately zero and the state variables associated with the processor may be saved to the dedicated cache memory. | 06-10-2010 |
20100153750 | Method and system for providing dynamic power sharing to network devices - Embodiments include a network switch for use in a local area network that interconnects the switch and one or more network powered devices, the switch also being operatively connected to at least one power supply that powers the switch, the switch comprising a plurality of ports to which network powered devices are connected, at least one management module for controlling the operation of the switch, the module having memory for storing information relating to the power and operating requirements of each connected network device, and a processor for controlling the distribution of power from the power supply among the ports, including selectively time slicing power provided to at least selected ones of the ports. | 06-17-2010 |
20100153751 | Network equipment - A network equipment for a powered device of a PoE system is adapted to be connected to multiple network lines, each transmitting a network signal, and a DC power that is carried on the network signal, and that has a magnitude smaller than a power necessary for driving power-consuming components of the powered device. The network equipment includes: multiple Ethernet network ports, each coupled to a respective network line; a transformer module coupled to the Ethernet network ports, and configured to separate the DC powers from the network signals; a power module coupled to the transformer module for combining the DC powers into a supplying power; and a signal processing circuit coupled to the power module for receiving at least a portion of the supplying power, and coupled to the transformer module for processing the network signals. The supplying power has a magnitude sufficient for driving the power-consuming components. | 06-17-2010 |
20100153752 | Computers Having a Biometric Authentication Device - A portable computer having a biometric authentication device is disclosed. The portable computer also includes a processor, a power supply unit having a first power system and a second power system, a power control circuit for controlling the power supply unit, and a start-up button for generating a startup signal for starting the portable computer. The biometric authentication device sends a power request signal to the power control circuit to activate the second power system upon a detection of a human user in a state where the second power system is deactivated and electric power is being supplied by the first power system. The biometric authentication device can perform an authentication operation while receiving electric power from the second power system, and the power control circuit causes the portable computer to transition to a power-on state in response to an authentication success by the biometric authentication device. | 06-17-2010 |
20100153753 | POWER CIRCUIT, INFORMATION PROCESSING APPARATUS, AND POWER CONTROL METHOD - A power circuit, information processing apparatus, and power control method are provided. The power circuit includes a determining unit configured to determine whether a control signal provided to control a power source has a fixed frequency characteristic, and an adjusting unit configured to randomly change time when a voltage transmitted to the power source is changed within a prescribed range when the determining unit determines that the control signal has the fixed frequency characteristic. | 06-17-2010 |
20100153754 | METHOD FOR TRANSFORMING VOLTAGE IDENTIFICATION CODE OF A MICROPROCESSOR - The disclosure is related to a method for transforming voltage identification codes of a microprocessor. The method comprises the steps of: receiving a first voltage identification code of a first voltage regulation standard, wherein the first voltage identification code is in correspondence with a first voltage; and transforming the first voltage identification code into a second voltage identification code of a second voltage regulation standard, wherein the second voltage identification code is in correspondence with a second voltage, and the second voltage is the same as the first voltage. | 06-17-2010 |
20100153755 | VID PROCESSOR, VOLTAGE GENERATING CIRCUIT AND GENERATING METHOD - A VID processor includes a plurality of buffers, comparators, multiplexers and a core processing unit. The buffer may store a plurality of parameter values and a plurality of offset values. The buffers storing the parameter values may be coupled to the corresponding comparators, and other buffers may be coupled to the corresponding multiplexers. The comparator may compare the VID with the parameter values in the coupled buffer and output a selecting signal to the corresponding multiplexer according to the comparison outcome. Thus, the multiplexer may select and output one of the offset values to the core processing unit from the coupled buffer to allow the core processing unit to adjust the VID according to the output of the multiplexer. | 06-17-2010 |
20100153756 | LOAD ADAPTIVE POWER DELIVERY - Embodiments disclosed herein include a power monitor and controller which are used to control the operation of a voltage regulator depending on an operating mode or state of a load device, such as a hard disk drive. By controlling the voltage regulator in this manner, voltage regulator efficiency may be improved for any load condition, thus reducing power losses in the system. | 06-17-2010 |
20100153757 | BALANCING POWER SUPPLY AND DEMAND - A method and apparatus to balance adapter power supply and computing device power demand. In one embodiment, power to/from battery pack(s) maybe controlled by adjusting the output voltage of the power adapter via the current input to the power adapter through a feedback pin to meet power demand of electrical loads. Another embodiment provides a way to adjust the activities of the electrical loads such that neither adapter power rating nor the electrical load power limit is exceeded while avoiding system shutdown. | 06-17-2010 |
20100162006 | ADAPTIVE POWER BUDGET ALLOCATION BETWEEN MULTIPLE COMPONENTS IN A COMPUTING SYSTEM - According to some embodiments, a power budget allocation engine of a multi-component computer system may receive a power budget allocation adjustment request signal from a first component. Based on the received budget allocation adjustment request signal (and, in some embodiments, a component preference), the power budget allocation engine may determine whether to adjust a power budget allocation signal provided to the first component. | 06-24-2010 |
20100162007 | COMPUTER SYSTEM AND POWER CONTROL APPARATUS THEREOF - A power control apparatus is disclosed. The power control apparatus includes a temperature sensor, a level-controlling unit, a reset unit and a first voltage converter. The temperature sensor is for sensing an operation temperature of a computer system and accordingly outputs a sensing signal. The level-controlling unit is for deciding whether or not to output a control voltage according to the sensing signal. The reset unit is for producing a reset signal according to a plurality of reference voltages generated by dividing the power voltage and using the control voltage to adjust the levels of the reference voltages. The first voltage converter is for producing a start signal according to the reset signal, wherein the start signal is for enabling the embedded controller. | 06-24-2010 |
20100162008 | Information processing device, information processing system, program and controller - An information processing device is provided. The information processing device which operates upon receipt of power supply from a power unit connected to an alternative current power source or from a battery includes an obtaining unit which obtains load information of parts included in the information processing device itself, a deciding unit which decides a voltage value to be supplied by the power unit which supplies electric power to the information processing device, based on the load information obtained by the obtaining unit, and an output unit which outputs a signal relative to the voltage value decided by the deciding unit to the power unit. | 06-24-2010 |
20100162009 | NETWORK COMMUNICATION SYSTEM FOR UNINTERRUPTABLE POWER SUPPLY AND METHOD FOR DIVIDING CONTROLLER GROUP FOR PERFORMING COMMUNICATION TO CONTROL OPERATING STATUS OF LOAD DEVICE OF UNINTERRUPTIBLE POWER SUPPLY FOR EACH UNINTERRUPTIBLE POWER SUPPLY - Even if a network setting changes due to power interruption or the like, communication for controlling the operating status of load devices of an uninterruptible power supply is enabled to continue. A network communication system ( | 06-24-2010 |
20100162010 | SWITCHING POWER CIRCUIT AND COMPUTER SYSTEM - The invention relates to a switching power circuit connected to a motherboard of a computer system. The switching power circuit includes a PWM circuit and a snubber circuit. The PWM circuit includes a phase terminal, and it may output an output voltage to the motherboard via the phase terminal. The snubber circuit includes a zener diode. A negative terminal of the zener diode is connected to the phase terminal, and a positive terminal is connected to the ground terminal. The level of a breakdown voltage of the zener diode is equal to the level of the output voltage in a steady state. | 06-24-2010 |
20100162011 | METHOD AND APPARATUS FOR CONTROLLING INTERRUPTS IN PORTABLE TERMINAL - An interrupt controlling method and apparatus is disclosed. The method and apparatus generates allows a connecting gate to output different signals according to whether charging electric power or communication electric power is supplied to the connector unit of the portable terminal and then outputs them to the power management IC. The power management IC performs a controlling operation in such a way that it can generate only a charging interrupt command if a travel adaptor is connected to the connector unit and generate a communication interrupt when the communication device is coupled to the connector unit. | 06-24-2010 |
20100162012 | REPORTING FLASH MEMORY OPERATING VOLTAGES - Apparatus and associated systems, methods and computer program products relate to using information stored in a flash memory to adjust the operating voltage supplied to the flash memory. The voltage information indicates a minimum operating voltage at which to operate the flash memory device. In general, operating a flash memory device near a minimal operating voltage may substantially minimize power consumption. The minimum operating voltage for individual flash memory devices may vary from IC to IC, by manufacturing lot, and by manufacturer. In a product, the minimum operating voltage for a particular flash memory may be determined, for example, by a controller built-in to a flash memory reporting (automatically or in response to a query) the minimum operating voltage (e.g., 2.5 V, 3.15 V) to a memory controller or microprocessor. The stored voltage information may further include information to adjust the operating voltage based on temperature. | 06-24-2010 |
20100169673 | Efficient remapping engine utilization - A device, system, and method are disclosed. In one embodiment device includes remapping engine reallocation logic that is capable of monitoring a first amount of traffic that is translated by a first remapping engine. If the first amount of traffic reaches the threshold level of the first remapping engine, then the logic will divert a portion of the traffic to be translated by a second remapping engine. | 07-01-2010 |
20100169674 | POWER-SOURCE CONTROL SYSTEM AND POWER-SOURCE CONTROL METHOD - A power-source control system and method which calculates required power representing electric power to be supplied to an information processing apparatus, supplies electric power corresponding to the required power calculated, controls the power-source apparatus, generates frequency information representing an operating frequency to be determined by the frequency controller in accordance with a load of a CPU, and changes the operating frequency of the CPU by assigning the operating frequency represented by the frequency information to the CPU when the electric power to be used in the information processing apparatus is adjusted using the required power calculated. | 07-01-2010 |
20100169675 | SYNCHRONIZING CIRCUIT - A synchronizing circuit includes an internal partial power supply interruption circuit section which can be subjected to a power supply interruption and includes a data transmission register configured to output data for controlling a power supply interruption and a clock enable control register configured to output an enable signal; an internal partial power supply interruption control circuit section configured to control a power supply interruption and includes a gated clock buffer configured to control a clock signal based on the enable signal, and a data reception register configured to take in data based on the controlled clock signal; and an isolation cell configured to output an output from the internal partial power supply interruption circuit section as a fixed value when the internal partial power supply interruption circuit section has been subjected to a power supply interruption. | 07-01-2010 |
20100169676 | INFORMATION PROCESSING APPARATUS AND DEVICE CONTROL METHOD - There is provided an information processing apparatus including an internal power source that supplies power, a power source information acquiring unit that acquires, from the internal power source, power source information relating to supply of the power in the internal power source, a drive status setting unit that determines power supply capability of the internal power source based on the power source information and sets setting information to define a drive status of a device in accordance with a determination result, and a device control unit that controls the drive status of one or more control subject device, based on the setting information. | 07-01-2010 |
20100174923 | Regulating Power Consumption - Methods, systems, and design structures for providing power-regulated multi-core processing. The method includes determining a configuration of processing cores for optimal power consumption. The configuration of processing cores for optimal power consumption comprises a managing core and zero or more active processing cores wherein the active processing cores are selected from one or more available processing cores operatively coupled to the managing core. The managing core receives processing requests and processes them by dynamically retaining or distributing power to the configuration of processing cores. The managing core presents an appearance of a single core to an electronic system comprising the processing cores. | 07-08-2010 |
20100174924 | HIERARCHICAL POWER MANAGEMENT - Methods, systems, apparatuses and program products are disclosed for providing power/energy control. | 07-08-2010 |
20100174925 | HIERARCHICAL POWER MANAGEMENT WITH HOT MODE - Methods, systems, apparatuses and program products are disclosed for providing power/energy control. | 07-08-2010 |
20100174926 | Data processing device and data processing method - Disclosed is a data processing device, which comprises: an input device for generating first input information; a central processor; an embedded controller, connected to the power supply, the input device and the central processor. When the data processing device is powered on, the embedded controller is adapted to control the power supply to supply power to the input device and the central processor; process the first input information as second information, which can be identified by the central processor; and send the second information to the central processor. When the data processing device is powered off or sleeping, the embedded controller is adapted to control the power supply to supply power to the input device; detect whether a terminal device comprising an operating system is connected and generate a detection result; electrically connect to the terminal device when the detection result indicates that the terminal device comprising the operating system is connected; process the first input information to obtain third input information, which can be processed by at least one program running based on the operating system in the terminal device; and send the third input information to the terminal device. The present invention provides a data processing device and data processing method for solving the inconvenient operations of the traditional handsets and the like terminal devices. | 07-08-2010 |
20100174927 | POWER CONTROL BUS - A circuit and method utilizing a power control data bus for implementing power control. Various aspects of the present invention provide an electrical circuit that comprises a power supply circuit that outputs electrical power. The electrical circuit may also comprise an integrated circuit that receives electrical power from the power supply circuit. The electrical circuit may also comprise a power control data bus, which communicatively couples a power control data bus interface of the power supply circuit and a power control data bus interface of the integrated circuit. The power control data bus may, for example, carry power control data between the integrated circuit and the power supply circuit. Various aspects of the present invention also provide a method that comprises communicating power control data over a power control data bus and utilizing the power control data to control characteristics of electrical power provided to an integrated circuit or module. | 07-08-2010 |
20100180131 | POWER MANAGEMENT MECHANISM FOR DATA STORAGE ENVIRONMENT - A method, system, and computer program product for facilitating power instability in a central electronics complex (CEC) of data storage computing environment in advance of a potential power failure is provided. Upon receipt of a first early power off warning (EPOW) signal indicating power instability, a first priority of execution of a first data storage task to be performed pursuant to a new data storage request is decreased, while a second priority of execution of a second data storage task to destage data in nonvolatile storage (NVS) to disk is increased. Upon receipt of a second EPOW signal indicating power failure, a system shutdown procedure is executed. | 07-15-2010 |
20100180132 | Power Management Device for a Computer System and Related Power Management Method and Computer System - A power management device for a computer system includes a detection unit coupled to a peripheral interface of the computer system for detecting whether a portable electronic device is coupled to the peripheral interface when the computer system is shut down, to generate a detection result, and a control unit coupled to the detection unit and a power supply device of the computer system for controlling the power supply device to output a charge current to the portable electronic device via the peripheral interface when the detection result indicates that the portable electronic device is coupled to the peripheral interface. | 07-15-2010 |
20100185877 | System and Method for Information Handling System Power Management by Variable Direct Current Input - A power manager of an information handling system selectively commands a first or second source voltage from an AC-to-DC adapter based upon power consumption of the information handling system. A lower direct current voltage is provided during reduced power consumption so that DC-to-DC conversion in a power supply is more efficient. A higher direct current voltage is provided during increased power consumption so that the current required to provide the increased power remains below a threshold current, thus allowing the power system to have components that operate at lower current levels under high power consumption operations yet with increased efficiency during low power consumption operations. | 07-22-2010 |
20100185878 | METHOD FOR CONTROLLING POWER CONSUMPTION AND A DEVICE HAVING POWER CONSUMPTION CAPABILITIES - A method for controlling power consumption of a processor, the method includes: receiving an indicator that indicates that the processor is expected to change its activity; determining, in response to the indicator and to a current power consumption of the processor, whether to change a frequency of a clock signal that is provided to the processor; and changing, if determining to change the frequency of the clock signal, the frequency of the clock signal by a reduction of the frequency of the clock signal that is followed by an increment of the frequency of the clock signal; wherein the changing of the frequency of the clock signal is responsive to an expected change of a supply voltage that is supplied to the processor as a result of a possible change in a power consumption of the processor due to an expected change of activity of the processor. | 07-22-2010 |
20100185879 | LOAD BALANCING POWER SUPPLIES - In one embodiment, a computer system comprises an enclosure, at least one power supply module in the enclosure, the power supply comprising at least a first power output and a second power output, at least one compute node, comprising an input/output module and logic to generate a power input signal to indicate a power input, and at least one administrative module coupled to the at least one power supply module and the at least one compute node. The administrative module comprises an input/output module, a power supply selector circuit module comprising logic to detect the power input signal generated by the at least one compute node and couple the compute node to one of the first power output or the second power output based at least in part on the power input signal. | 07-22-2010 |
20100185880 | TEST APPARATUS - A test apparatus for supporting compatibility testing between a motherboard and a number of power supply units includes a plug, a number of sockets, and a microprocessor. The plug is configured for connecting to the motherboard. The number of sockets is configured for connecting to the number of power supply units. The microprocessor is connected to the plug and the number of sockets, and is capable of turning on or turning off the number of power supply units, according to a power supply on signal received from the motherboard. | 07-22-2010 |
20100185881 | SEMICONDUCTOR DEVICE INCORPORATING REGULATOR AND ELECTRIC APPARATUS INCLUDING A PLURALITY OF THE SEMICONDUCTOR DEVICES - A semiconductor device includes a processing unit which generates a main signal, a first output terminal which outputs the main signal, a regulator which supplies power to the processing unit, a first input terminal which receives the input of a first control signal, a unit which generates a second control signal having a first level when the power is supplying to the processing unit and the first control signal has the first level and otherwise having a second level, a second output terminal which outputs the second control signal, a second input terminal which receives the input of a third control signal, and an gating unit which causes the first output terminal to output the main signal when the third control signal has the first level and stop the output of the main signal from the first output terminal when the third control signal has the second level. | 07-22-2010 |
20100191984 | Single Pin Port Power Control - A power controller for a peripheral bus interface. A peripheral bus power controller includes a first terminal, a second terminal coupled to receive an power enable input signal from a host controller, and a third terminal coupled to provide an over-current output signal indicative of an over-current condition to the host controller. The peripheral bus power controller further includes an enable circuit configured to assert a power enable output signal on the first terminal responsive to receiving the power enable input signal and a first buffer configured to provide the over-current output signal to the host controller responsive to the power controller detecting the over-current condition on the first terminal. | 07-29-2010 |
20100191985 | Power signal detecting system, method and a portable electronic device thereof - A power signal detecting system and method thereof are disclosed. The power signal detecting system comprises a power supply and a portable electronic device. The portable electronic device is electrically connected with the power supply to receive a power signal. The portable electronic device comprises a sensing element, a detecting module and a power management module. The sensing element is used to connect the power signal. The detecting module is electrically connected to the sensing element and is used for detecting the power signal. The power management module electrically connects to the detecting module and is used for executing a power management for the portable electronic device by identifying the power signal. | 07-29-2010 |
20100191986 | MULTI-PHASE VOLTAGE REGULATOR MODULE SYSTEM - A multi-phase voltage regulator module system includes a VRM and a phase control circuit. The VRM is capable of outputting a load detecting voltage which is direct proportion to the load of the CPU. The phase control circuit outputs a first level phase switching signal to the VRM, and a core voltage outputted by the VRM to the CPU is changed from N phases to M phases when the load detecting voltage increases to a first voltage. The phase control circuit a second level phase switching signal to the VRM, and the core voltage outputted by the VRM to the CPU from M phases to N phases when the load detecting voltage decreases to a second voltage. The first voltage is higher than the second voltage. M is larger than N. | 07-29-2010 |
20100191987 | Semiconductor device using plural external voltage and data processing system including the same - To provide a first internal voltage generating circuit that generates an internal voltage based on a first external voltage and a second internal voltage generating circuit that generates the internal voltage based on a second external voltage. The semiconductor device generates an internal voltage from a plurality of the first and second external voltages. These external voltages can be utilized efficiently depending on a load state. Therefore, even in a semiconductor device with greatly varying consumption power, it is not necessary to enlarge only a particular power supply device. | 07-29-2010 |
20100191988 | METHOD FOR REDUCING POWER CONSUMPTION OF A COMPUTER SYSTEM IN THE WORKING STATE - A method for reducing power consumption of a computer system in a working state is provided. The computer system comprises a processor, a memory and a chipset, and the processor is connected with the chipset through a processor bus. The method comprises classifying the power saving level of the computer system into a predetermined number of power saving modes, checking at least one power saving mode transition condition to determine whether to automatically raise the power saving mode of the computer system, and raising the power saving mode of the computer system by lowering a first voltage supply level of the chipset and a second voltage supply level of the memory and decreasing a first working frequency of the processor bus and a second working frequency of the memory. The power consumption of the computer system is further reduced in comparison with a normal working state when the power saving mode of the computer system is further raised. | 07-29-2010 |
20100199111 | METHOD AND SYSTEM OF DISSIPATING POWER FROM A POWER SUPPLY - Dissipating power from a power supply. At least some of the illustrative embodiments are motherboards including a printed circuit board configured to couple to a main processor (and the printed circuit board configured to couple to a main power signal and an auxiliary power signal of a power supply), and a power dissipation circuit on the printed circuit board. The power dissipation circuit is configured to detect that the main power signal has powered-off, and responsive to the detection dissipate power from the auxiliary power signal for a predetermined amount of time less than an amount of time needed to fully discharge the auxiliary power signal in the absence of alternating current (AC) power to the power supply. | 08-05-2010 |
20100199112 | INFORMATION PROCESSING APPARATUS AND POWER SUPPLY CONTROL METHOD - According to one embodiment, an information processing apparatus includes a plurality of interface ports, a device detector, and a power supply controller. The interface ports are configured to be supplied with power from the information processing apparatus and connected to a plurality of external devices. The device detector is configured to detect whether one of the external devices is connected to one of the interface ports. The power supply controller is configured to control whether to supply power to the one of the external devices connected to the one of the interface ports detected by the device detector based on power tolerance of the information processing apparatus, a total amount of power of external devices in use, and a power capacity of the one of the external devices. | 08-05-2010 |
20100199113 | APPARATUS AND METHOD FOR MANAGING POWER IN POWER OF ETHERNET SYSTEM - An apparatus and method for supplying power in a PoE system are provided. The amount of power required by a device is determined when the device connects to an interface. Power is temporarily supplied to the device if the required power supply is available to the device. It is determined whether to authenticate the device. Power is continuously supplied to the device if the device is authenticated. | 08-05-2010 |
20100199114 | METHOD AND APPARATUS FOR ON-DEMAND POWER MANAGEMENT - A method for on-demand power management is described. The method includes detecting a plurality of processing events on a system bus within a processing system, recognizing a processing event pattern, and correlating the processing event pattern with a processing demand. | 08-05-2010 |
20100205462 | Systems and Methods for Modular Power Management - A modular, adaptive power management system includes a hard disk drive controller, a read channel module, a host interface controller and a power manager system. The hard disk controller includes a processor executing firmware, and the host interface controller provides for host access via a host interface. The system includes a power island register and an oscillation control register. Both registers are writable via the firmware and via the host interface. The hard disk controller, the interface controller, the read channel module and the power manager system are implemented across two or more distinct power islands and use two or more distinct clocks. Power to the two or more distinct power islands is at least in part controlled by the power manager system via the power island register, and the two or more distinct clocks are each controlled by the power manager system via the oscillation control register. | 08-12-2010 |
20100205463 | BISTONE PORT POWER CONTROLLER FOR USB HUBS WITH LEGACY BATTERY CHARGE SUPPORT - A system and method for efficient power management of peripheral port connections. A USB hub core is configured to detect the presence of a legacy peripheral device on a downstream port when a corresponding USB host is in a non-operational state. The USB hub core chooses a battery charger signature for the peripheral device and subsequently directs an external port power controller to simulate disconnection/reconnection of the peripheral device. The chosen signature is presented to the peripheral device. If the signature matches the expected value of the peripheral device, then the peripheral device charges its battery. Otherwise, the process of choosing a different signature and simulating disconnection/reconnection is repeated. | 08-12-2010 |
20100205464 | METHOD AND APPARATUS FOR ON-DIE TEMPERATURE SENSING AND CONTROL - For one disclosed embodiment, a plurality of processor cores may be on a semiconductor die. The processor cores may have at least one corresponding temperature sensor. Circuitry on the semiconductor die may generate thermal event indications based on sensed temperatures from multiple temperature sensors of multiple processor cores. A thermal event indication may indicate that a sensed temperature exceeds a temperature point. Central management logic on the semiconductor die may receive thermal event indications based on sensed temperatures from multiple temperature sensors of multiple processor cores. The central management logic may modify operation of one or more of the processor cores in response to a thermal event indication. Other embodiments are also disclosed. | 08-12-2010 |
20100205465 | COMPUTER DEVICE AND IMAGE CAPTURER - A computer device includes a controller, a power supply circuit and a universal serial bus (USB) module. The controller is used for transmitting a data signal. The power supply circuit is used for supplying operating power. The USB module includes a USB bridge and a core circuit. The USB bridge is used for transmitting the data signal, and it operates according to the operating power generated by the power supply circuit. The core circuit operates according to the operating power generated by the power supply circuit. | 08-12-2010 |
20100211804 | System And Method For Dynamic Modular Information Handling System Power Distribution - Infrastructure power resources of a chassis information handling system are made available to run chassis information handling system modules when infrastructure devices are not using the power resources. For example, cooling fans that cool information handling system modules supported by an information handling system chassis run at variable speeds having variable power consumption. A power reserve maintained to run the cooling fans at full speed if needed is made available to information handling system modules when under power management by a chassis manager. | 08-19-2010 |
20100211805 | METHOD OF SUPPLYING POWER FOR A DEVICE WIRED ADAPTER, AND WIRELESS UNIVERSAL SERIAL BUS COMMUNICATION SYSTEM - In a method of supplying power for a device wired adapter, a stand-alone USB device is connected to the device wired adapter for operating as a wireless USB device. The stand-alone USB device is set as a USB on-the-go host. The device wired adapter is set as a USB on-the-go device. Power is supplied to the device wired adapter by the stand-alone USB device. A USB on-the-go role of the device wired adapter is swapped with a USB on-the-go role of the stand-alone USB device. | 08-19-2010 |
20100218003 | TRANSFORMERLESS POWER OVER ETHERNET SYSTEM - In one embodiment, a powered device (PD) ( | 08-26-2010 |
20100218004 | Removable Device for Injecting Electric Power for an Ethernet Network - A device for injecting electric power for an Ethernet network comprising at least one switch, one switching board, communication ports, and Ethernet cables, the device including a power supply, a power injecting circuit, a control circuit, and a module including a body bearing at least one Ethernet connector capable of being removably inserted in one of the network communication ports. | 08-26-2010 |
20100218005 | ENERGY-AWARE SERVER MANAGEMENT - The described implementations relate to energy-aware server management. One implementation involves an adaptive control unit configured to manage energy usage in a server farm by transitioning individual servers between active and inactive states while maintaining response times for the server farm at a predefined level. | 08-26-2010 |
20100218006 | COMPUTING LOAD MANAGEMENT METHOD AND SYSTEM - A load management method and system. The method includes detecting and monitoring by a computing system, a frequency signal associated with an input voltage signal used for powering computing apparatuses at a specified location. The computing system compares the frequency signal to a predetermined frequency value. The computing system determines that the frequency signal comprises a first value that is not equal to the predetermined frequency value. The computing system calculates a difference value between the first value and the predetermined frequency value. The computing system compares the difference value to a second value and analyzes a power demand profile. The computing system enables a load adjustment modification process associated with the plurality of power consumption devices based on the difference value and the power demand profile. The computing system generates and stores a report associated with the load adjustment modification process. | 08-26-2010 |
20100218007 | INFORMATION PROCESSING APPARATUS, SYSTEM AND COMPUTER READABLE MEDIUM FOR THE SAME - The information processing apparatus includes an authentication processing management section that requests an external authentication apparatus to authenticate a user who makes a processing request to the information processing apparatus and that acquires an authentication result therefrom; an authentication history management section that manages authentication history information including the authentication result of the authentication of the user, which is acquired by the authentication processing management section; a power switching section that switches a power state of the information processing apparatus; and a power control section. The power control section controls switching of a power state by the power switching section before the authentication processing management portion acquires a latest authentication result from the external authentication apparatus by referring to authentication history information registered in an authentication history table of the user, when a processing request is received from the user in a first power state. | 08-26-2010 |
20100218008 | POWER SUPPLY DEVICE - There is provided a power supply device including: a main power switch; an auxiliary power switch; a power supplying switch; a relay having a relay contact and an excitation coil that, in an excited state, closes the relay contact, and, when the excited state is cancelled, opens the relay contact; a control section effecting control such that, when the main power switch is in an on position and the auxiliary power switch is in an on/off position, the power supplying switch is turned on/off; and a driving section that drives the relay such that, when the main power switch is in an on position and the auxiliary power switch is in an on position, the excitation coil is excited and maintain the excited state, and, when the auxiliary power switch is in an off position, cancels the excited state of the excitation coil. | 08-26-2010 |
20100218009 | POWER SUPPLY FOR A SYSTEM INCLUDING CHIP CARD CONNECTED TO A HOST DEVICE - There is provided a system that comprises a chip card and a host device connected to the chip card via a first interface. An add-on module is configured to be coupled to the chip card to execute a transaction, the chip card being supplied with power by a first power supply of the host device. A second power supply is configured to supply the chip card with power when a transaction is executed. | 08-26-2010 |
20100223480 | Power Sharing Among Portable Electronic Devices - A portable electronic device is operative to facilitate power sharing with at least a second electronic device coupled thereto. The portable electronic device includes a battery power source, a first port adapted for connection to a first network connection and a second port adapted for connection to a second network connection. An input stage in the portable electronic device is connected to the first port. The input stage is operative to supply power received from the first network connection through the first port to the battery power source for recharging the battery power source. The portable electronic device further includes an output stage connected to the second port. The output stage is operative to supply power from the battery power source to the second network connection through the second port. | 09-02-2010 |
20100223481 | COMPUTER SYSTEM - A computer system including a power supply, a plurality of mainboards, and a power controller is provided. Each of the mainboards corresponds to a standby voltage, respectively. The power supply generates a standby power, and generates a main power according to a power enabling signal. The power controller is coupled between the power supply and the mainboards, for generating the power enabling signal and a control signal according to whether an amount of the mainboards is greater than a predetermined value, and selectively outputting the control signal to at least one of the mainboards. When the mainboards receive the control signal, regardless being in a booting state or a non-booting state, the mainboards receive the main power and converts the main power into a standby voltage corresponding thereto. When failing to receive the control signal, the mainboards convert the standby power into the standby voltage corresponding thereto. | 09-02-2010 |
20100235652 | POWER SUPPLY IDENTIFICATION USING A MODIFIED POWER GOOD SIGNAL - Method, system and apparatus enabling a computing system to automatically identify the capabilities of a power supply coupled to the computing system. The power supply includes a controller that sends an identification code to a computing system prior to sending a power good signal to the computing system. The identification code and the power good signal are preferably sent over a single conductor, such as a power supply connector pin. The computing system receives the identification code for cross-referencing against a list of power supply identification codes and associated capabilities. Optionally, instructions from the computer system to an output device may be controlled as a function of the identified power supply capabilities. | 09-16-2010 |
20100235653 | METHOD AND SYSTEM FOR TRANSMIT QUEUE MANAGEMENT FOR ENERGY EFFICIENT NETWORKING - Aspects of a method and system for queue management for energy efficient 1networking are provided. In various embodiments of the invention a network device may determine whether to transition into or out-of an energy-saving mode of operation, and arm an EEN trigger by buffering the EEN trigger in a queue and/or setting one or more flags. The network device may transition into or out-of the energy-saving mode upon the EEN trigger being read from the queue or upon the queue becoming empty while the trigger is armed. Upon the occurrence of an event meeting one or more criteria prior to the EEN trigger being read from the queue or prior to the queue being empty, the EEN trigger may be disarmed. The network device may disarm the EEN trigger by deleting the EEN trigger from the queue and/or by resetting the flag. | 09-16-2010 |
20100235654 | METHODS OF ACHIEVING COGNIZANT POWER MANAGEMENT - A system and method of increasing the efficiency of overall power utilization in data centers by integrating a power management approach based on a comprehensive, dynamic model of the data center created with integrated environmental and computational power monitoring to correlate power usage with different configurations of business services utilization, with the techniques of CPU level power management | 09-16-2010 |
20100235655 | Method and apparatus for implementing a limited functionality embedded universal serial bus (USB) host controller on a fully functional downstream USB port - A method and apparatus for allowing a limited functionality Universal Serial Bus (USB) host controller to manage specific USB peripheral devices on a downstream facing USB port is provided. The port is also capable of dynamically interfacing to any USB compliant peripheral device, even one not supported directly by the limited capabilities of the host controller. | 09-16-2010 |
20100235656 | Device, method, and computer program product for computing electric power consumption - A disclosed electric power consumption computation device includes an acquisition unit configured to acquire apparatus information from an apparatus connected to the electric power consumption computation device via a predetermined data communication path, and a computation unit configured to compute electric power consumption of the apparatus based on information on a number of output sheets output by the apparatus contained in the apparatus information acquired by the acquisition unit and a TEC value of the apparatus. | 09-16-2010 |
20100235657 | METHOD OF AND APPARATUS FOR CONTROLLING SYSTEM ACCORDING TO POWER FEEDING OF TERMINAL - An apparatus and method for controlling a terminal having two modules connected to a network at power feeding in dual modes. A first power supply feeds main electric power via a main current limiting unit to a first controller and a second controller. The first controller controls a first wireless communication unit using the main electric power and changes a connection state with a first network by checking a connection state of a sub-electric power and determining whether connection with a second network is accessible in accordance with a request for the connection with the second network. A second controller controls a second wireless communication unit for connection to the second network using the main electric power. | 09-16-2010 |
20100241876 | Motherboard Capable of Detecting Consumed Power and Detection Method Thereof - A motherboard capable of detecting consumed power and a method for detecting consumed power thereof are provided. The motherboard includes a VCC layer, a plurality of resistance elements, and a detecting module. A plurality of power traces are laid at the VCC layer, and each of the power traces conducts an operating voltage, respectively. The resistance elements are laid at sources of each of the power traces, respectively. The detecting module is coupled with each of the resistance elements, respectively, to obtain a current value of a current flowing through each of the resistance elements, and generates consumed power corresponding to each of the operating voltages according to the current value and the operating voltage corresponding to the current value. | 09-23-2010 |
20100241877 | IC CARD WITH PARALLEL ACCESSED MEMORY BLOCKS - Disclosed is an integrated circuit card which includes a central processing unit (CPU); a first memory block and a second memory block configured to operate responsive to a control of the CPU; and a high voltage generator block configured to generate a high voltage to be supplied to the first and second memory blocks. When bit lines of the first memory block are set by the high voltage, the CPU controls the high voltage generator block to supply the second memory block with the high voltage for a program operation of the second memory block during the program operation of the first memory block. | 09-23-2010 |
20100241878 | System and Method for Mirroring Power Over Ethernet Registers in a Physical Layer Device Over a Single Isolation Boundary - A system and method for mirroring power over Ethernet (PoE) registers in physical layer devices (PHYs) over a single isolation boundary. PHYs in a PoE system can be arranged in a master/slave configuration. In this configuration, a master PHY can be designed to communicate with the power source equipment controllers via a single isolation device. | 09-23-2010 |
20100241879 | PROCESSOR AND SWITCHING POWER SUPPLY APPARATUS - A processor includes analog signal input ports, an A/D conversion unit, and an ALU in a stage subsequent to the A/D conversion unit, separately from a CPU. The ALU not only stores a comparison result in a RAM independently of the CPU, but also compares an output value of the A/D conversion unit with a reference value set in the RAM, and interrupts the CPU or issues a command to a PWM generator in accordance with a flag based on the comparison result. This realizes high-speed processing in accordance with a change in an analog signal, although the processor has a low cost and a low clock frequency overall. | 09-23-2010 |
20100250972 | REVERSIBLE POWER TRANSITIONS IN A COMPUTING DEVICE - A computing device which if in the process of powering down either to total shutdown or to a standby mode to have this process interrupted by a user request or an external event, in which case the device is able to reverse the power down process and resume full operation, thus improving the user to power dorm experience. | 09-30-2010 |
20100250973 | Methods and Systems for Initiating Power to An Information Handling System - An information handling system (IHS) is disclosed providing a power supply operable to provide an output current to the IHS during power initiation. The IHS may also include a first power component associated with a first power stage wherein the first power stage may have a first current threshold. Furthermore, the IHS may include a power control logic coupled to the power supply and the first power component. As such, the power control logic may be operable to communicate the first power stage to the power supply, and if the output current does not exceed the first current threshold during the first power stage, the power control logic may be operable to communicate a second power stage having a second current threshold to the power supply. | 09-30-2010 |
20100250974 | POWER SUPPLY MANAGEMENT INTEGRATED CIRCUIT - A power management integrated circuit comprises a plurality of power source circuits power received at a power supply input terminal to supply power to a plurality of power supply output terminals. A plurality of power source circuits is coupled between the power supply input terminal and the respective power supply output terminals. The power management integrated circuit comprises an active configuration memory and a communication interface with at least one terminal for uploading configuration data from outside the power management integrated circuit into the configuration memory. A control circuit controls operating parameters of respective ones of the power source circuits dependent on the configuration data from the active configuration memory. Thus, the power management integrated circuit is able to switch between different power supply states in a dynamically configurable way, without requiring external control over the configuration during switching. | 09-30-2010 |
20100250975 | SYSTEM AND METHOD OF PROVIDING SCALABLE COMPUTING BETWEEN A PORTABLE COMPUTING DEVICE AND A PORTABLE COMPUTING DEVICE DOCKING STATION - A method of managing processor cores within a portable computing device (PCD) is disclosed and may include determining whether the PCD is docked with a PCD docking station when the PCD is powered on and energizing a first processor core when the PCD is not docked with the PCD docking station. The method may include determining an application processor requirement when an application is selected, determining whether the application processor requirement equals a two processor core condition, and energizing a second processor core when the application processor requirement equals the two processor core condition. | 09-30-2010 |
20100250976 | Motherboard Capable of Playing Image or Video in Power-Off State - A motherboard which can play an image or a video in a power-off state is disclosed. The motherboard includes a circuit board, a north bridge chipset, a digital photo frame chipset, and a switch unit. The north bridge chipset, the digital photo frame chipset, and the switch unit are disposed on the circuit board. The digital photo frame chipset is activated according to a stand-by power in the power-off state. The switch unit is electrically connected with the north bridge chipset and the digital photo frame chipset, respectively. The switch unit electrically communicates with the north bridge chipset or the digital photo frame chipset according to a triggering signal. The motherboard may utilize the stand-by power in the power-off state to display an image by a display device via the digital photo frame chipset and the north bridge chipset when a computer system is powered off. | 09-30-2010 |
20100250977 | COMPUTER SYSTEM - The invention discloses a compute system which may detect the state of a power supply. The system includes a power supply and a motherboard. The power supply has a detecting unit for detecting a power state of the power supply. The detected information is converted to a high-frequency signal and outputted to the motherboard via a “power good” pin in an ATX power connector. The motherboard may obtain a voltage, current, power, temperature and other values inside the power supply via the ATX power connector and allow the values to be displayed on the screen to provide a real-time monitoring function for the users. | 09-30-2010 |
20100250978 | INFORMATION PROCESSING APPARATUS, METHOD FOR CONTROLLING THE SAME, AND STORAGE MEDIUM - An apparatus determines, when receiving a packet transited via a network in a power saving mode, whether or not the packet is a packet according to a predetermined protocol. If the packet is determined to be the packet according to a predetermined protocol, the apparatus analyzes the data of a succeeding packet described in a data description language, and instructs the apparatus to return from the power saving mode to a normal power mode depending on an analysis result. | 09-30-2010 |
20100262842 | Computational Energy Measurement Without Hardware Support - Techniques and technologies are disclosed herein for measuring and managing energy consumption of the individual hardware components and software modules of various systems and devices. Such techniques and technologies do not require the addition of hardware to the systems (or devices), the modification of software modules hosted by the systems, or other modifications to the systems. Moreover, some of the techniques and technologies measure the energy consumed by the individual software modules as a result of the hardware operations which they call. | 10-14-2010 |
20100262843 | Energy Controlled Data Transmission of a Field Device - A field device acquires measured values and transferring data in an energy-controlled manner. A control device monitors the quantity of energy collected in the field device and triggers data transfer only when the quantity of energy collected has exceeded a predetermined threshold value. In particular, data transfer can take place at irregular intervals. | 10-14-2010 |
20100262844 | METHOD AND SYSTEM FOR ENERGY EFFICIENT NETWORKING OVER A SERIAL COMMUNICATION CHANNEL - Aspects of a method and system for energy efficient networking over a serial communication channel are provided. In this regard, one or more circuits in an Ethernet PHY that communicates over one or more serial communication channels may transmit and/or receive physical layer signals to maintain and/or refresh synchronization and/or training parameters while operating in an energy saving mode. The Ethernet PHY may transition out of the energy saving mode upon transmitting and/or receiving a wake sequence via the serial communication channel(s), where the wake sequence comprises one or more deterministic forward error correction (FEC) block in instances that FEC is utilized for communications via the serial communication channel(s). The one or more circuits in the Ethernet PHY may be operable to perform forward error correction (FEC) functions and one or more of the FEC functions may be disabled while remaining ones of the FEC functions are enabled. | 10-14-2010 |
20100262845 | CABLE WITH MEMORY - A cable for providing electric power from a power source to a mobile device, the cable having a first connector at a first end of the cable for connecting the cable to a mobile device and with a second connector at a second end for connecting the cable to the power source, wherein the cable comprises a memory module for backup and bidirectional transfer of data to and from the mobile device. | 10-14-2010 |
20100262846 | INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING SYSTEM - An information processing device includes: a setting means for setting a standby time independently from another information processing device as an authentication target in a case where an authentication request is made from an authentication request-side device; and a transmission means for waiting for the standby time set by the setting means and transmitting unique identification information to the authentication request-side device. | 10-14-2010 |
20100268968 | MANAGING PROCESSOR POWER-PERFORMANCE STATES - Disclosed are systems, methods, and computer program products for managing power states in processors of a data processing system. In one embodiment, the invention is directed to a data processing system having dynamically configurable power-performance states (“pstates”). The data processing system includes a processor configured to operate at multiple states of frequency and voltage. The data processing system also has a power manager module configured to monitor operation of the data processing system. The data processing system further includes a pstates table having a plurality of pstate definitions, wherein each pstate definition includes a voltage value, a frequency value, and at least one unique pointer that indicates a transition from a given pstate to a different pstate. The voltage value, frequency value, and unique pointer of a given pstate definition are configurable, during operation of the data processing system, by the power manager module in response to changes in the operating parameters of the data processing system. | 10-21-2010 |
20100268969 | POWER SUPPLY CONVERTING CIRCUIT - A power supply converting circuit includes a multi-phase pulse-width modulation (PWM) controller, a single-phase PWM controller, some first voltage converting circuits, a second voltage converting circuit, first and second electrical switch units. The multi-phase PWM controller provides some first PWM signals and a second PWM signal. The single-phase PWM controller provides a third PWM signal. The first voltage converting circuits receive the first PWM signals to output a first power supply to a central processing unit (CPU) chipset. The first electrical switch unit receives the second and third PWM signals to selectively output the second or third PWM signal to the second voltage converting circuit to output one of the first and second power supplies. The second electrical switch unit receives one of the first and second power supplies from the second voltage converting circuit to selectively output one of the first and second power supplies to the CPU chipset. | 10-21-2010 |
20100268970 | PORTABLE COMPUTER AND CHARGING METHOD THEREOF - A portable computer and a charging method thereof are provided. The portable computer includes a charge integrated circuit (IC), a basic input/output system (BIOS) and embedded controller (EC), a south bridge chip, a north bridge chip and a central processing unit (CPU). After the portable computer is connected to a battery, the BIOS and EC controls the south bridge chip to read a sealed security bit of the battery and checks whether the sealed security bit equals a default value. The BIOS and EC controls the south bridge chip to read a battery data of the battery if the sealed security bit equals default value. The BIOS and EC controls the charge IC via the south bridge chip to charge the battery according to the battery data. The CPU controls the south bridge chip and the north bridge chip. | 10-21-2010 |
20100275041 | COMPUTER POWER SUPPLY AND POWER STATUS SIGNAL GENERATING CIRCUIT THEREOF - A computer power supply includes a system voltage output terminal, a standby voltage output terminal, and a power status signal generating circuit comprising an amplifier and an electrical switch. A terminal of a first resistor is connected to the system voltage output terminal. The other terminal of the first resistor is grounded via a capacitor and connected to a non-inventing terminal of the amplifier. A terminal of a second resistor is connected to the standby voltage output terminal. The other terminal of the second resistor is grounded via the third resistor and connected to an inverting terminal of the amplifier. An output terminal of the amplifier outputs a power status signal. A terminal of a fourth resistor is connected to the system voltage output terminal. The other terminal of the fourth resistor is connected to the output terminal of the amplifier and receives a start signal via the electrical switch. | 10-28-2010 |
20100275042 | COMPUTER AND EXPANDABLE POWER SUPPLY SYSTEM THEREOF - The invention discloses a computer and an expandable power supply system. The expandable power supply system includes N interface units, a determination unit, and a voltage converting unit. N is an integer equal to or more than two. The interface units are electrically connected with at least one power supplies and switching the levels of (N−1) control signals according to conductance of the power supplies. When the interface units are electrically connected with 1 | 10-28-2010 |
20100281276 | COMPUTER SYSTEM WITH POWER SOURCE CONTROL AND POWER SOURCE CONTROL METHOD - A computer system with power source control and a power source control method are presented. The computer system at least includes a first storage unit and a second storage unit, and the first storage unit stores a system program required by the computer system in basic operation. A switch is disposed on a power supply path between a power supply module and the second storage unit, such that the power supply module provides an electric power for the second storage unit to operate through the switch. When the second storage unit is in an idle state, the switch is used to cut off the power supply to the second storage unit, so as to effectively reduce the power consumption of the computer system. | 11-04-2010 |
20100281277 | COMPUTER SYSTEM AND STAND-BY MODE MANAGEMENT MODULE AND STAND-BY MODE MANAGEMENT METHOD USING THE SAME - A stand-by mode management module applied in a computer system having a BIOS (basic input/output system), a graphic module and a display module is provided. The computer system is operated in a working state and at least one stand-by state. The module includes a timer and an interrupt generation unit. The timer starts a count period when detecting that the computer system is idle. The interrupt generation unit generates an interrupt request to the BIOS to request the computer system to prepare to enter to a specific state when the count period is reached. When the specific state is entered, the computer system enters the stand-by state, a PLL (phase lock loop) of the display module keeps turning on, and PLLs other than the PLL of the display module are turned off and the graphic module acquires a frame stored in a fixed area of a storing unit and displays the acquired frame on the display module. | 11-04-2010 |
20100281278 | COMPUTER SYSTEM AND OVERCLOCK CONTROLLING METHOD AND PROGRAM THEREOF - A computer system and overclock controlling method and program thereof, which includes steps of providing an overclock work voltage to a CPU when overclock is requested, then the CPU adjusts its clock domain according to the overclock work voltage. The overclock work voltage is a sum of a normal work voltage and an additional external voltage, by which the CPU may enter an overclock mode (a frequency of a clock domain signal is higher than a standard frequency value). Eventually, when the frequency of the clock domain signal of the CPU is in a stable status during the overclock mode, the work voltage for the CPU is reduced and the CPU keeps working in the overclock mode. | 11-04-2010 |
20100281279 | POWER SUPPLY SYSTEM AND ELECTRONIC DEVICE FOR CPU - A power supply system for CPU is disclosed. The CPU includes a plurality of dynamic voltage identification (VID) pins, and the power supply standard of the CPU conforms to a first standard. The power supply system includes a dynamic VID signal line set and a core voltage controller. The VID signal line set is coupled to the VID pins of the CPU. The core voltage controller conforms to a second standard and is coupled to a partial line set of the dynamic VID signal line set. The core voltage controller determines a core voltage to be output to the CPU according to the partial line set to conform to the first standard. | 11-04-2010 |
20100281280 | Interface Circuit System And Method For Performing Power Management Operations In Conjunction With Only A Portion Of A Memory Circuit - A memory circuit power management system and method are provided. An interface circuit is in communication with a plurality of memory circuits and a system. In use, the interface circuit is operable to perform a power management operation in association with only a portion of the memory circuits | 11-04-2010 |
20100287387 | Docking station - A portable computer has a downstream USB 2.0 port, connected to a docking station of the invention via a USB cable having a Type A plug in the port and a Type B plug plugged into an upstream port in the docking station. This has a housing, in which is mounted hub circuitry, connected to the upstream port, a hard drive and a plurality of downstream ports. Peripheral devices are plugged into the ports. Thus the computer can enumerate and communicate with the hard drive and the peripheral devices. | 11-11-2010 |
20100287388 | Information Processing Apparatus and Method - An information processing apparatus includes: a plurality of electric power generating elements; detection means for determining whether each of the plurality of electric power generating elements has an electromotive force equal to or higher than a predetermined value; determination means determining an input operation performed by a user by identifying an electric power generating element having an electromotive force below the predetermined value when at least one of the plurality of electric power generating elements is determined as having an electromotive force below the predetermined value according to the detection means; processing means carrying out a process associate with the input operation determined by the determination means; and bypass means which is provided in parallel with the electric power generating elements and through which a current flows when the electric power generating elements have an electromotive force below the predetermined value. | 11-11-2010 |
20100287389 | SINGLE CHIP MICROCONTROLLER INCLUDING BATTERY MANAGEMENT AND PROTECTION - A microcontroller is disclosed. The microcontroller comprises a processor system and a high voltage interface coupled to the processor system and adapted to be coupled to a battery. The microcontroller further includes a battery management system for monitoring the battery and managing the battery based upon the monitoring of the battery. The microcontroller is a single chip. This one-chip solution saves design cost and PCB space in addition to broadening the functionality of the smart battery application. With the accuracy of the microcontroller, the charge status of the battery can be predicted more accurately and therefore effectively increases actual battery capacity. | 11-11-2010 |
20100293394 | MOTHERBOARD AND POWER SUPPLY CONTROL CIRCUIT THEREOF - A motherboard of a computer includes a platform controller hub (PCH), a central processing unit (CPU) including a display processing module and a transmission module, a power supply generating module, and a control circuit. The PCH is connected to a display and the display processing module. The display processing module is also connected to the power supply generating module and the control circuit. The transmission module is connected to a graphic card when the graphic card being present in the motherboard. When installed, the graphic card is also connected to the display and the control circuit. The control circuit is also connected to the power supply circuit. | 11-18-2010 |
20100293395 | CENTRAL PROCESSING UNIT START-UP CIRCUIT OF PORTABLE ELECTRONIC DEVICES - A central processing unit (CPU) start-up circuit for controlling a CPU of a portable electronic device includes a power management unit (PMU) connected to the CPU, an awaking circuit connected to the CPU, and a main power supply connected to the CPU, the PMU and the awaking circuit. The main power supply provides working electric power to the CPU, the PMU detects the status of the main power supply and generates a status signal (SS) according to the detecting result, the awaking circuit detects the status of the main power supply and generates a waking signal (WS) according to the detecting result, and the SS and the WS are both transmitted to the CPU to cooperatively control the CPU to be switched on and switched off. | 11-18-2010 |
20100293396 | POWER CONTROL CIRCUIT AND METHOD OF COMPUTER SYSTEM - A power control circuit and a power control method applied to a computer system are disclosed. A regulator receives a first voltage, the regulator converting the first voltage to an embedded controller voltage when the regulator is enabled. A detecting and controlling circuit receives the first voltage and the button signal, and the regulator is enabled when the detecting and controlling circuit detects the button signal. An embedded controller connects to the regulator for receiving the embedded controller voltage and outputting the plurality of power control signals. The embedded controller sends a power on signal to the detecting and controlling circuit to keep the regulator enabled. | 11-18-2010 |
20100293397 | DIAGNOSTIC SYSTEM - A compressor is provided and may include a shell, a compression mechanism, a motor, and a diagnostic system that determines a system condition. The diagnostic system may include a processor and a memory and may predict a severity level of the system condition based on at least one of a sequence of historical-fault events and a combination of the types of the historical-fault events. | 11-18-2010 |
20100293398 | System and Method for Preventing Disconnect of a Powered Device by a Power Source Equipment - A system and method for preventing disconnect of a powered device by a power source equipment. Powered devices can have unstable power profiles. These unstable power profile can make it difficult for power source equipment (PSE) in a power over Ethernet (PoE) system to effectively manage powering of those devices. In one embodiment, a reservoir of charge having a stable power profile is provided as a charge buffer such that a PSE need not match the swings in power demands by electronic circuitry in the powered device. | 11-18-2010 |
20100293399 | SYSTEM AND METHOD OF CLASSIFICATION IN POWER OVER ETHERNET SYSTEMS - A method is disclosed that includes receiving a classification voltage at a powered device from a network. The classification voltage includes a baseline voltage level that is below an operating voltage range of the powered device and includes a sequence of distinct signal elements derived from the classification voltage. The method further includes detecting a number of signal elements of the sequence of distinct signal elements. A current is drawn until the number of signal elements exceeds a predetermined number. | 11-18-2010 |
20100299540 | COMPUTING SYSTEM WITH POWER REQUIREMENT EVALUATION - A computing system is provided and includes recording media relative to which input/output (I/O) operations are executable, and a processor, disposed in signal communication with the recording media, which is configured to execute the I/O operations and to evaluate power requirements associated with executions of the I/O operations relative to each individual recording medium. The processor includes a computer-readable medium having a set of instructions stored thereon, which, when executed, cause the processor to schedule the executions of the I/O operations relative to each individual recording medium or to refuse the executions of the I/O operations in accordance with the evaluated power requirements. | 11-25-2010 |
20100299541 | MULTI-CORE PROCESSOR SYSTEM - A multi-core processor system includes: a plurality of processor cores; a power supply unit that stops supplying or supplies power to each of the processor cores individually; and a thread queue that stores threads that the multi-core processor system causes the processor cores to execute. Each of the processor cores includes: a power-supply stopping unit that causes the power supply unit to stop power supply to an own processor core when a number of threads stored in the thread queue is equal to or smaller than a first threshold; and a power-supply resuming unit that causes the power supply unit to resume power supply to the other stopped processor cores when the number of threads stored in the thread queue exceeds a second value equal to or lager than the first threshold. | 11-25-2010 |
20100299542 | TWO WIRE TRANSMITTER WITH ISOLATED CAN OUTPUT - A process variable transmitter that preferably includes a transmitter output circuit that provides bidirectional HART and controller area network communication transceiver lines. The transmitter output circuit also includes sensor circuit interface contacts. An isolated circuit couples to the sensor circuit interface contacts. The isolated circuit includes sensor circuitry sensing a process variable. The isolated circuit further comprises a galvanic isolation barrier galvanically isolating the sensor circuitry from the HART and controller area network transceiver lines. A stacked power supply provides power management. Other aspects may include a controller area network current limiter diagnostic output, timed sequencing of microcontroller startup and shutdown, a local operator interface and power management. | 11-25-2010 |
20100306557 | COMPUTER WAKE UP CIRCUIT - A computer wake up circuit includes a first control circuit and a second control circuit. The first control circuit has an input terminal configured to receive a first control signal from a first serial device, and an output terminal coupled to a south bridge which is capable of waking up a computer. The second control circuit has an input terminal respectively coupled to a second serial device and an I/O controller, and an output terminal coupled to the south bridge. The second control circuit receives a second control signal from the second serial device. The first and second control circuits respectively outputs a wake up signal to the south bridge to wake up the computer according to the control signals. The I/O controller communicates with the second serial device through the second control circuit, and outputs other control signals to control operations of the second serial device. | 12-02-2010 |
20100306558 | APPARATUS AND METHOD FOR CONTROLLING INPUT POWER - An apparatus and method for controlling an input power according to power management modes of a display device. In a saving mode of the power management modes, a power supply to the system is turned OFF according to an organic operation between components of the system. Thus, because the power supply to a power block within the system is turned OFF all, a consumed power is in a substantially zero state, comparable to a state in which a power plug is physically pulled out. | 12-02-2010 |
20100306559 | POWER-MANAGER CONFIGURATION UPLOAD AND DOWNLOAD METHOD AND SYSTEM FOR NETWORK MANAGERS - A power management system embodiment of the present invention comprises a power manager with a network agent in communication over a network with a network manager. The power manager is connected to control the operating power flowing to various pieces of computer network equipment at a single site. A user is able to assign names to each control port, and the power manager maintains a list of enrolled users who have access. Many operational mode choices are possible, and each can be configured by the user while remote from the power manager. The power manager can be commanded to upload a user configuration list, and it can be commanded to accept a downloaded user configuration list. | 12-02-2010 |
20100318814 | POWER MANAGEMENT DEVICE AND POINT OF SALES TERMINAL APPARATUS USING THEREOF - A point of sales (POS) terminal apparatus including a POS device, a peripheral device, which is controlled by the POS device, an adapter, and a power management device is provided. The adapter provides a first power signal based on a wall-outlet power signal. The power management device provides the first power signal to drive the POS device and determines whether the first power signal satisfies a predetermined condition. When the first power signal fails to satisfy the predetermined condition, the power management device generates a second power signal and drives the peripheral device with the second power signal. When the first power signal satisfies the predetermined condition, the power management device drives the peripheral device with the first power signal. | 12-16-2010 |
20100318815 | POWER SUPPLY CIRCUIT FOR AUDIO CODEC CHIP - A power supply circuit for an audio codec chip includes a power supply output terminal configured for providing a working voltage for the audio codec chip, a first filter circuit, a regulator circuit, and a second filter circuit. The first filter circuit is coupled to the power supply output terminal. The regulator circuit has an input terminal and an output terminal. The regulator circuit input terminal is connected to the first filter circuit. The second filter circuit is connected to the regulator circuit output terminal, and is coupled to a power source input terminal of the audio codec chip. | 12-16-2010 |
20100318816 | Separating Power Domains of Central Processing Units - A circuit includes a central processing unit (CPU), which includes a first memory block having a first power domain; and a core block signally connected to the first memory block and having a second power domain disconnected from the first power domain. | 12-16-2010 |
20100318817 | Information Processing Apparatus and System State Control Method - According to an aspect of the present invention, there is provided an information processing apparatus operable in an ordinary mode, a standby mode and a hibernation mode, the apparatus including: a sensor that measures a working-environment parameter of the apparatus; a backup circuit that is connected to the sensor and that supplies an electric power to the sensor when the apparatus is in the standby mode; a controller that includes an allowable range storage portion storing an allowable range for the working-environment parameter and that controls a supply of an electric power to the backup circuit; and a first unit that changes the apparatus from the standby mode to the hibernation mode based on the measured working-environment parameter and the stored allowable range. | 12-16-2010 |
20100318818 | Power consumption management - Embodiments include a system, an apparatus, a device, and a method. A system includes a power module operable to determine respective indicia of power consumed in executing at least one instruction by a first subcircuit and by a second subcircuit of a synchronous circuit. The system also includes a scheduler module operable to direct an execution task to a subcircuit selected from the first subcircuit and the second subcircuit. The subcircuit selection is responsive to the determined respective indicia of power consumption by the first subcircuit and by the second subcircuit. | 12-16-2010 |
20100318819 | System and Method for Integrated Temperature Measurement in Power over Ethernet Applications - A system and method for integrated thermal monitoring in Power over Ethernet (PoE) applications. Headroom in a particular cable installation is identified using ambient temperature measurement alone or in combination with determined cable characteristics. In calculating an amount of headroom for a particular cable installation, the current capable of being carried over the cable would not be limited by worst-case cable assumptions. | 12-16-2010 |
20100325447 | POWER SUPPLY CONVERTING CIRCUIT - A power supply converting circuit includes a multi-phase pulse-width modulation (PWM) controller, a single-phase PWM controller, some first voltage converting circuits, a second voltage converting circuit, and an electrical switch unit. The multi-phase PWM controller provides some first PWM signals and a second PWM signal. The single-phase PWM controller provides a third PWM signal. The first voltage converting circuits receive the first PWM signals to output a first power supply to a central processing unit (CPU) chipset. The electrical switch unit receives the second and third PWM signals to selectively output the second or third PWM signal to the second voltage converting circuit to output one of the first and second power supplies, such that the second voltage converting circuit selectively outputs one of the first and second power supplies to the CPU chipset. | 12-23-2010 |
20100325448 | Device Incorporating Data Communication Function - A device incorporating a data communication function | 12-23-2010 |
20100325449 | BIDIRECTIONAL INLINE POWER PORT - A method and apparatus for bidirectional provision of inline power over data telecommunications cabling permits power to be received at a local powered device (PD) from remote power sourcing equipment (PSE) via at least one conductor at a first time and power to be provided by the local device to a remote device or another device at a second different time. | 12-23-2010 |
20100325450 | BUS INTERFACE WITH POWER MANAGEMENT FEATURES - Methods and apparatus for providing a bus interface are disclosed. An example bus interface includes a first power supply bus configured to distribute a first power supply voltage to first circuitry during a normal operating mode of the bus interface and power off during a low power operating mode of the bus interface. The example bus interface also includes a second power supply bus configured to distribute the first power supply voltage to second circuitry during the normal operating mode and distribute a second power supply voltage to the second circuitry during the low power operating mode. The example bus interface further includes a selection device coupled with the first power supply bus and the second power supply bus, where the selection device is configured to transition the bus interface between the normal operating mode and the low power operating mode in response to a received signal. | 12-23-2010 |
20100332856 | System and method for processor utilization adjustment to improve deep C-state use - In some embodiments, the invention involves modification of the processor utilization calculations that are used by operating system power management services to improve processor efficiency. An embodiment of the present invention is a system and method relating to power management policies under operating system control. In at least one embodiment, the present invention is intended to modify the processor utilization evaluation process so that C-state transition time and/or unhalted reference cycles are included in the calculation. Other embodiments are described and claimed. | 12-30-2010 |
20100332857 | Reducing power losses in a redundant power supply system - A power supply system includes at least a first power supply module and at least one redundant power supply module. The at least one power supply module supplies power to an output terminal. The at least one redundant power supply module operates in a first state and in a second state. In the first state the second power supply module supplies power to the output terminal. In the second state the second power supply module provides standby power and operates in a burst mode (for example, such as a discontinuous conduction mode). | 12-30-2010 |
20100332858 | SYSTEMS, METHODS AND DEVICES FOR REGULATION OR ISOLATION OF BACKUP POWER IN MEMORY DEVICES - Power-backup capabilities are provided by implementing a variety of different methods, systems and devices. According to one such implementation, a data-storage device is implemented having a memory control circuit controlling non-volatile and volatile memory. An operating power circuit carries primary-operating power from the host-system to the memories and control circuitry. A backup power circuit includes energy-storage circuitry with one or more energy storage devices. An isolation-regulation circuit provides voltage regulation of power from the host-system and also isolates the host-system provided power from the energy storage devices. A regulation power circuit carries the regulated power from the isolation-regulation circuit to the energy storage devices. | 12-30-2010 |
20100332859 | SYSTEMS, METHODS AND DEVICES FOR CONTROL AND GENERATION OF PROGRAMMING VOLTAGES FOR SOLID-STATE DATA MEMORY DEVICES - In one embodiment, a solid-state drive contains a plurality of data memory devices requiring elevated voltages for erasure and programming operations. A common voltage regulator, external to the data memory devices, provides the elevated voltage, thereby reducing the overall power consumption of the data storage device. | 12-30-2010 |
20100332860 | SYSTEMS, METHODS AND DEVICES FOR CONFIGURABLE POWER CONTROL WITH STORAGE DEVICES - Power is routed from one or more power supplies. As consistent with one or more example embodiments, a data storage device senses and/or is informed of the availability and voltage level of one or more power supplies. Based upon the availability and voltage level of power supplies, circuits in the memory device are powered using one or more of the sensed power supplies. In some applications, the power is drawn in a manner that emulates the behavior of one or more circuits that are respectively powered. | 12-30-2010 |
20100332861 | MANAGING POWER COMSUMPTION IN A DATA STORAGE SYSTEM - A method for managing power consumption in a data storage system is provided. The method comprises receiving a first input/output (I/O) request identifying an I/O operation to be performed by a storage device; delaying scheduling of the first I/O request to manage amount of power consumed by servicing the first I/O request; and forwarding the first I/O request to a storage controller associated with the storage device. The storage controller schedules the first I/O request using a scheduling mechanism, and the storage device services the first I/O request according to the scheduling mechanism. | 12-30-2010 |
20100332862 | SYSTEMS, METHODS AND DEVICES FOR POWER CONTROL IN MEMORY DEVICES STORING SENSITIVE DATA - Power-backup capabilities are provided by implementing a variety of different methods, systems and devices. According to one such implementation, a memory device stores data in response to data accesses under the control of a memory control circuit. A solid-state memory circuit and a volatile caching memory circuit provide the memory control circuit with access to a set of common data. A circuit carries primary operating power to the memory device. A backup power circuit has a power module having and securing a power-reservoir circuit. A capacitor holds a charge to provide operating power to the memory circuits to permit transfer of the data from the volatile memory circuit to the solid-state memory circuit. A notification circuit provides an external user indication of the power-reservoir circuit integrity. A circuit-based structure secures the power-reservoir circuit for operation as part of the memory device and facilitates replacement of the power-reservoir circuit. | 12-30-2010 |
20100332863 | SYSTEMS, METHODS AND DEVICES FOR POWER CONTROL IN MASS STORAGE DEVICES - Power-backup capabilities are provided by implementing a variety of different methods, systems and devices. According to one such implementation, an energy storage device such as a capacitive storage circuit is powered relative to the powering of one or more additional circuits from a common power supply to limit the draw upon the power supply. Certain applications involve delaying or otherwise modifying the powering of the capacitive storage circuit, which may involve an initial startup of the capacitive storage circuit. | 12-30-2010 |
20100332864 | POWER SUPPLY UNIT, PROCESSING SYSTEM, AND CONTROL METHOD - A power supply unit includes a communication unit and a control unit. The communication unit is capable of communicating with a different power supply unit. The control unit determines a number of processing units connected thereto, controls powers of the processing units connected thereto so that one of a turn-on operation and a turn-off operation is performed on the powers of the processing units in sequence, and controls the powers of the processing units connected thereto so that one of the turn-on operation and the turn-off operation is performed on the powers in a predetermined priority order in a relationship with processing units connected to the different power supply unit through communication with the different power supply unit. | 12-30-2010 |
20100332865 | PRIMARY SIDE CONTROL CIRCUIT AND METHOD FOR ULTRA-LOW IDLE POWER OPERATION - A method and circuit for reducing power consumption during idle mode to ultra-low levels, such as about 1/10 | 12-30-2010 |
20100332866 | METHOD AND SYSTEM FOR OPTIMIZING POWER CONSUMPTION IN A MOBILE ENVIRONMENT - The present invention relates to a system and method adapted to optimize power consumption in a communication system used in a Gigabit Ethernet environment. The method comprises determining at least one power mode of a host from a plurality of possible host power modes. The method further comprises selecting at least one network interface power management state from a plurality of possible network interface power management states based, at least in part, on the determined power mode. | 12-30-2010 |
20100332867 | Wireless Communication Terminal and Control Method Thereof - In a PC card communication terminal that operates supplied with power from a host device, problems such as malfunctions due to a supply voltage drop at the time of high transmission output are prevented from occurring. A baseband processor ( | 12-30-2010 |
20110004772 | PORTABLE ELECTRONIC DEVICE AND METHOD FOR USING THE SAME - A portable electronic device includes a main power supply, a power management unit (PMU) connected to the main power supply, and a receiver unit connected to the PMU. The main power supply supplies electric power to the portable electronic device through the PMU. The receiver unit includes a processor module connected to the PMU. The processor module stores a lock code, the processor module directs the PMU to prevent the main power supply from providing electric power to the portable electronic device when the receiver unit receives wireless signals corresponding to the lock code. | 01-06-2011 |
20110004773 | Powered device for power over ethernet system with increased cable length - Increased cable length Power over Ethernet (PoE) systems are provided. Embodiments can be designed for compliance with IEEE 802.3af, IEEE 802.3at, or legacy PoE standards. Embodiments include PSE and PD designs enabled for increased length PoE. Embodiments include example modifications of IEEE 802.3af PSE system rules, including example modifications of PSE port voltage ranges to support IEEE 802.3af compliant PDs across increased cable lengths. Embodiments include example modifications of IEEE 802.3af PD system rules, including example modifications of PD port voltage ranges to enable current IEEE compliant PSEs to support increased cable length PoE. Embodiments include PDs having increased voltage process and/or added voltage protection circuitry to support increased length PoE. Modifications of PSE system rules and PD system rules can be performed independently of each others, so that modified PSEs can be made to work with existing PDs, or vice versa. | 01-06-2011 |
20110010566 | POWER MANAGEMENT BY SELECTIVE AUTHORIZATION OF ELEVATED POWER STATES OF COMPUTER SYSTEM HARDWARE DEVICES - Power in a computer system is managed by selectively authorizing requests by devices to operate at an elevated power state. One embodiment provides a computer system having a plurality of hardware devices interchangeably operable at mutually exclusive elevated and lower power states. The lower power states may be selected by default, and the devices independently request to operate at the elevated power state for a specified duration. A power management device, such as a baseboard management controller (BMC) or a chassis management module is configured for receiving and selectively authorizing the requests from the devices to operate at the elevated power state. The power management device subsequently revokes the authorization of the devices to operate at the elevated power state to enforce a system power limit. | 01-13-2011 |
20110010567 | AUTOMATICALLY DETERMINING OPERATING PARAMETERS OF A POWER MANAGEMENT DEVICE - Automatically determining operating parameters of a power management device is described. | 01-13-2011 |
20110010568 | Power supply apparatus and power supply control method - A main power supply and a backup power supply have the same set voltage. To prevent electrical power from being supplied from the backup power supply to a load circuit, during a normal operation, a power supply control unit gives a standby instruction to the backup power supply so that the backup power supply is on standby at a voltage lower than that of the main power supply. When an AC power supply is stopped, the backup power supply is operated at the set voltage and the main power supply is stopped. | 01-13-2011 |
20110016332 | System and Method for Powering an Information Handling System Through a Display Cable - A display provides power to an information handling system through a display cable. The display cable includes a power wire and an auxiliary channel. The display and information handling system communicate through the auxiliary channel to coordinate power transfer from the display to the information handling system, such as in the form of a trickle charge to a battery integrated in the information handling system. | 01-20-2011 |
20110016333 | Power Transfer Between Devices - Power transfer between devices such as laptop computers, mobile phones, personal digital assistants, media players and other devices is described. In an embodiment power transfer is achieved either from a power source at a device or to a power source at that device using a bidirectional power transfer connector. In some embodiments a power management module at the device uses context, models or other information to control factors such as the power transfer direction, duration and amount. In examples, user preferences are taken into account. In an example, the bidirectional power transfer connector is provided as a USB connection or a wireless power transfer connection. | 01-20-2011 |
20110016334 | Auto-Detect Polling for Correct Handshake to USB Client - An embodiment of the invention provides a method for providing power to a USB client device and polling for a correct protocol. A USB host device provides power and a first part of a first handshake determined by a first protocol. The host then monitors the client for a second part of the first handshake. When the second part of the first handshake is detected by the host, the client recognizes that power may be applied according to the first protocol. When the second part of the first handshake is not presented by the client device, the host provides a first part of a second handshake according to a second protocol. When the second part of the second handshake is provided by the client, the host the client recognizes that power may be applied according to the second protocol. | 01-20-2011 |
20110016335 | Computer Device and Method for Controlling Supply of Power to an Internet Protocol - A computer device having a power switch mechanism for an Internet protocol (IP) camera includes: a switch component for enabling manual switching of a power supply status of an IP camera; an embedded controller electrically coupled to the switch component for outputting a control signal indicating conduction or non-conduction of power in accordance with a status of the switch component; and a switch circuit coupled to the embedded controller and operable to open or close a route for supply of power to the IP camera in accordance with the control signal from the embedded controller. Since the embedded controller has a closed system characteristic and controls the switch circuit for making or breaking a route for supply of power to the IP camera, user control over the power supply status of the IP camera can be assured, and vulnerability of the IP camera to external threats can be reduced. | 01-20-2011 |
20110022857 | THROTTLING COMPUTATIONAL UNITS ACCORDING TO PERFORMANCE SENSITIVITY - A power allocation strategy limits performance of a subset of a plurality of computational units in a computer system according to performance sensitivity of each of the plurality of computational units to a change performance capability, e.g., frequency change. The performance of the subset of computational units may be limited by setting a power state in which the subset may be operated and/or reducing a current power state of the subset to a lower power state. The subset whose performance is limited includes computational units that are least performance sensitive according to stored sensitivity data. The subset may include one or more processing cores and performance of the one or more processing cores may be limited in response to a CPU-bounded application or graphics processing unit (GPU)-bounded application being executed. | 01-27-2011 |
20110022858 | CONTROL CIRCUIT FOR POWER SUPPLYING - The control circuit for power supplying includes a driving module and a control module, wherein the driving module includes a first switch, a second switch, a third switch, and a fourth switch. In a first power supply mode, the first switch and the second switch are turned on, and the third switch and the fourth switch are turned off. The load current flows to the ground terminal via the first switch, the inductive load, and the second switch. When the control module sends a switching signal to the driving module, the first switch and the second switch are turned off and the third switch and the fourth switch are turned on, and the load current flows to the high potential terminal via the fourth switch, the inductive load, and the third switch due to the current inertia. | 01-27-2011 |
20110022859 | POWER MANAGEMENT APPARATUS AND METHODS - Power management integrated circuits (PMICs) and related methods. In one aspect a PMIC which is operable to provide a plurality of PMIC power states is arranged to provide a predetermined delay before a power state transition. The delay is applied after receipt by the PMIC control circuitry of a power state transition command. Applying a delay allows time for the system powered by the PMIC to perform any necessary shut-down procedures and terminate active processes before power is removed, preventing corruption of the system. The delay is preferably configurable. The PMIC may also be arranged to control power converters which are external to the PMIC. In another aspect the PMIC has translation circuitry for providing the control settings of one power block, e.g. power converter, with any necessary modifications to be used by another power block. This means that only one set of control settings needs to be updated to change the output of both power blocks simultaneously. | 01-27-2011 |
20110022860 | TECHNIQUES FOR MEASURING NETWORK CHANNEL RESISTIVE LOSS BETWEEN A POWER-SOURCING APPARATUS AND A POWERED DEVICE - A method and apparatus are provided for determining resistive power loss through a channel between Power Sourcing Equipment (PSE) and a Powered Device (PD). The method includes ( | 01-27-2011 |
20110029787 | METHODS AND APPARATUS FOR POWER ALLOCATION IN A STORAGE SYSTEM - Methods and systems for improved management of power allocation among a plurality of devices coupled to a controller. The controller and devices exchange messages to request, grant, and release allocations of power from a common power supply. In some embodiments, the controller may be a SAS/SATA controller and the messages exchanged may be SAS/SATA frames and/or primitives. In exemplary embodiments, the messages may request/grant a particular amount of power for each of one or more voltage levels provided by the power supply. In other exemplary embodiments, the messages may designate the duration of time during which the requesting device may utilize the allocated power. A power status message from the device to the controller may indicate a change in the power consumption by the device. Responsive to the power status message the controller may re-allocate power previously allocated to a device that has completed use thereof. | 02-03-2011 |
20110029788 | Power Limiting In Redundant Power Supply Systems - A redundant power supply system includes power limit logic and plural power supplies. The power limit logic is configured to impose a first power limit threshold on the power supply system during a first time period in which one or more of the plural power supplies is being enabled, and a second power limit threshold higher than the first power limit threshold during a second time period. | 02-03-2011 |
20110029789 | POWER MANAGING SYSTEM - A power managing system includes a power supply, a first converter, a second converter, and a complex programmable logic device. The power supply outputs a first verification signal and a first power upon the condition that the power supply receives a power supply on signal from a motherboard. The first and second converters convert the first power to a second power, a third power, and output a second verification signal, a third verification signal respectively. The complex programmable logic device outputs an enable signal to the motherboard to make the motherboard receive the first, the second, and the third powers upon the condition that the complex programmable logic device receives the first, the second, and the third verification signals. | 02-03-2011 |
20110029790 | System and Method for Policing Bad Powered Devices in Power Over Ethernet - A system and method for policing bad powered devices in power over Ethernet. Degradation of components within powered devices can lead to noise and ripple that exceed specified thresholds. This noise and ripple can adversely impact the operation of the power sourcing equipment. A noise detector implemented in the power sourcing equipment can detect the presence of such noise and ripple and modify the application of power to the particular port. | 02-03-2011 |
20110029791 | POWER SUPPLY CIRCUIT FOR VIDEO CARD CHIPSET - A power supply circuit is capable of providing power to a video card chipset. The video card chipset includes a first power supply pin and a second power supply pin. The power supply circuit includes a power input, a first filtering circuit, and a second filtering circuit. The first filtering circuit is connected between the power source and the first power supply pin to filter the power provided to first power supply pin of the video card chipset. The second filtering circuit is connected between the power source and the second power supply pin to filter the power provided to second power supply pin of the video card chipset. | 02-03-2011 |
20110029792 | INFORMATION PROCESSING APPARATUS AND POWER SUPPLYING CONTROL METHOD - According to one embodiment, an information processing apparatus which supplies power to a communication partner device, includes: a communication module which performs communication with the communication partner device; a power supplying module which supplies power to the communication partner device using a power supply for driving the information processing apparatus; a power supplying determination module which determines whether the power supplying module supply power or not; and a device identification module which determines whether the communication partner device has a function to be charged by the power supplying module. The power supplying determination module drives the power supplying module using the power supply when the device identification module determines that the communication partner device has a to-be-charged function, while the power supplying determination module does not drive the power supplying module when the device identification module determines that the communication partner device does not have the to-be-charged function. | 02-03-2011 |
20110035605 | Method for optimizing performance and power usage in an archival storage system by utilizing massive array of independent disks (MAID) techniques and controlled replication under scalable hashing (CRUSH) - The present invention is a method for drive management and data placement in an archival storage system having a set of drives. The method includes mapping redundant data stripes onto the drives. A first active data stripe, located on a first subset of the drives, is then selected from the mapped data stripes. The first subset is placed into a normal power state and a second subset of the drives is placed into a low power state. Data is then written to the first active data stripe. Before the first active data stripe is fully used, the method includes selecting a next active/second active data stripe from the mapped data stripes, the second active data stripe being at least partially located on the second subset. The method may be performed by a system which implements MAID techniques for drive management and CRUSH for data placement. | 02-10-2011 |
20110035606 | System And Method For Information Handling System Hybrid System Level And Power Supply Cooling - Information handling system thermal conditions are controlled by hybrid interaction between a system thermal manager and a power supply thermal manager. The system thermal manager sets a speed for system cooling fans and for a power supply cooling fan. The power supply thermal manager determines a speed for the power supply cooling fan and also receives the speed for the power supply cooling fan determined by the system thermal manager. The power supply thermal manager commands the power supply fan to run at a selected of the determined speeds based upon one or predetermined conditions, such as by the selecting the greater of the determined speeds. | 02-10-2011 |
20110035607 | Coupled Inductor With Improved Leakage Inductance Control - An M-winding coupled inductor includes a first end magnetic element, a second end magnetic element, M connecting magnetic elements, and M windings. M is an integer greater than one. Each connecting magnetic element is disposed between and connects the first and second end magnetic elements. Each winding is wound at least partially around a respective one of the M connecting magnetic elements, and each winding has a respective leakage inductance. The coupled inductor further includes at least one top magnetic element adjacent to and extending at least partially over at least two of the M connecting magnetic elements to provide a magnetic flux path between the first and second end magnetic elements. The top magnetic element forms a gap. The inductor may be included in an M-phase power supply, and the power supply may at least partially power a computer processor. | 02-10-2011 |
20110035608 | COMMUNICATION TERMINAL, COMPUTER-READABLE STORAGE MEDIUM, AND COMMUNICATION METHOD - A communication terminal includes a first communication unit having a power supply function by electromagnetic induction, and a second communication unit having a communication capability higher than that of the first communication unit. When communicating with a communication partner terminal, it is determined whether to receive power from the communication partner terminal. Upon determining to receive the power by the power supply function of the first communication unit, communication with the communication partner terminal by the second communication unit is controlled using the power supplied from the communication partner terminal by the power supply function of the first communication unit. | 02-10-2011 |
20110035609 | METHOD AND SYSTEM FOR FORCING ONE OR MORE POWER STATES ON A DISPLAY - A management controller, method and program product for forcing one or more power states on a display, the management controller comprising: a computer ( | 02-10-2011 |
20110040987 | TIME AVERAGED DYNAMIC PHASE SHEDDING - A time averaged dynamic phase shedding system includes a system to generate a first phase electrical pulse using a phase 1 pulse generator and generate a second phase electrical pulse using a phase 2 pulse generator. The electrical current of the first phase electrical pulse and the electrical current of the second phase electrical pulse are sensed. The electrical pulses are combined into an output signal where a voltage level of the output signal is sensed. The time averaged dynamic phase shedding system turns off the phase 2 pulse generator in response to an average output current being below a current threshold and turns on the phase 2 pulse generator in response to the output signal having a change in output voltage with respect to the change in time (dv/dt) outside of a dv/dt threshold. | 02-17-2011 |
20110040988 | SINGLE PHASE LINE SWITCH - A single phase line switch having a phase line input connected to a phase line of the electric network and a phase line output connected to a negative line of the electric network via resistive loads or capacitive loads. The single phase line includes a first power supply unit connected between the phase line input and the phase line output, a second power supply unit, a controlled switch configured to control the second power unit to be on or off, and a micro control unit (MCU) configured to control the controlled switch to be switched on or off. The first power supply unit is configured to provide a power supply to the MCU when the controlled switch is off. The second power unit is configured to provide a power supply to the MCU and the controlled switch when the controlled switch is on, the second power supply unit being serially connected with the controlled switch, the second power supply and the controlled switch being parallel connected with the first power supply unit. The first power supply unit and the second power supply unit each comprises a voltage output terminal connected to a power supply end of the MCU. | 02-17-2011 |
20110040989 | SYSTEMS AND METHODS FOR MANAGING POWER CONSUMPTION OF MOBILE COMPUTING DEVICES - A method for managing power consumption of a mobile computing device includes setting a minimum orientation and a maximum orientation for the mobile computing device, and determining a relative orientation of the mobile computing device. The relative orientation of the mobile computing device is compared to the minimum and maximum orientations. Whether to allow for the mobile computing device to be suspended is determined based on comparisons of relative orientation to the minimum and maximum orientations. A mobile computing device includes a power source and a sensor configured to determine a relative orientation of the mobile computing device. The mobile computing device further includes a means for determining if the relative orientation of the mobile computing device is greater than a minimum orientation and less than a maximum orientation, and a means for inhibiting a suspension protocol for the mobile computing device. | 02-17-2011 |
20110040990 | Providing A User With Feedback Regarding Power Consumption In Battery-Operated Electronic Devices - Methods, systems, and apparatus, including computer programs encoded on a computer storage medium, for providing a user with feedback regarding power consumption in a battery-operated electronic device. In one aspect, a method is performed by one or more data processing apparatus. The method includes receiving, at the data processing apparatus, historical records of power consumption by a collection of battery-operated electronic devices that are operated by different users, aggregating and analyzing, by the data processing apparatus, the historical records to generate representative characterizations of the power consumption on different classes of the battery-operated electronic devices, and outputting, from the data processing apparatus, the representative characterizations of the power consumption by different applications that have executed on the different classes. Each of the battery-operated electronic devices belongs to a class. | 02-17-2011 |
20110047390 | Power Restoration To Blade Servers - Power restoration to blade servers including maintaining a list of blade server identifications and a value of power saving for each capped blade server; identifying losing power to the capped blade servers; restoring power to the previously capped blade servers in order of the values of power savings. | 02-24-2011 |
20110047391 | ELECTRONIC DEVICE AND MOTHERBOARD THEREOF - An electrical device includes a motherboard and a daughter board. The daughter board includes a plurality of signal pins to output signals for denoting a type of the daughter board. The motherboard includes a controller and a voltage adjusting unit. The controller receives the signals from the signal pins of the daughter board to identify the type of the daughter board and outputs control signals according to the signals received from the daughter board. The voltage adjusting unit receives the control signals and adjusts a voltage from a voltage source into a work voltage according the control signal, and outputs the work voltage to the electrical element. | 02-24-2011 |
20110047392 | DEVICE AND METHOD FOR DETECTING MOTHERBOARD VOLTAGE - A voltage detecting device is provided to detect voltage value of a voltage identification (VID) module on a motherboard. The VID module has a plurality of VID module pins. The voltage detecting device includes a signal transforming module and a main control circuit. The signal transforming module is couple with the VID module. The main control circuit is connected to the signal transforming module. The main control circuit is capable of causing the signal transforming module to read voltage signal at each VID module pin, transforming each voltage signal to a group of binary data, decrypting each group of binary data to a corresponding binary level code, constructing a binary level code combination, and determining actual voltage value corresponding to the binary level code combination. | 02-24-2011 |
20110047393 | External Device Charging While Notebook Is Off - A computer comprises a power supply that provides operating power for the computer and a connector on the computer through which an external device can be coupled to the computer. The power supply powers an auxiliary power rail even when the computer is otherwise off. The auxiliary power rail is provided to the connector to thereby provide power to the external device even when the computer is off. | 02-24-2011 |
20110055594 | Methods for Providing Power to an Information Handling System - A method for powering on an information handling system (IHS) includes receiving a power request signal from a video display in communication with the IHS. The methods further includes determining that the power request signal is a response to a power-on signal for the video display, and powering on the IHS by sending the power-on signal to a power controller of the IHS. | 03-03-2011 |
20110055595 | COMPUTER INCLUDING A CARRIER BOARD AND METHODS OF ASSEMBLY - A method is provided for assembling a computer that includes a carrier board having a board management controller (BMC), a power supply, and a carrier bus. The method includes coupling a module to the carrier board, determining, by the carrier board, a type of the module, and providing power to the module based on the module type such that a voltage component of the power is one of a first voltage and a second voltage that is different than the first voltage. | 03-03-2011 |
20110055596 | REGULATING POWER WITHIN A SHARED BUDGET - Metrics representing a combined measure of power used by a central processing unit (CPU) and power used by a graphics processing unit (GPU) are compared to a shared supply power and/or shared thermal power budget. A state of the CPU and a state of the GPU are regulated by the power management system to maintain the metrics within the shared supply power and/or thermal power budget for the purpose of managing the components to meet platform power supply, and cooling, constraints and design requirements. | 03-03-2011 |
20110055597 | REGULATING POWER USING A FUZZY LOGIC CONTROL SYSTEM - Metrics representing a combined measure of power used by a central processing unit (CPU) and power used by a graphics processing unit (GPU) are compared to a shared supply power and thermal power budgets. Power used by the CPU and power used by the GPU are regulated in tandem using a fuzzy logic control system that can implement fuzzy rules that describe the management within thermal and supply power design constraints of the platform. | 03-03-2011 |
20110055598 | AC Disconnect of Power Over Ethernet Devices - Embodiments of power sourcing equipment (PSE) utilizing AC disconnect are provided herein. In one embodiment, a PSE is provided that includes a DC supply configured to provide a DC voltage over a data communications medium, a controller configured to provide an AC disconnect signal over the data communications medium, and a parallel inductor-capacitor (LC) circuit coupled between the DC supply and the data communications medium. The parallel LC circuit is configured to isolate the DC supply from the AC disconnect signal. In another embodiment, a PSE is provided that includes a DC supply configured to provide a DC voltage at an output, an inductor coupled between the output of the DC supply and a data communications medium, and a capacitor coupled between the data communications medium and ground. The inductor and capacitor form a series LC circuit configured to generate an AC disconnect signal. | 03-03-2011 |
20110055599 | POWER SUPPLY CIRCUIT OF PORTABLE COMPUTER - A power supply circuit for supplying electric power to a portable computer includes a main battery, a first control circuit, a backup battery and a second control circuit. The main battery includes a first output terminal and a second output terminal shorter than the first output terminal. When the main battery is drawn out of the portable computer, a disconnection between the second output terminal and the portable computer occurs earlier than a disconnection between the first output terminal and the portable computer to from a time difference between the two disconnections. During this time difference, the main battery is controlled by the first control circuit to change from supplying electric power to the portable computer to not supplying electric power to the portable computer, and the backup battery is controlled by the second control circuit to supply electric power to the portable computer instead of the main battery. | 03-03-2011 |
20110055600 | POWER SUPPLY CONTROL CIRCUIT AND METHOD - A power supply control circuit for a motherboard of a computer is provided. The power supply control circuit includes a south bridge chip and a voltage output control circuit connected to the south bridge chip. The south bridge chip includes a control pin and a detecting pin. The voltage output control circuit has a voltage input terminal and a voltage output terminal. The voltage output control circuit includes a transistor connected to the voltage input terminal and also connected to the voltage output terminal via a switch component. The voltage output terminal is connected to the detecting pin of the south bridge chip via a super I/O chip. The transistor is capable of controlling the switch component to transmit a high level voltage to the super I/O chip when the computer is shut down. A method is also provided. | 03-03-2011 |
20110055601 | COMPUTING DEVICE AND METHOD FOR PROTECTING A POWER BUTTON OF THE COMPUTING DEVICE - A method protects a power button of a computing device. The computing device includes a front panel and a motherboard. The front panel includes a protection switch and a power button. The motherboard includes a power protection unit that displays a power-on simulation icon and a power-off simulation icon on a display screen when the power button is pressed. The power protection unit controls a general-purpose input/output (GPIO) pin of the motherboard to output a first voltage level when the power-off simulation icon is selected, and shuts off the protection switch to enable the power button according to the first voltage level. The power protection unit controls the GPIO pin to output a second voltage level when the power-on simulation icon is selected, and turns on the protection switch to enable the power button according to the second voltage level. | 03-03-2011 |
20110060923 | Port Power Control - A computing system can include a display to display a representation of the computing system and an image of a port. An input device can select the image of the port and a processor coupled to the display and the input device can associate the selected image of the port to a port on the computing system. An input output controller coupled to the processor can control power to the port. | 03-10-2011 |
20110060924 | Power Management in Multi-GPU Systems - A method of power management is provided. The method includes detecting an event, assign a first responsibility to a first graphics processing unit (GPU) and a second responsibility to second GPU, and changing a power state of the first and second GPUs based on the first and second responsibilities, respectively. The first responsibility is different from the second responsibility. | 03-10-2011 |
20110060925 | IMAGE FORMING SYSTEM AND LOW POWER MODE CONTROL METHOD THEREOF - An image forming system to support a web service function includes a first web server operated under a low power mode to provide a web service, a webpage provider to provide a webpage as a web service through the first web server, and a controller to control the first web server to provide a web service when a web service request command is received in the low power mode. Therefore, it is possible to effectively operate the image forming system under the low power mode. | 03-10-2011 |
20110060926 | Delay Circuit With Reset Feature - A system comprises an AC/DC adapter having a connector. The system also comprises a portable computer that receives said connector. The portable computer comprising a delay circuit coupled to a power transistor that is coupled in parallel with a resistor. The delay circuit causes the power transistor to activate following a time delay after current from the adapter begins to flow through the resistor. As a result of a user beginning to remove the connector from the portable computer, a control transistor is activated to reset the delay circuit. | 03-10-2011 |
20110066865 | Nameplate Power Capping - A nameplate for power capping a computer including a mounting surface; a module integrated in the mounting surface for providing a machine-readable designation of a power cap for a particular computer; a human readable designation of a power cap for the particular computer integrated in the mounting surface; and a mount for attaching the mounting surface to a chassis of the particular computer such that the human readable designation of a power cap is exposed. | 03-17-2011 |
20110066866 | POWER SUPPLY CONTROL CIRCUIT AND ELECTRONIC DEVICE USING THE SAME - A power supply control circuit used to control a power supply to supply a MCU includes a first transistor switch, a RC circuit, a power switch control circuit, and an input signal control circuit. When the power switch control circuit is grounded via the MCU, the RC circuit is discharged to ground and the first transistor switch is switched on, such that the power supply supplies power to the MCU. When the MCU outputs a control signal to the input signal control circuit, the RC circuit is discharged to ground and the first transistor switch is switched on, such that the power supply supplies power to the MCU. When the MCU stops outputting the control signal to the input signal control circuit, the RC circuit is charged and the first transistor switch is switched off, such that the power supply does not supply power to the MCU. | 03-17-2011 |
20110066867 | METHOD AND SYSTEM FOR MONITORING MODULE POWER INFORMATION IN A COMMUNICATION DEVICE - A method for communication is disclosed and includes, in a single chip including a plurality of on-chip devices, acquiring, from at least one of the plurality of on-chip devices, power information for the at least one of the plurality of on-chip devices. The acquiring may be in response to a query signal received by the at least one of the plurality of on-chip devices. An output signal indicative of power status of the at least one of the plurality of on-chip devices may be generated from within the chip, based on the acquired power information. The acquired power information may be communicated to the off-chip device. The acquired power information may be wirelessly communicated to the off-chip device via an antenna or an infrared transmitter on the single chip. The acquired power information may be communicated to an off-chip device via a wired connection on the single chip. | 03-17-2011 |
20110072280 | Systems and methods for time-based management of backup battery life in memory controller systems - Systems and methods that may be implemented for time-based management of storage memory controller (e.g., RAID controller) backup battery life in information handling systems by limiting the backup battery system operation time in order to save energy, reduce the impact of leakage current, and prolong memory controller backup battery shelf life while at the same time meeting requirements of back-up time for storage/server applications. The disclosed systems and methods may be implemented, for example, by providing a battery system controller that implements a pre-set memory controller backup battery operation time, in combination with a hardware-controlled mechanism that extends backup battery system operation time by disabling one or more current leakage paths within the storage memory controller circuitry. | 03-24-2011 |
20110072281 | IMAGE FORMING APPARATUS AND CONTROL METHOD THEREOF - An image forming apparatus including an image forming unit to form an image, a user switch unit to output a user operation signal to switch between a power-on state and a power-off state of the image forming apparatus, a main controller to control the image forming unit and to output a power control signal based on the user operation signal, a power switching unit to selectively supply operation power to the main controller based on the user operation signal and the power control signal, and an auxiliary controller to control the power switching unit such that the operation power is not supplied to the main controller when the power control signal is changed in a power-off state. | 03-24-2011 |
20110072282 | METHOD FOR IMPLEMENTING THERMAL MANAGEMENT IN A PROCESSOR AND/OR APPARATUS AND/OR SYSTEM EMPLOYING THE SAME - A method for detecting temperature associated with a processor, results of the detecting being used for controlling power dissipation associated with the processor and/or apparatus and/or system employing the same. | 03-24-2011 |
20110072283 | Microarchitecture Controller For Thin-Film Thermoelectric Cooling - A device having multiple cores executes an algorithm to control Thin-Film Thermoelectric Coolers (TFTEC) that employ the Peltier effect to remove heat from the various cores of the multi-core processor. The algorithms may combine Thread Migration (TM) and Dynamic Voltage/Frequency Scaling (DVFS) to provide Dynamic Thermal Management (DTM) and TFTEC control. | 03-24-2011 |
20110078463 | METHOD, SYSTEM AND APPARATUS FOR LOW-POWER STORAGE OF PROCESSOR CONTEXT INFORMATION - A method and system for saving and/or retrieving context information of a processor core for a power state transition. The processor core resides in a complex power domain variously transitioning between a plurality of power states. The processor core includes a local context storage area for storage and retrieval of processor core context information. A low power context storage resides in a nominal power domain external to the complex power domain. Context information of the processor core is stored to the low power context storage based on whether a power state transition of the complex power domain includes a transition to power down the processor core. | 03-31-2011 |
20110078464 | INFORMATION PROCESSING APPARATUS, METHOD FOR CONTROLLING THE SAME, AND STORAGE MEDIUM - An information processing apparatus includes an input unit configured to input data, and a control unit configured to control a power mode of the information processing apparatus. When the input unit inputs data while the information processing apparatus is operated in the second power mode and when the data input by the input unit is data to be immediately processed by the first processing unit, the power mode of the information processing apparatus is shifted from the second power mode to the first power mode. When the data input by the input unit is data not to be immediately processed by the first processing unit, the power mode of the information processing apparatus remains in the second power mode. | 03-31-2011 |
20110078465 | INFORMATION PROCESSING APPARATUS, METHOD OF CONTROLLING INFORMATION PROCESSING APPARATUS, AND STORAGE MEDIUM - When a control unit is in a power saving mode, if a job is received from a host apparatus, a NIC unit responds by proxy to the job by using only some of devices in the control unit. In a case where it is determined that the NIC unit cannot respond by proxy to the job, it is determined based on a packet pattern of the job whether the job can be dealt with using only some of devices disposed in the control unit. If it is determined that the job can be dealt with using only some of devices, the NIC unit deals with the job using some of devices. | 03-31-2011 |
20110078466 | SYSTEMS AND METHODS OF COMPONENT VOLTAGE ADJUSTMENT - Systems and methods for adjusting component voltage are disclosed. One example method includes: reading, over a control bus, a present value of voltage supplied to a component; comparing the present value of the voltage component to a predetermined minimum voltage associated with the component; and writing, over the control bus, a current setting that affects voltage provided to the component, wherein the writing is responsive to the comparison. | 03-31-2011 |
20110083021 | RELIABLE SETTING OF VOLTAGE AND FREQUENCY IN A MICROPROCESSOR - Managing operations associated with one or more voltage changes and one or more frequency changes. A voltage change request and a frequency change request are associated with dynamic voltage and frequency scaling (DVFS) operations. The DVFS operations are transmitted by the processors to be executed by one or more direct current assemblies. A sequence associated with the one or more voltage changes and a sequence associated with the one or more frequency changes are detected by the system. The sequences are dynamically modified to enable insertion of an additional voltage change, whereby the additional voltage change indicates completion of one or more previous voltage change requests. Completion of the voltage change request enables one or more subsequent voltage change requests to be processed. When a voltage change request is not successfully completed one or more future voltage changes are suspended. | 04-07-2011 |
20110083022 | Integrated Power Supply and Network Connection Control Device for Cord Set - An integrated power supply and network connection control device for a cord set includes a power device having a main outlet and a plurality of auxiliary outlets, the main outlet is kept power-on permanently, and the plurality of auxiliary outlets is controlled to be on/off by a control device; a network connector (RJ45) is disposed on the power device for connecting with a network cable and is also controlled to be on/off by the control device; the control device is coupled with a computer host via a USB cable for a user to set a cursor idle time, a sleep time, a standby time and a shutdown time for the computer host; when the cursor doesn't move for more than the cursor idle time, the control device will force the network connector to turn off the network connection; if the computer host is in a sleep, standby or a shutdown mode, the control device will force the plurality of auxiliary outlets of the power device to be power-off to save energy and to prevent computer hacking. | 04-07-2011 |
20110087900 | DYNAMIC TABLE LOOK-UP BASED VOLTAGE REGULATOR CONTROL - A technique for dynamically controlling microprocessor power plane voltage levels includes storing in a memory on a voltage regulator voltage control identifiers in a table accessible according to performance state. In at least one embodiment of the invention, a method includes transitioning a voltage output of a voltage regulator to a next voltage level associated with a next performance state of a processor coupled to the voltage regulator based on a performance state indicator received from the processor and a corresponding entry of a performance state table. In at least one embodiment, the method includes loading performance state table entries into a storage device on the voltage regulator circuit. | 04-14-2011 |
20110087901 | FAST SPEED COMPUTER SYSTEM POWER-ON & POWER-OFF METHOD - A fast speed computer system power-on & power-off method, that is used to reduce an amount of main memory transferred and stored from a main memory into a second storage device, thus speeding up a speed of re-activation of a computer system from a hibernation state into a full speed operation state. Said fast speed computer system power-on & power-off method is applicable to various types of computer systems, and can be used to write in and load back data in cooperation with a random access processing technology. In addition, said method can be used to reduce extent of data loss and damage of said computer system due to a sudden power outage of said computer system. | 04-14-2011 |
20110087902 | MULTI-FUNCTION INTEGRATED DEVICE AND OPERATING METHOD THEREOF - A multi-function integrated device and an operating method thereof are provided. The multi-function integrated device includes a data reading module, a network access module, and a power control module. The network access module includes a first network component corresponding to a LAN and a second network component corresponding to a WLAN. The power control module turns on the function of the data reading module and/or the network access module according to a control signal received from a host. When the function of the network access module is determined to be turned on, the power control module supplies power to the first network component or the second network component according to the control signal. | 04-14-2011 |
20110093724 | APPARATUS AND METHOD FOR POWER CONTROL OF A CENTRAL PROCESSING UNIT IN A MULTI-CORE SYSTEM - A power control method of a Central Processing Unit (CPU) in a multi-core system. The power control method includes acquiring current usage information of the CPU and system information, estimating a CPU usage of a next time interval based on the acquired current usage information, calibrating the estimated CPU usage of the next time interval based on the acquired system information, and determining a power control mode based on at least one of the acquired system information and the calibrated CPU usage of the next time interval. | 04-21-2011 |
20110093725 | Method and Apparatus for User-Activity-Based Dynamic Power Management and Policy Creation for Mobile Platforms - A method and apparatus for user activity-based dynamic power management and policy creation for mobile platforms are described. In one embodiment, the method includes the monitoring of one or more sensor values of a mobile platform device to gather sensor activity data. Once the sensor activity data is gathered, the user state may be predicted according to the gathered user activity and an updated user state model. In one embodiment, the user state model is updated according to the sensor activity data. In one embodiment, a switch occurs from the present power management policy to a new power management policy if the new user state differs from a present user state by a predetermined amount. In one embodiment, at least one time-out parameter of a selected power management policy may be adjusted to comply with a predicted user state. Other embodiments are described and claimed. | 04-21-2011 |
20110099389 | STAND-BY POWER SYSTEM FOR INFORMATION HANDLING SYSTEMS - A power supply system includes a power supply coupled to a load via a main power rail, and a switch coupled between the power supply and the load on an auxiliary power rail. A controller controls the switch to couple the auxiliary power rail to the load in response to a startup command, and the controller controls the switch to uncouple the load from the auxiliary power rail in response to a shut down command and a low power mode being enabled. | 04-28-2011 |
20110099390 | COMPUTER SET PROVIDED WITH A DISK TYPE BATTERY UNIT - A computer set for coupling to a disk drive and a battery unit, includes a disk-drive connecting port, a power diverter circuit and a power source. The connecting port includes a set of disk terminals, a set of battery terminals, and a set of power terminals. The diverter circuit is coupled electrically to the connecting port. The power source is electrically coupled to the diverter circuit such that when the computer set is coupled to the disk drive, the sets of disk and power terminals are electrically coupled to the disk drive and the power source supplies a first electrical power for the disk drive via the diverter circuit. When the computer set is coupled to the battery unit, the sets of battery and power terminals are coupled electrically to the battery unit so as to permit the battery unit to supply a second electrical power for the computer set via the diverter circuit. | 04-28-2011 |
20110099391 | STORAGE SYSTEM - A storage system includes: a basic apparatus for transmitting an access request through a data input line for transmitting data; and an extension apparatus for receiving an access request from the basic apparatus through the data input line, the extension apparatus including a storage for storing data and a controller for controlling the access request from the basic apparatus, wherein the basic apparatus superimposes a voltage to the data input line in accordance with the access request, and wherein the extension apparatus includes an upper input voltage detector for detecting the voltage superimposed on the upper data input line and includes a power controller for controlling the power supply to the controller on the basis of the detected voltage. | 04-28-2011 |
20110099392 | Delayed Execution of Operations - In general, the subject matter described in this specification can be embodied in methods, systems, and program products for performing power management. A computer process that requires, to be performed, substantial portions of battery storage on the mobile computing device is identified. Execution of the identified process can be deferred while the mobile computing device is not connected to a power source that is for charging the battery storage and that is external to the mobile computing device. Connection of the mobile computing device to the power source is sensed. Performance of the process is triggered in response to sensing that the mobile computing device has been connected to the power source. | 04-28-2011 |
20110099393 | MULTI-THREADING PROCESSORS, INTEGRATED CIRCUIT DEVICES, SYSTEMS, AND PROCESSES OF OPERATION AND MANUFACTURE - A multi-threaded microprocessor ( | 04-28-2011 |
20110107115 | HARDWARE BASED P-STATE CONTROL - A performance state control system includes a processor and a voltage regulator coupled to the processor. The voltage regulator provides a regulated voltage to the processor, as instructed by the processor. A logic circuit coupled to the processor and the voltage regulator detects that the processor is in an operating power state, determines a time that the processor is in the operating state and instructs the processor to execute a performance state determination algorithm when the time that the processor is in the operating state exceeds a pre-determined threshold value. | 05-05-2011 |
20110107116 | System and Method for Power Over Ethernet Enabled Network Management - A system and method for power over Ethernet enabled network management. An intermediary device is positioned between a computing device and a network. The intermediary device can be designed to wake up a part of the computing device (e.g., network interface) through the application of power via power over Ethernet. The transition of the part of the computing device from a sleep state to an active state can facilitate the further initiation of a management function. For example, the transition of a network interface of the computing device from a sleep state to an active state can facilitate the processing of a wake on LAN message, retrieval of information, maintenance, or other communication need. | 05-05-2011 |
20110107117 | METHOD AND APPARATUS FOR ACTIVATING DEVICE - Method and apparatus for activating a slave device are provided. The method including: receiving communication medium scan signals comprising a slave device identifier; controlling a main power supply when the slave device is in an inactive state; determining whether the slave device is completely booted; and executing an upper layer communication when the slave device is completely booted. | 05-05-2011 |
20110107118 | SYSTEM AND METHOD FOR TESTING HYBRID SLEEP MODES OF AN ELECTRONIC DEVICE - A system and method for testing hybrid sleep modes of an electronic device sets a switch time of switching a suspending to RAM (S3) sleep mode to a suspending to disk (S4) sleep mode. A computer sends a power management event (PME) signal to control the electronic device to be in the S3 sleep mode. If a system time of the electronic device reaches a switch time of switching the S3 sleep mode to the S4 sleep mode, the computer detects if the electronic device is in the S4 sleep mode. If the electronic device is in the S4 sleep mode, the computer sends an awake signal to control the electronic device to be in a normal work mode. A display of the computer displays that the electronic device is in the S3 sleep mode, the S4 mode, or the normal work mode. | 05-05-2011 |
20110107119 | POWER SUPPLY APPARATUS, POWER RECEIVING APPARATUS, POWER SUPPLY SYSTEM, AND POWER SUPPLY METHOD - Provided is a power supply apparatus including a direct-current power source connected to a bus line on which an information signal representing information is superposed upon direct-current power including first direct-current power and second direct-current power, the direct-current power source arranged to always outputting to the bus line the second direct-current power of a positive voltage, the second direct-current power being superposed, on the bus line, upon the first direct-current power output for a predetermined first period. The voltage of the second direct-current power is lower than the minimum voltage of the first direct-current power. | 05-05-2011 |
20110107120 | INFORMATION PROCESSING APPARATUS, POWER SUPPLY CONTROL METHOD, PROGRAM AND POWER SUPPLY CONTROL SYSTEM - There is provided an information processing apparatus including a first connection unit with power supply type information, a second connection unit with power supply type information, a connection state determination unit for determining whether the connection states of the first and second connection units have changed, a power supply identification information acquisition unit for selectively acquiring the power supply type information from the first power supply apparatus and from the second power supply apparatus if the connection state determination unit determines that the connection states have changed, a power supply identification information management unit for managing connected-power-supply identification information, and a power supply control unit for controlling a feed from the power supply apparatuses connected to the first and second connection units and a charge on the first power supply apparatus connected to the first connection unit. | 05-05-2011 |
20110107121 | POWER SUPPLY AND COMPUTER HAVING EXTERNAL POWER SOURCE - A power supply having an external power source, for receiving a power energy of an input voltage and converting to an external power source and an internal power source which is used in a computer, is disclosed. The power supply includes a body, a power converting module disposed inside the body for receiving the power energy of the input voltage and converting to the external power source and the internal power source, a power input device connected to a power input terminal of the power converting module for transferring the input voltage to the powering converting module, an internal power output device connected to an internal power output terminal of the power converting module and a motherboard of the computer, and an external power output device having one end connected to an external power output terminal of the power converting module and having the other end connected to a computer body of the computer or outside of the body, for transferring the external power source to a portable electronic apparatus. | 05-05-2011 |
20110107122 | POWER SUPPLY AND POWER SUPPLY SYSTEM INCORPORATING A PLURALITY OF POWER SUPPLIES - Disclosed is a power supply for receiving an input voltage and generating an output voltage and an output current, which includes a power converter for receiving the input voltage and generating an intermediate output voltage, an output protection circuit connected to an output terminal of the power converter and including a plurality of switch circuits connected in parallel with each other. The output protection circuit is configured to limit the flowing direction of the output current by the switching operations of the switch circuits. The power supply further includes a control unit connected to the output protection circuit for issuing a plurality of control signals to the switch circuits, in which at least two of the control signals are set to allow at least two of the switch circuits to be turned off with different timings. | 05-05-2011 |
20110107123 | POWER CONTROL SYSTEM FOR DISTRIBUTING POWER TO POWER DEMANDING FACILITY - A power control system includes a distribution unit distributing power supplied from a power system to a plurality of power demanding facilities, and a measurement unit measuring a value of running power between the power system and the distribution unit. A power control instruction is transmitted to a power demanding facility in the event of the condition of TLP05-05-2011 | |
20110107124 | METHOD AND SYSTEM FOR DISCOVERING A POWER SOURCE ON A PERIPHERAL BUS - Improved techniques to recognize a power source on a peripheral bus and/or determine power available from the power source via the peripheral bus are disclosed. Typically, the peripheral bus is supported by a cable connected between a host device and an electronic device. In this case, the host device is a power source (e.g., power adapter or battery pack) and the cable is used to provide power from the power source to the electronic device. Hence, by understanding the power available from the power source, the electronic device can manage its power utilization so as to operate in a stable and reliable manner. The electronic device is, for example, a portable computing device. Examples of portable computing devices include a Portable Digital Assistant (PDA) and a portable media player. | 05-05-2011 |
20110113262 | Voltage sensor for high-current junction - A system includes a high-current junction, a voltage sensor, and a controller. Power connectors of two components are electrically connected at the high-current junction, where a high current passes between the two components at the high-current junction. The voltage sensor detects a voltage at the high-current junction. The controller performs a predetermined action in response to the voltage sensor detecting the voltage at the high-current junction being greater than a predetermined threshold voltage. The system may be a data center rack. The high-current junction may be the junction at which an alternating current (AC) input receives AC power from AC mains. The high-current junction may alternatively be the junction at which a power supply receives the AC power from the AC input to generate direct current (DC) power to provide to data center rack components insertable within the data center rack. | 05-12-2011 |
20110113263 | SERVER - The present invention provides a server including a plurality of power supplies independent from each other, a management backplane, a first embedded management board (first EMB) and a plurality of motherboards independent from each other. The power supplies are turned on or off according to a first control signal. The management backplane is coupled to the power supplies, the first EMB and the motherboards. The first EMB has a power-controlling unit and produces the first control signal and an acknowledgement signal according to the load status, the quantity of a plurality of turned on power supplies and a power-on demand command. The motherboards respectively send out the power-on demand command and decide whether or not to power on according to the acknowledgement signal, wherein when the first EMB works, a polling mode is used to sequentially switch the connections between the first EMB and the motherboards through the management backplane. | 05-12-2011 |
20110113264 | METHOD AND APPARATUS FOR NETWORK COMMUNICATIONS OVER HDMI IN STANDBY MODE - Provided are an apparatus and method thereof for providing standby power for Ethernet communications between a High-Definition Multimedia Interface (HDMI) device and an Ethernet device. The apparatus includes: an HDMI switch which is connected to the HDMI device; an Ethernet switch which is connected to the HDMI switch and the Ethernet device; a standby mode power switch operable to switch standby power to the Ethernet switch; and a primary power source operable to provide primary power to the Ethernet switch; a standby power source operable to provide the standby power to the Ethernet switch via the standby mode power switch; and a controller operable to receive the control signal from the HDMI device and to control to the standby mode power switch, wherein if the control signal is received, the controller controls the standby mode power switch to switch the standby power to the Ethernet switch. | 05-12-2011 |
20110113265 | CIRCUIT SYSTEM AND CONTROL METHOD THEREOF - A circuit system is provided, including a processing unit, a control unit electrically connected to the processing unit, and a plurality of PWM units electrically connected to the control unit. The processing unit transmits a control signal to the control unit according to a load current value of the circuit system, and the control unit enables at least one of the PWM units according to the control signal. | 05-12-2011 |
20110113266 | Electronic Device and Power Control Module Thereof - This invention provides an electronic device and a power control module thereof. The electronic device is used for being connected with an external power source, and the electronic device includes a system unit, a power supply unit, a power control module, and a control unit coupled with the system unit and the power control module. When the power supply unit is connected with the external power source, the power supply unit provides a first operating voltage for the power control module, the power control module provides a first control signal for the control unit, and the control unit receives the first control signal and provides a second control signal for the power supply unit according to the first control signal, allowing the power supply unit to sequentially provide a plurality of system working voltages for the system unit. | 05-12-2011 |
20110119506 | POWERED DEVICE - An exemplary powered device (PD) connected to a local power source and a power sourcing equipment (PSE) includes a constant current source drawing at least 10 mA direct current from the PSE when the local power source is in operation. The PD includes a first conversion circuit, a first diode, and a second diode. The first conversion circuit comprises a first input and is configured for converting a voltage input to the first input into the rated working voltage of the PD. The positive terminal of the first diode is connected to the local power source and the negative terminal thereof is connected to the first input. The voltage of the local power source is higher than that of the PSE. | 05-19-2011 |
20110119507 | SYSTEM AND METHOD FOR CONTROLLING BUS-NETWORKED DEVICES VIA AN OPEN FIELD BUS - A system for controlling bus-networked devices, the system including a gateway, an open field bus electrically connected to the gateway, and a first power supply unit electrically connected to the gateway and configured to supply primary power for the gateway and a plurality of bus subscribers. An auxiliary power supply unit is included configured to supply auxiliary power for the plurality of bus subscribers independent of bus functionality. A pluggable connection cable is included configured to electrically connect the gateway to the plurality of bus subscribers and configured to transmit the primary and the auxiliary power and at least one of control information and status information between the gateway and the plurality of bus subscribers. | 05-19-2011 |
20110126028 | Fast Turn-On/Off for Energy Efficient Ethernet - In described embodiments, turn-on time for active portions of an Energy Efficient Ethernet (EEE) device is improved by storing energy in a corresponding capacitor bank through a bidirectional device from a certain node in the device during an active state, continuing to store the energy when the device enters a Low Power Idle (LPI) state, and then allowing the energy to return to the node through the bidirectional device when the device returns to an active state. During active mode, the bidirectional device controls the capacitor bank so as to charge relatively slowly to store energy, and when the device transitions to LPI, the charge is maintained in the capacitor bank. When the device returns to the active state, the bidirectional device allows the capacitor bank to discharge relatively rapidly to the node, thereby improving the turn-on time of the circuit elements coupled to the node. | 05-26-2011 |
20110126029 | DISPLAY PANEL POWER PREDICTION - Power consumption data for a first group of brightness settings is stored in a memory. At least a portion of the power consumption data is used to predict power consumption data for a second group of brightness settings. | 05-26-2011 |
20110126030 | ELECTRONIC DEVICE - An electronic device comprising: a wiring substrate having a first power-supply wiring to which a first power-supply potential is applied and a second power-supply wiring to which a second power-supply potential lower than the first power-supply potential is applied; a microcomputer having first and second power-supply terminals in which the first power-supply terminal is connected to the first power-supply wiring and the second power-supply terminal is connected to the second power-supply wiring; and a connector connected to the first and second power-supply wirings, wherein an inductor element for correcting an impedance error of the first and second wirings is connected in series to either one of the first and second power-supply wirings. According to such configuration, unnecessary electromagnetic radiation posed by a common current can be suppressed. | 05-26-2011 |
20110126031 | VEHICLE-MOUNTED MULTIMEDIA APPARATUS AND A METHOD OF POWERING THE SAME - The present invention is related to a vehicle-mounted multimedia apparatus | 05-26-2011 |
20110131425 | SYSTEMS AND METHODS FOR POWER MANAGEMENT IN A HIGH PERFORMANCE COMPUTING (HPC) CLUSTER - Embodiments of the invention broadly contemplate systems, methods, apparatuses and program products providing a power management technique for an HPC cluster with performance improvements for parallel applications. According to various embodiments of the invention, power usage of an HPC cluster is reduced by boosting the performance of one or more select nodes within the cluster so that the one or more nodes take less time to complete. Embodiments of the invention accomplish this by selectively identifying the appropriate node(s) (or core(s) within the appropriate node(s)) in the cluster and increasing the computing capacity of the selected node(s) (or core(s) within the appropriate node(s)). | 06-02-2011 |
20110131426 | INFORMATION PROCESSING APPARATUS AND CONTROL METHOD OF THE INFORMATION PROCESSING APPARATUS - According to one embodiment, an information processing apparatus includes a connector, a switching module and a controller. The connector is configured to connect an external device. The switching module is configured to switch between output of electric power to a power supply line, which is connected to the connector, and input of electric power from the power supply line. The controller is configured to make the switching module switch to output of electric power to the power supply line and determine whether the external device includes an electric power supply function when the external device is connected to the connector, and to make the switching module switch to input of electric power from the power supply line when the external device includes an electric power supply function. | 06-02-2011 |
20110131427 | POWER MANAGEMENT STATES - Utilizing software-based power management states to determine changes in a processing demand and provide changes in energy to be delivered to an electronic system. | 06-02-2011 |
20110131428 | Intelligent Power Over Ethernet Power Management for Personal Computing Devices in Enterprise Environments - A Power-over-Ethernet (PoE) communication system dynamically provides power and data communications over a communications link. In an enterprise environment made up of one or more personal computing devices (e.g., personal or laptop computers), a switch determines an allocated amount of power to be supplied to each device. The system includes a switch, a power supply, and one or more personal computing devices having a PoE control module. The PoE control module can be part of, for example, a Power Source Equipment/Powered Device (PSE/PD) system or a LAN-On-Motherboard/Powered Device (LOM/PD) system. A method of dynamically providing power to personal computing devices includes determining the power requirements of each device based on one or more factors, which can include, for example, battery charge status, power load, power mode, etc., of each device. Various algorithms can be used to decide priority in providing power to the devices. | 06-02-2011 |
20110138195 | POWER MANAGEMENT APPARATUS AND METHOD THEREOF AND POWER CONTROL SYSTEM - A power management apparatus includes: a service request monitor block for receiving service requests by service groups to provide load information of service platforms belonging to the respective service groups; a platform information collection block for collecting a configuration information of the service platforms and collecting load information of the service platforms in a predetermined cycle; a platform power state alteration block for altering power states of the service platforms by request; and a management interface block for providing a setup interface for a load-based and a time-based power control and providing platform profile information generated based on the load information. | 06-09-2011 |
20110138196 | ROUTER COLLABORATION - A router system is disclosed. The router system comprises a wireless router and a cradle comprising a router interface configured to receive the router. One or more connections are positioned at the router interface for communicating with the router. Methods and systems for communicating between a router and the internet are also disclosed. | 06-09-2011 |
20110138197 | PROCESSOR POWER MANAGEMENT - A method comprises operating a processor at a first power control strategy. Such a method further comprises determining whether a workload of a task running on a processor has fallen below a lower threshold, and changing to a second power control strategy to operate the processor based on determining that the workload of the task has fallen below the lower threshold. | 06-09-2011 |
20110145603 | ENERGY MANAGEMENT FOR DATACENTERS - A datacenter schedules and executes requests to conserve energy. The datacenter uses an event-based opportunistic approach to schedule and run the requests, which provides energy efficiency. The requests are hierarchically batched and sent to the datacenter for scheduling and execution. They are selectively sent over low power links and selectively serviced by low power processors. | 06-16-2011 |
20110145604 | FAULT TOLERANT POWER SEQUENCER - A system comprising a plurality of subsystems and a master power sequencer. Each of the plurality of subsystems is coupled to an associated power switch and an associated slave power sequencer. The master power sequencer is coupled to each of the slave power sequencers and each of the power switches. Upon a slave power sequencer identifying a fault with its associated subsystem, the master power sequencer determines whether to provide power to any other subsystem. Further, the master power sequencer is configured to send a signal to each of the power switches indicating whether to provide power to the subsystem associated with each of the power switches. | 06-16-2011 |
20110145605 | SYSTEM AND METHOD FOR DYNAMICALLY CONTROLLING A PLURALITY OF CORES IN A MULTICORE CENTRAL PROCESSING UNIT BASED ON TEMPERATURE - A method of controlling power within a multicore central processing unit (CPU) is disclosed. The method may include monitoring a die temperature, determining a degree of parallelism within a workload of the CPU, and powering one or more cores of the CPU up or down based on the degree of parallelism, the die temperature, or a combination thereof. | 06-16-2011 |
20110145606 | System and Method for Implementing Fairness in the Powering of Computing Devices in a Power over Ethernet Application - A system and method for implementing fairness in the powering of computing devices in a power over Ethernet (PoE) application. Power supplies in a power sourcing equipment are often oversubscribed. This oversubscription can lead to starvation of certain computing devices that have power requests that are not granted relative to competing requests. A fairness consideration can be implemented to ensure that starvation conditions are avoided. | 06-16-2011 |
20110154064 | Dynamic Energy Managment - A computer system with reliable dynamic energy management includes a thread synchronized energy configurator, the thread synchronized energy configurator extending an instruction decoder of the computer system and the thread synchronized energy configurator is disposed to append an energy configuration field including energy configuration bits to pipeline control bits of instructions in the instruction decoder, a thread synchronized dynamic frequency shifter (DFS), the thread synchronized DFS disposed to set control signals indicative of a frequency shift both per thread and per pipeline, and a thread synchronized general purpose register (GPR) super scaler, wherein the GPR super scaler is disposed to optimize thread operation based upon the set control signals. | 06-23-2011 |
20110154065 | OPERATING SYSTEM INDEPENDENT NETWORK EVENT HANDLING - Methods and apparatuses for re-instantiating a firmware environment that includes one or more firmware functions available at pre-boot time when transitioning the computing device from a wake state to a sleep state. A network event received by the computing device while in a sleep state may be handled by the firmware environment independent of the operating system and without returning the entire computing device to the wake state. | 06-23-2011 |
20110154066 | POWER MANAGEMENT SYSTEM AND METHOD - A power manager controls the supply voltage level at a load according to load current demand, and optionally the impedance of the power delivery path, by adjusting the supply voltage. The supply voltage may be reduced by determining a fixed load current that corresponds to a first operating frequency, determining a supply voltage that corresponds to the fixed load current, and then powering the load based on the supply voltage. Alternatively, the supply voltage may be increased along with increasing the operating frequency of the load while maintaining system power consumption within a predetermined limit. | 06-23-2011 |
20110154067 | WORKLOAD POWER CONSUMPTION PROJECTION ON INFORMATION HANDLING SYSTEM - The projected power consumption for an application program running on a future IHS is determined by generating a power proxy for the application program, and power proxies for a plurality of standard benchmarks. An algorithm correlates the power proxy for the application program, and the power proxies for the standard benchmarks to generate weighted surrogates that are combined with power proxies derived from running the benchmark surrogates on the future IHS to generate the projected power consumption. | 06-23-2011 |
20110154068 | Switched capacitor voltage regulator with high efficiency over a wide voltage range - In some embodiments, a voltage regulator device may include a switched capacitor voltage regulator to receive an input voltage and to provide an output voltage to a load, and a control unit to receive information related to a desired output voltage for the switched capacitor voltage regulator and to determine a desired input voltage for the switched capacitor voltage regulator based on the desired output voltage and selected operation mode or modes of switched capacitor voltage regulator. Other embodiments are disclosed and claimed. | 06-23-2011 |
20110154069 | DYNAMIC POWER STATE DETERMINATION - According to some embodiments, a method and apparatus are provided to determine a first operating point and a second operating point associated with a graphics processing unit, automatically determine a plurality of voltage/frequency values between the first operating point and the second operating point, and define a plurality of power states of the graphic processing unit. One or more of the power states may be associated with one of the plurality of voltage/frequency values. Each of the plurality of voltage/frequency values may include both a voltage and a frequency. | 06-23-2011 |
20110161682 | PROCESSOR VOLTAGE REGULATION - A voltage regulator module (VRM) includes a first interface configured to couple to a first substrate interface at a first voltage. The VRM also includes a second interface configured to couple to a first processor interface at a second voltage. A first regulator module couples to the first interface and to the second interface. The first regulator module is configured to receive power at the first interface, to convert power to the second voltage, and to deliver power to the first processor interface at the second voltage. A method for providing power to a processor includes receiving power from a first substrate interface at a first voltage. The received power is regulated to generate power at a second voltage. The regulated power is provided to a processor at a first processor interface coupled to the processor. The processor interface delivers power to a logic group of a plurality of logic groups of the processor. | 06-30-2011 |
20110161683 | APPARATUS FOR HIGH EFFICIENT REAL-TIME PLATFORM POWER MANAGEMENT ARCHITECTURE - In some embodiments, the invention provides a higher efficiency, real-time platform power management architecture for computing platforms. A more direct power management architecture may be provided using integrated voltage regulators and in some embodiments, a direct power management interface (DPMI) as well. Integrated voltage regulators, such as in-silicon voltage regulators (ISVR) can be used to implement quicker, more highly responsive power state transitions. | 06-30-2011 |
20110161684 | MINI POWER SUPPLY MODULE - The present invention discloses a mini power supply module comprising a circuit board and a power connector. Said power connector is abutted and electrically connected with said power connector through coupling parts. Said power connector is provided with an orientating mechanism to change the angle between said power connector and said circuit board. Said power connector is further included with an input port for receiving the input of an external power source, a contact terminal for matching with the connector on motherboard, and at least one output port for outputting power to peripheral devices. | 06-30-2011 |
20110161685 | Temperature Control Method and Electronic Device Thereof - A temperature control method and an electronic device thereof are disclosed. A temperature control method applicable to an electronic device comprises the following steps. A power-consumption vs. temperature lookup table is provided, which records a plurality of thermal zones and a plurality of power consumption budgets corresponding thereto. An initial power consumption budget is obtained from the power-consumption vs. temperature lookup table based on an initial thermal zone value. The power consumption modes of the plural processing units are dynamically regulated according to each detected duty basis of the processing units, and the initial power consumption budget. The power consumption budget is dynamically changed according to a detected temperature of the electronic device and the power-consumption vs. temperature lookup table. | 06-30-2011 |
20110161686 | POWER SUPPLY CONTROL MODULE, ELECTRONIC DEVICE, AND RESET CONTROL METHOD - According , one embodiment, a power supply control module includes: a memory module; power supply controller; a voltage determination module; and reset execution module. The power supply controller performs supply/cutoff control of a voltage from a main power supply to a load via a power supply circuit by performing ON/OFF control of a switch module. The voltage determination module determines whether, during a standby state operating on a voltage supplied from a charge accumulating module, a value of the voltage supplied from the charge accumulating module is equal to or smaller than a reset threshold value set in advance. The reset execution module performs a reset execution operation for clearing a status retained in a memory module, the voltage determination module determines that the value of the voltage is equal to or smaller than the reset threshold value. | 06-30-2011 |
20110161687 | POWER SUPPLY APPARATUS, POWER RECEPTION APPARATUS AND INFORMATION NOTIFICATION METHOD - There is provided a power supply apparatus including a power supply unit configured to supply power, via a bus line, to another device with which an agreement about a power specification for power supply is achieved, a communication unit configured to communicate between power supplied from the power supply unit and the another device using frequency dividing, and an state input unit configured to accept an input of one or more predetermined states from outside. When the predetermined state is input to the state input unit, the communication unit applies a parameter indicating the state and communicates with the another device. | 06-30-2011 |
20110161688 | INFORMATION PROCESSING APPARATUS - According to one embodiment, an information processing apparatus includes a body housing comprising a top surface, a display housing connected to the body housing to pivotably move between a close position where the top surface is covered by the display housing and an open position where the top surface is opened, an acceleration sensor configured to detect an acceleration of the information processing apparatus, an opening/closing sensor configured to detect whether the display housing is located in the close position, an interface port provided in the body housing and configured to be connectable with an external device, and a controller configured to determine whether to power the interface port based on outputs of the acceleration sensor and the opening/closing sensor. | 06-30-2011 |
20110161689 | CARD PERIPHERAL DEVICE AND CARD SYSTEM - Disclosed herein is a card peripheral device including, an electronic part unit, a connector part, and a power supply unit, wherein the electronic part unit includes a controller, and at least a second interface, out of a first interface and the second interface, and the controller has a function to vary data transfer speed depending on whether power feed of the first power by the power supply terminal is received or the second power by the power supply unit is received. | 06-30-2011 |
20110161690 | VOLTAGE SCALING SYSTEMS - A voltage scaling system is provided and includes a processor, a latency predictor, a controller, and a voltage supplier. The processor performs functions and includes a function unit with variable-latency. The function unit is divided into several power domains. When the processor performs the functions, the function unit generates a latency signal according to a current circuit execution speed. The latency predictor predicts performance of the processor according to the received latency signal to generate a predication signal. The controller compares a value of the predication signal with at least one reference value. The controller generates control signals according to the comparison result. The voltage supplier couples to a first voltage source providing a high voltage and a second voltage source providing a low voltage. The voltage supplier is switched to provide the high or low voltage to the power domains according to the control signals, respectively. | 06-30-2011 |
20110161691 | SEMICONDUCTOR INTEGRATED CIRCUIT AND CONTROL METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT - A semiconductor integrated circuit includes: a plurality of domains each supplied power supply voltage from corresponding one of a plurality of power supply units; and a plurality of operation control units each connected to corresponding one of the plurality of domains and controlling an operational state of the corresponding domain, wherein each of the domain transmits a operation change request to the corresponding operation control unit, the operation change request representing a request for a change of the operational state with a change in current value of the domain, and the operation control unit calculates a current change rate of the domain resulted from the change of operational state upon receiving the operation change request, and transmits a response signal approving the change of operational state to the corresponding domain in case of the current change rate is within a specified value. | 06-30-2011 |
20110161692 | SYSTEM AND METHOD FOR MULTIPLE POE POWER SUPPLY MANAGEMENT - A system and method for multiple power over Ethernet (PoE) power supply management. Power supply status signals indicative of an operating condition of a plurality of PoE power supplies are provided to a plurality of power sourcing equipment (PSE) controller chips. Pre-configured combination logic within each of the PSE controller chips converts an indicated operational state of the plurality of PoE power supplies into a powering decision for each of the Ethernet ports served by the PSE controller chip within one microsecond. | 06-30-2011 |
20110161693 | INLINE POWER CONTROLLER - An inline power controller includes at least one analog interface circuit module (AICM) having a first analog input node for receiving an inline power port voltage, a second analog input node for receiving an inline power port current, a first analog output for effecting an inline power port voltage, a second analog output for effecting an inline power port current, and a digital interface converting the received inline power port voltage to a digital value, the inline power port current to a digital value, a first digital value to the first analog output and a second digital value to the second analog output. A digital serial bus (DSB) couples the AICM to a digital controller via digital serial bus interfaces (DSBIs). | 06-30-2011 |
20110167280 | Network Power Management System - A vertical-mount network remote power management outlet strip embodiment of the present invention comprises a long, thin outlet strip body with several independently controllable power outlet sockets distributed along its length. A power input cord is provided at one end, and this supplies AC-operating power to relays associated with each of the power outlet sockets. The relays are each addressably controlled by a microprocessor connected to an internal I2C-bus serial communications channel. The power-on status of each relay output to the power outlet sockets is sensed and communicated back on the internal I2C-bus. A device-networking communications processor with an embedded operating system translates messages, status, and controls between the internal I2C-bus and an Ethernet port, and other external networks. | 07-07-2011 |
20110173461 | Customized Power Rating for Computer Equipment - A mechanism is provided for customized power rating for computer equipment. A power monitor determines actual power consumption by computer equipment in a facility. After equipment has been stressed, an operator sends the actual power consumption information to the manufacturer. The manufacturer receives the actual power consumption information and issues a certificate that acknowledges the equipment will not consume more power than what was measured. The manufacturer may provide digital media that instructs power management hardware in the computer equipment to adhere to an actual case power limit. The power management hardware treats the actual case power limit as a hard power limit. That is, the power management hardware will not specify a power cap higher than the actual case power limit. The power management hardware prevents the equipment from crossing the actual case power limit. | 07-14-2011 |
20110173462 | CONTROLLING AND STAGGERING OPERATIONS TO LIMIT CURRENT SPIKES - Systems and methods are disclosed for managing the peak power consumption of a system, such as a non-volatile memory system (e.g., flash memory system). The system can include multiple subsystems and a controller for controlling the subsystems. Each subsystem may have a current profile that is peaky. Thus, the controller may control the peak power of the system by, for example, limiting the number of subsystems that can perform power-intensive operations at the same time or by aiding a subsystem in determining the peak power that the subsystem may consume at any given time. | 07-14-2011 |
20110173463 | SYSTEM AND METHOD OF TUNING A DYNAMIC CLOCK AND VOLTAGE SWITCHING ALGORITHM BASED ON WORKLOAD REQUESTS - A method of tuning a dynamic clock and voltage switching algorithm is disclosed and may include setting a default responsivity, determining whether a workload is registering after the workload is added, assigning a unique identifier to the workload if the workload is registering, and receiving a required responsivity from the workload. | 07-14-2011 |
20110179291 | POWER ADAPTOR DETECTION SYSTEM - A power adaptor detection system includes a power adaptor device configured to receive electrical power at a first voltage and to convert the electrical power to a second voltage. The second voltage has a lower value than the first voltage. An identification generating circuit is coupled to the power adaptor device. The identification generating circuit is configured to convert a portion of the electrical power to a substantially constant value electrical current. An identification detection circuit is configured to detect the second voltage. Detecting the second voltage causes the identification circuit to determine the value of a pulse of the electrical current, wherein the value of the electrical current is an identification attribute of the power adaptor device. | 07-21-2011 |
20110179292 | SOFT START WITH ACTIVE RESET - A power supply input circuit includes an input node configured to removeably couple to an electrical source. A solid state switch is coupled between the input node and a capacitive load. An RC soft start circuit is coupled to the input node and the switch. The soft start circuit has a capacitor that causes the switch to increasingly pass electrical power from the source to the load as the capacitor charges from the source. An active reset circuit is coupled to the soft start circuit. The reset circuit is configured to detect when the source is removed from the input node and in response to the source being removed from the input node, the reset circuit discharges the capacitor to reset the soft start circuit. | 07-21-2011 |
20110185194 | STANDBY POWER CONTROL DEVICE - This invention relates to a standby power control device, which is able to apply in controlling the ON/OFF state of the power of a computer and a plurality of peripheral devices, which comprises: a power input unit, a power transformation unit, a switching unit, a start control unit, a power control unit, a power output unit, and a micro-process unit, wherein the micro-process unit is connected to the computer to detect a boot signal and a shut down signal. When the computer is shut down, the micro-process unit receives the shut down signal and to turn off the start control unit to facilitate the power control unit to disable the connection of the power input unit and the power output unit, so that the standby power of the computer and the peripheral devices is turned off for achieving the purpose of saving the electrical power. | 07-28-2011 |
20110185195 | ENERGY EFFICIENT MANAGEMENT OF DATALINKS - A system including a first physical network interface card (NIC) include a number of rings, where at least one of the rings is an active ring. The system further includes a host, operatively connected to the first NIC, and including Media Access Control (MAC) layer. The MAC layer is configured to obtain a power management policy, obtain a load associated with the active ring, determine, using the power management policy and the load, that the state associated with at least one of the rings must be changed, and change, in response to the determining, the state of at least one of the of rings. | 07-28-2011 |
20110185196 | Power Management Apparatus, Electronic Appliance, and Method of Managing Power - There is provided a power management apparatus including: a managed appliance registering unit carrying out authentication on an electronic appliance connected to a power network and registering an electronic appliance for which the authentication has succeeded as a managed appliance, a control unit controlling operation of the managed appliance and supplying of power to the managed appliance, a managed appliance information acquiring unit acquiring, from the managed appliance, as managed appliance information, at least any of appliance information including identification information that is unique to the electronic appliance, information indicating an operation state of the electronic appliance, information indicating an usage state of the electronic appliance and power information of the electronic appliance, and an appliance state judging unit judging a state of the managed appliance based on the managed appliance information acquired by the managed appliance information acquiring unit. | 07-28-2011 |
20110185197 | OUTLET EXPANSION APPARATUS, AND DELEGATE AUTHENTICATION METHOD - There is provided an outlet expansion apparatus including a first connection outlet to which an electronic appliance is to be connected, a second connection outlet that is for connecting to a power supply outlet that is to be a supply source of power, and a delegate authentication unit that carries out, in a case the electronic appliance not having a function of carrying out authentication with a power management apparatus managing an amount of power to be supplied to the electronic appliance is connected to the first connection outlet and the power supply outlet is connected to the second connection outlet, authentication to be carried out on the power management apparatus by the electronic appliance connected to the first connection outlet on behalf of the electronic appliance. | 07-28-2011 |
20110185198 | ELECTRONIC APPLIANCE, POWER MANAGEMENT APPARATUS, AND METHOD OF IDENTIFYING APPLIANCE - There is provided an electronic appliance including a plurality of electrical parts having electrical characteristics that are different thereamong and for each electronic appliance, a characteristics measuring unit that measures the characteristics of at least one electrical part, a switch that switches between the electrical parts whose characteristics are to be measured by the characteristics measuring unit, and a control unit that controls the switch and causes the characteristics measuring unit to measure the characteristics of a predetermined electrical part, and that transmits, to a power management apparatus managing at least power supply to its own electronic appliance, information relating to the characteristics measured by the characteristics measuring unit and an appliance ID of its own electronic appliance. | 07-28-2011 |
20110191601 | Storage System - A storage system includes one or more first power supplies which receive power from the first input and supplies power to each of multiple load groups through multiple first paths and multiple second power supplies which receive power from the second input and supplies power to each of the multiple load groups through multiple second paths. Each load group is comprised of at least one load, and each load is a storage device. Power is supplied from different second power supplies respectively to two or more load groups to which power is supplied from the first power supply through two or more first paths. | 08-04-2011 |
20110191602 | PROCESSOR WITH SELECTABLE LONGEVITY - A processor and method has at least one processor core for processing information and receives an operating voltage for powering circuitry of the processor. A selector receives a value indicative of a temperature within the processor and receives a value from a plurality of possible longevity values that each indicates a predetermined desired longevity of valid operation of the processor. An output provides an identifier that controls at least one of an operating voltage or an operating frequency of the processor, wherein the identifier provided is at least based on the value indicative of temperature and the predetermined desired longevity. A reliability storage device coupled to the selector stores the value from the plurality of possible longevity values that each indicates the predetermined desired longevity of valid operation of the processor. | 08-04-2011 |
20110191603 | Power Management for Systems On a Chip - A system for controlling a multitasking microprocessor system includes an interconnect, a plurality of processing units connected to the interconnect forming a single-source, single-sink flow network, wherein the plurality of processing units pass data between one another from the single-source to the single-sink, and a monitor connected to the interconnect for monitoring a portion of a resource consumed by each of the plurality of processing units and for controlling the plurality of processing units according to a predetermined budget for the resource to control a data overflow condition, wherein the monitor controls performance and power modes of the plurality of processing units. | 08-04-2011 |
20110191604 | STORAGE SYSTEM - A storage system comprises multiple physical storage devices, and a power supply for the multiple physical storage devices. Each physical storage device requires an input of a first voltage and an input of a second voltage that is a lower voltage than the first voltage. The power supply comprises a first power supply circuit that is made redundant and a second power supply circuit that is made redundant. Each first power supply circuit outputs a first voltage, which is input to the respective physical storage devices. Each second power supply circuit outputs a second voltage to a storage device group (two or more physical storage devices of the multiple physical storage devices) corresponding to this second power supply circuit. Each second power supply circuit is isolated from a circuit board comprising the first power supply circuit. | 08-04-2011 |
20110191605 | TIME SEQUENCE CONTROL CIRCUIT - A time sequence control circuit that can control time sequence of a computer. After the computer is turned on, a power supply terminal outputs a high level enabling signal to an enabling pin of a power supply circuit, the power supply circuit is active. A power supply pin of the power supply circuit outputs a standard voltage to a power pin of each of the motherboard components. A first electronic switch is turned on, a second electronic switch is turned off, and a power supply state pin of the power supply circuit outputs a high level power supply state signal to a power state input pin of a main chip after the power supply circuit outputs the standard voltage. | 08-04-2011 |
20110191606 | FAST TRACKING POWER SUPPLY DEVICE, FAST TRACKING POWER SUPPLY CONTROL METHOD, AND COMMUNICATION EQUIPMENT - A fast tracking power supply device, a fast tracking power supply control method, and communication equipment are provided. The fast tracking power supply device includes: a basic voltage output unit, configured to output a basic voltage; a basic current unit, configured to output a basic current; a linear amplifier, configured to output a compensation current and a compensation voltage, the linear amplifier is connected in parallel with the basic current output unit, and then is connected in series with the basic voltage output unit. The device can output signal with high efficiency and bandwidth. | 08-04-2011 |
20110191607 | INDEPENDENT POWER CONTROL OF PROCESSING CORES - Independent power control of two or more processing cores. More particularly, at least one embodiment of the invention pertains to a technique to place at least one processing core in a power state without coordinating with the power state of one or more other processing cores. | 08-04-2011 |
20110197079 | PERIPHERAL DEVICE AND METHOD OF OPERATING THE SAME - In a peripheral device of the invention, a signal line monitor is activated to monitor the status of a signal line included in an external bus. In response to detection of signal absence on the signal line, the peripheral device stops power supply from the power supply device to a primary device. Power supply from the power supply device to the signal line monitor is maintained or stopped, in response to supply or stop of electric power via a power line included in the external bus. When there is no signal transmission via the external bus, power supply to the primary device is cut off. The cut-off of power supply via the power line in the external bus leads to the cut-off of even the power supply to the signal line monitor. This mechanism effectively reduces power consumption in the peripheral device connected with the external bus having the power line. | 08-11-2011 |
20110202777 | Power Module for Information Handling System and Methods Thereof - An information handling system can receive power from a wireless or wired power source. In response to determining the information handling system is coupled to the wired power source, the system decouples the wireless power source from providing power. In response to the system being decoupled from the wired power source, the information handling system couples the wireless power source to the system. | 08-18-2011 |
20110202778 | METHOD AND APPARATUS FOR MANAGING POWER FROM A SEQUESTERED PARTITION OF A PROCESSING SYSTEM - A processing system may include a first processing unit for a legacy partition and a second processing unit for a sequestered partition. In one embodiment, a first interrupt handler in the legacy partition may support power management for the first processing unit. A second interrupt handler in the sequestered partition may cause the sequestered partition to take control of power management hardware in the processing system when the legacy partition enters reduced power mode. For example, the second interrupt handler may program the power management hardware to route interrupts to the second processing unit. The sequestered partition may relinquish control of power management hardware to the legacy partition when the legacy partition exits reduced power mode. A power policy manager in the sequestered partition may support features such as wake for incoming communications, wake to record, etc. Other embodiments are described and claimed. | 08-18-2011 |
20110202779 | METHOD AND SYSTEM FOR MONITORING MODULE POWER STATUS IN A COMMUNICATION DEVICE - A method for monitoring operating status of a device includes receiving within a chip, a plurality of signals, each of the plurality of signals being indicative of a power status of a respective one of a plurality of on-chip devices. The method may also include generating from within the chip, a corresponding plurality of output signals. Each of the corresponding plurality of output signals respectively being indicative of the power status of the respective one of the plurality of on-chip devices. Each of the corresponding plurality of output signals may include a clock signal and a data signal. The corresponding plurality of output signals that are indicative of the power status may be output from the chip in a round robin manner. Each of the output signals that are indicative of the power status may be measured in real time by one or more resistor drops within the chip. | 08-18-2011 |
20110208980 | METHODS AND APPARATUS FOR INTELLIGENTLY PROVIDING POWER TO A DEVICE - Methods and apparatus for intelligently powering an electronic device. In one embodiment of the invention, a systems management controller controls a power interface to intelligently negotiate power distribution with a peer, client, or a host device. The primary data path is unaffected by the system management controller communications. Various aspects of the present invention are demonstrated with respect to an exemplary implementation of a unified interface, consisting of an optical link (data path) and a USB link (power). As described, one exemplary embodiment of the invention provides a device with power at levels which are much increased over prior art USB solutions. | 08-25-2011 |
20110208981 | CURRENT LIMITING AND AVERAGING CIRCUIT AND PERIPHERAL DEVICE AND COMPUTER SYSTEM USING THE SAME - A current limiting and averaging circuit for driving a peripheral core circuit with a lower limit current value in response to a supply signal, includes a current limiting module, an energy storage module, and a converter module. The current limiting module provides a limited supply signal whose current value is smaller than or equal to an upper limit value according to the supply signal. The energy storage module stores a storage signal according to the limited supply signal when the upper limit value is higher than the lower limit current value and provides a discharge signal according to the storage signal when the upper limit value is lower than the lower limit current value. The converter module provides a driving signal for driving the peripheral core circuit in response to the limited supply signal or the limited supply signal and the discharge signal. | 08-25-2011 |
20110208982 | AUTOMATICALLY ENABLING INFORMATION TO BE DISPLAYED AFTER A PROCESSOR-BASED SYSTEM IS TURNED OFF - A system enables personal information manager (PIM) applications to continue to provide alerts and other time sensitive information even when the system upon which the PIM is stored is turned off. Automatically data may be transferred from a first processor-based system to a second processor-based system to implement time sensitive activities. The second processor-based system may provide a user notification at a predetermined time preset on the first processor-based system. | 08-25-2011 |
20110208983 | SUBSTRATE BIAS SWITCHING UNIT FOR A LOW POWER PROCESSOR - The feature of the present invention consists in: a processor main circuit for executing program instruction strings on a processor chip; a substrate bias switching unit for switching voltages of substrate biases applied to a substrate of the processor main circuit; and an operation mode control unit for controlling, in response to the execution of an instruction to proceed to a stand-by mode in the processor main circuit, the substrate bias switching unit in such a way that the biases are switched over to voltages for the stand-by mode, and for controlling, in response to an interruption of the stand-by release from the outside, the substrate bias switching unit in such a way that the biases are switched over to voltages for a normal mode, and also for releasing, after the bias voltages switched thereto have been stabilized, the stand-by of the processor main circuit to restart the operation. | 08-25-2011 |
20110213991 | PROCESSOR CORE COMMUNICATION IN MULTI-CORE PROCESSOR - Embodiments of the disclosure generally set forth techniques for handling communication between processor cores. Some example multi-core processors include a first set of processor cores in a first region of the multi-core processor configured to dynamically receive a first supply voltage and a first clock signal, a second set of processor cores in a second region of the multi-core processor configured to dynamically receive a second supply voltage and a second clock signal, and an interface block coupled to the first set of processor cores and the second set of processor cores, wherein the interface block is configured to facilitate communications between the first set of processor cores and the second set of processor cores. | 09-01-2011 |
20110213992 | METHOD OF WAKING PROCESSOR FROM SLEEP MODE - A method and apparatus for storing and classifying packets transmitted over a network to a processor in a low power mode. The processor receives and classifies the packets as interesting or not interesting. Uninteresting packets are discarded while interesting packets are stored in memory. For the first interesting packet received, a receive timer is activated and for every interesting packet received a counter is incremented. A transmit timer is activated when the processor enters the low power mode. When either the receive timer expires, the transmit timer expires or the counter reaches a threshold value then a wake-up interrupt is asserted. | 09-01-2011 |
20110219243 | Supplying Power To A Computer System During A Holdup Time - A method for powering a computer system is disclosed. The method supplies power to a power distribution system in the computer using power components in the power supply when the power supply in the computer system is receiving AC power. When the AC power to the power supply is interrupted, at least one component in the computer system coupled to the power distribution system is powered down and power to the power distribution system is supplied using an energy storage device in the power supply for a holdup time H. | 09-08-2011 |
20110219244 | POWER EXTRACTING SYSTEM AND A SPLITTER - The invention discloses a power extracting system and a splitter. The power extracting system comprises a power sourcing equipment (PSE), an electric device, a power embedded communication line, and the splitter. The PSE provides a first DC power according to a triggering signal. The electric device is driven by a second DC power. The power embedded communication line, coupled to the PSE, transmits the first DC power and an Ethernet data. The splitter, coupled to the power embedded communication line, splitting the first DC power and the Ethernet data. The splitter comprises a converter and a simulating module. The converter receives the first DC power and supplies the second DC power to the electric device. The simulating module generates the triggering signal. | 09-08-2011 |
20110219245 | ADAPTIVE POWER CONTROL - A method and system of adaptive power control. Characteristics of a specific integrated circuit are used to adaptively control power of the integrated circuit. | 09-08-2011 |
20110225434 | MICROCOMPUTER CONTROLLER - A microcomputer controller includes a power operating means for controlling switching on and off of a switch for switching on and off power supply for a microcomputer operation unit, and a startup and shutdown means for, in response to a signal from a microcomputer operation judging unit, starting up or shutting down a microcomputer and also furnishing a power off signal to the power operating means, for writing a SUM value of used data of a RAM in an empty space of this RAM at a time of shutdown of supply of electric power to the microcomputer, and for comparing a SUM value of the RAM with the written SUM value at a time of supply of the electric supply to the microcomputer, and performing a resume operation when they are equal to each other whereas performing a reset operation when they are different from each other. | 09-15-2011 |
20110225435 | POWER CIRCUIT - A power circuit includes a memory power circuit and a central processing unit (CPU) power circuit. The memory power circuit includes a first operational amplifier and a first switch. The CPU power circuit includes a second operational amplifier and a second switch. The memory power circuit supplies power to a memory slot. The CPU power circuit supplies power to a CPU. | 09-15-2011 |
20110231674 | INDEPENDENT DRIVE POWER CONTROL - Disclosed is a storage system enclosure. A midplane receives, from a controller coupled to the midplane, a first drive status signal and a second drive status signal. The first drive status signal and the second drive status signal are associated with a storage device. The first drive status signal indicates a fault condition associated with the storage device. The second drive status signal indicates that an action is allowed on the storage device. A drive power control supplies or removes power from the storage device in response to the state of the first drive status signal and the second drive status signal. | 09-22-2011 |
20110231675 | System and Method for Providing Power Control Fault Masking - Systems and methods for reducing problems and disadvantages associated with traditional approaches to masking false faults generated by voltage regulators are provided. A method may include receiving, at a voltage regulator configured to be enabled by a power controller communicatively coupled to the voltage regulator via an enable line, a first indication from a device other than the power controller indicating that the voltage regulator is to be disabled, wherein the enable line is configured to communicate a second indication from the power controller to the voltage regulator indicating whether the voltage regulator is to be enabled. In response to receipt of the first signal, the voltage regulator may communicate to the power controller via the enable line, a third indication indicating that the voltage regulator has been disabled by a device other than the power controller. | 09-22-2011 |
20110231676 | POWER BUS CURRENT BOUNDING USING LOCAL CURRENT-LIMITING SOFT-SWITCHES AND DEVICE REQUIREMENTS INFORMATION - An energy management control method and controller reduce power supply current and/or subsystem cooling overhead that reduces system efficiency, may reduce system reliability and may increase ambient noise. Multiple device connectors are supplied from corresponding soft switches that are programmed to provide a current level that is sufficient to supply the maximum current for the device installed in the corresponding device connector. The current level may be determined from device information provided from the device during initialization, which may directly specify a maximum current requirement. Alternatively, the maximum current requirement can be determined from other device-identifying information such as a unique device identifier. As a result a guaranteed maximum current or power and power dissipation can be determined, and multiple power supplies and/or cooling devices such as air movement devices (AMDs) may be enabled, disabled or otherwise controlled accordingly. | 09-22-2011 |
20110231677 | INFORMATION PROCESSOR CAPABLE OF SUPPLYING POWER TO AN ELECTRONIC DEVICE CONNECTED THERETO - According to one embodiment, an information processor has: an input/output module configured to input/output a data signal through a data signal line; a first voltage supply module configured to supply a first differential signal pair; a second voltage supply module configured to supply a second differential signal pair; a first switching module configured to select and output, in response to a first control signal, one of the first differential signal pair supplied from the first voltage supply module and the second differential signal pair supplied from the second voltage supply module; and a second switching module configured to receive one of the first differential signal pair and the second differential signal pair output from the first switching module, and output one of the first differential signal pair and the second differential signal pair to the electronic device through the data signal line, in response to a second control signal. | 09-22-2011 |
20110231678 | ADAPTIVE POWER CONTROL BASED ON POST PACKAGE CHARACTERIZATION OF INTEGRATED CIRCUITS - A method and system of adaptive power control based on post package characterization of integrated circuits. Characteristics of a specific integrated circuit are used to adaptively control power of the integrated circuit. | 09-22-2011 |
20110239006 | ADAPTIVE REAL-TIME METHODOLOGY FOR OPTIMIZING ENERGY-EFFICIENT COMPUTING - Dynamic voltage and frequency scaling (DVFS) is an effective way to reduce energy and power consumption in microprocessor units. Current implementations of DVFS suffer from inaccurate modeling of power requirements and usage, and from inaccurate characterization of the relationships between the applicable variables. A system and method is proposed that adjusts CPU frequency and voltage based on run-time calculations of the workload processing time, as well as a calculation of performance sensitivity with respect to CPU frequency. The system and method are processor independent, and can be applied to either an entire system as a unit, or individually to each process running on a system. | 09-29-2011 |
20110239007 | Dynamic Voltage and Frequency Scaling Transition Synchronization for Embedded Systems - Methods and apparatuses are provided that allow for the synchronization of an operating point transition in an embedded system environment. Identification of an upcoming operating point transition, operating point transition constraints, and maximum parking latency parameters is provided. Then, an ordering of seizing bus activity as well as an ordering of resuming bus activity is determined. The operating point transition is then implemented using the determined ordering. Simulation and determination of change of successfully completing operating point transition prior to initiating and while the transition is pending are also provided. | 09-29-2011 |
20110239008 | Power Adapter Having a Universal Serial Bus Hub - A power adaptor for a host device and method of operating the same. In one embodiment, the power adapter includes a power converter and a universal serial bus hub. The power adapter also includes an integrated power/universal serial bus connector, coupled to the power converter and the universal serial bus hub, configured to provide power to and universal serial bus communication with a host device. | 09-29-2011 |
20110239009 | STORAGE DEVICE - The storage device comprises a storage unit configured to store data in a non-volatile manner; a first connector configured to be connectable with a first interface having a first power supply capacity and receive a supply of electric power for operating the storage device from the first interface; a second connector configured to be connectable with a second interface having a second power supply capacity and receive a supply of electric power for operating the storage device from the second interface; an identification unit configured to identify a type of an interface connected via the first connector or the second connector; and a control unit configured to control power consumption of the storage unit according to the identified type of the interface. | 09-29-2011 |
20110246793 | METHOD OF PROVIDING OUT OF BAND MONITORING AND CONTROL OF A DATA STORAGE SUBSYSTEM - The invention provides a method of providing out of band monitoring and/or control of a data storage subsystem, the storage subsystem comprising one or more storage media, a controller for controlling the storage media and a power supply unit, the method comprising: providing out of band control and/or monitoring signals to and/or from the power supply unit. | 10-06-2011 |
20110246794 | COMPUTER MOTHERBOARD AND POWER SUPPLY CIRCUIT THEREOF - A computer motherboard includes a number of peripheral device interfaces, first and second voltage output terminals, and a number of power supply circuits corresponding to the S peripheral device interfaces. Each peripheral device interface includes a first power pin and a second power pin. Each power supply circuit includes a delay circuit, and first to third electronic switches. The delay circuit controls the first electronic switch to be turned on after a delay time. The delay times of the power supply circuits are different. The second and third electronic switches are turned on in response to the first electronic switch being turned on. The first voltage output terminal is connected to the first power pin through the second electronic switch. The second voltage output terminal is connected to the second power pin through the third electronic switch. | 10-06-2011 |
20110246795 | POWER SUPPLY SYTEM FOR COMPUTER - A power supply system for a computer, includes a power supply unit, a DC/DC converter circuit, memory, and a computer boot component. The power supply unit rectifies AC voltage into a first DC voltage. The DC/DC converter circuit is provided with the first DC voltage, and converts the first DC voltage into a plurality of usable DC voltages. The memory is provided with part of the plurality of usable DC voltages directly, and stores power on data which is capable of power on the computer. The computer boot component is provided with part of the plurality of usable DC voltages directly. The computer boot component is triggered to generate a power on signal to revive the power on data from the memory to power on the computer. | 10-06-2011 |
20110246796 | ELECTRONIC APPARATUS AND POWER CONTROL METHOD - According to one embodiment, an electronic apparatus includes: a first and second ports each having: a data line configured to perform a signal reception to receive a signal corresponding to at least one of video and audio; and a power line configured to perform a power supply; and a controller configured to perform, upon a physical connection of a first electronic device to the first port, the signal reception from the first electronic device and the power supply to the first electronic device, and to perform, upon a physical connection of a second electronic device to the second port in addition to the physical connection of the first electronic device to the first port, the power supply to the second electronic device without performing the signal reception from the second electronic device. | 10-06-2011 |
20110246797 | System and Method for Enabling Power over Ethernet for Legacy Devices - A system and method for enabling power over Ethernet (PoE) for legacy devices. Legacy devices often represent a large installed base of devices. This installed base of devices (e.g., mobile computing devices) may have little or no PoE functionality. It is a feature of the present invention that an external device (e.g., dongle) can be used to retrofit such an installed base of devices for use with state of the art PoE functionality. | 10-06-2011 |
20110252245 | POWER SCALING MODULE AND POWER SCALING UNIT OF AN ELECTRONIC SYSTEM - A power scaling unit (PSU) of an electronic system is provided. The PSU includes a software programming interface (SPI) and a PSM. The SPI receives a transaction through software programming. The PSM receives the transaction from the SPI and controls a power driving element (PDE) of the electronic system to change an output of the PDE provided to a function unit of the electronic system according to the transaction. The output of the PDE is an operating voltage or an operating clock signal of the function unit. The transaction includes a command defining a power scaling operation to be performed by the PSM, a parameter used by the operation, and an event mask specifying an event which triggers the operation. | 10-13-2011 |
20110252246 | TIMING CONTROL CIRCUIT AND POWER SUPPLY USING THE SAME - A timing control circuit used to provide a timing control signal to a FSB power supply of a computer includes a first resistor, a second resistor, and a switch. The first resistor and the second resistor are connected in series between a power supply and ground. One end of the switch is connected to the computer and receives a state signal from the computer. Another end of the switch is connected a node between the first and second resistors and outputs a timing control signal according to the state signal. | 10-13-2011 |
20110252247 | ELECTRICAL APPARATUS - An electrical apparatus includes a main unit which consumes electrical power; a power supply unit which supplies electrical power from a commercial power source to the main unit; a secondary battery to be charged with electrical power supplied from the power supply unit; and a power source control unit. In a state where a power source of the main unit is the power supply unit, when power consumption of the main unit exceeds a first threshold, the power source control unit changes the state to another state where the power source of the main unit is the power supply unit and secondary battery. | 10-13-2011 |
20110252248 | Systems, Devices, and/or Methods for Managing Energy Usage - Certain exemplary embodiments can provide a system, machine, device, manufacture, circuit, and/or user interface adapted for, and/or a method and/or machine-readable medium comprising machine-implementable instructions for, activities that can comprise, based on a predicted non-zero future power requirement for performing one or more portions of an expected workload by a predetermined system, the predicted future power requirement based on a past power requirement for performing one or more portions of a known workload by the predetermined system, automatically causing a change from a first power state of the predetermined system to a second power state that does not violate a specification for performing the one or more portions of the expected workload. | 10-13-2011 |
20110252249 | METHOD OF POWER SUPPLY FOR GRAPHICS CARDS - The present invention provides a method of providing power supply for graphics cards. The method according to present invention comprises making graphics cards obtain power supply from outside computer chassis. The method and devices according to present invention can resolve the issue of high cost of upgrading graphics cards to high-end graphics cards or setting new computers with high-end graphics cards. It can efficiently reduce cost of using high-end graphics cards, develop potential of high-end graphics cards to the full and bring economic benefits for the users. | 10-13-2011 |
20110252250 | SEMICONDUCTOR STORAGE DEVICE MEMORY DISK UNIT WITH MULTIPLE HOST INTERFACES - In general, embodiments of the present invention provide a Semiconductor Storage Device (SSD) memory disk unit having multiple host interface units. Specifically, in a typical embodiment, the SSD memory disk unit comprises a plurality of host interface units for coupling the SSD memory disk unit to at least one host; a host interface controller unit coupled to each of the plurality of host interface units; a DMA controller coupled to the host interface controller unit; an ECC controller coupled to the DMA controller; a memory controller coupled to the ECC controller; and a memory array coupled to the memory controller, the memory array comprising at least one SSD memory block. | 10-13-2011 |
20110258463 | LOW POWER PAGING OF CONSUMER ELECTRONICS - Systems, apparatuses, and methods are configured to monitor signals received via a transmission medium by a paging monitor to detect an activity trigger. Systems, apparatuses, and methods are further configured to, in response to detecting the activity trigger, cause, by the paging monitor, a main receiver to transition from an energy conservation mode to an active mode to monitor the transmission medium for data traffic. | 10-20-2011 |
20110258464 | Circuit and Method for Detecting a Legacy Powered Device in a Power over Ethernet System - In an embodiment, a power source equipment (PSE) device includes a network port configurable to couple to a network cable and a detection circuit coupled to the network port. The detection circuit is configured to apply a powered device (PD) detection voltage signal including first and second voltages to the network port and to sample a line current of the network port in response to the first and second voltages to detect a complex impedance indicating that a legacy PD is coupled to the network port. | 10-20-2011 |
20110258465 | System and Method for Physical Layer Device Enabled Power Over Ethernet Processing - A system and method for physical layer device enabled power over Ethernet (PoE) processing. A digital PoE control module is included within a physical layer device and is designed to complement an analog PoE control module within a power sourcing equipment. The inclusion of the digital PoE control within the physical layer device reduces the complexity of the power sourcing equipment without sacrificing PoE control features. | 10-20-2011 |
20110258466 | BASEBAND ETHERNET EXTENSION SYSTEM OVER COAXIAL CABLE - The Ethernet extension system contains at least a signal and power conversion device, a conversion device, and a coaxial cable connected in between. The signal and power conversion device receives baseband network signal and electricity in accordance with PoE, and delivers the baseband network signal and electricity to the conversion device through the coaxial cable. The conversion device then provides the baseband network signal to an ordinary Ethernet network device. Baseband network signal and electricity as such could be delivered through a coaxial cable beyond the conventional Ethernet without additional configuration of power supplies or power sources, and without repeatedly modulation and demodulation, coding and decoding, or conversion of communication protocols. | 10-20-2011 |
20110264927 | MOBILE COMPUTING MANAGEMENT AND STORAGE DEVICE - A mobile computing management and storage device configured as a portable information handling system (IHS) storage device includes a frame and a docking station supported by the frame. The docking station includes a plurality of channels configured to receive a plurality of portable IHSs. The channels include a power coupling plug and a communication coupling plug such that the power coupling plug and the communication coupling plug are configured and positioned with respect to the channels to mate with corresponding power and communication couplings of the plurality of portable IHSs when the plurality of IHSs are engaged in the plurality of channels. The device further includes a power supply system supported by the frame and electrically coupled to the power coupling(s). The power supply system is configured to provide staged charging when a plurality of portable IHSs are stored in the docking station. In addition, the device includes a communication switching system supported by the frame and communicatively coupled to the communication coupling(s) such that the communication coupling(s) provide communication signals to the plurality of portable IHSs when stored in the docking station. | 10-27-2011 |
20110264928 | CHANGING POWER MODE BASED ON SENSORS IN A DEVICE - An orientation of a device is detected based on a signal from at least one orientation sensor in the device. In response to the detected orientation, the device is placed in a full power mode. | 10-27-2011 |
20110264929 | PROCESSOR PERFORMANCE STATE OPTIMIZATION - A processor performance state optimization includes a system to change a performance state of a processor. In an embodiment, the system to change a performance state of the processor includes a processor and a step logic sub-system operatively coupled with the processor and is operable to communicate a performance state change request to the processor. A core voltage regulator is operatively coupled with the step logic sub-system. An end performance state sub-system to determine a desired end performance state is coupled with the step logic sub-system. And, an enable sub-state transition sub-system to enable sub-state transitions is coupled with the step logic sub-system. | 10-27-2011 |
20110271122 | Configuring Cable Lines to Provide Data and Power - A method is provided for an upstream device to configure a plurality of lines in a cable. The method comprises the upstream device placing a first voltage on a first one of the lines traditionally specified to supply power; the upstream device grounding a second one of the lines traditionally specified to be a ground line; and the upstream device placing on a third one of the lines traditionally specified to convey data a second voltage for supplying power. | 11-03-2011 |
20110271123 | POWER CONTROL SYSTEM OF A BASEBOARD MANAGEMENT CONTROLLER AND METHOD THEREOF - A method controls power of a baseboard management controller (BMC). A power control signal of the BMC is generated for powering up or powering down the BMC. An enabling signal is generated according to the power control signal. A power supply device is directed to output one or more voltages to the BMC. | 11-03-2011 |
20110271124 | PROCESSOR PERFORMANCE STATE OPTIMIZATION - A processor performance state optimization includes a system to change a performance state of a processor. In an embodiment, the system to change a performance state of the processor includes a processor and a step logic sub-system operatively coupled with the processor and is operable to communicate a performance state change request to the processor. A core voltage regulator is operatively coupled with the step logic sub-system. An end performance state sub-system to determine a desired end performance state is coupled with the step logic sub-system. And, an enable sub-state transition sub-system to enable sub-state transitions is coupled with the step logic sub-system. | 11-03-2011 |
20110271125 | ETHERNET POWERED COMPUTING DEVICE AND SYSTEM - Apparatus and systems provide processing capabilities while utilizing power received via an Ethernet. A computing device has an Ethernet connector for receiving power and data, internal power supply circuitry for extracting power from the Ethernet connector, and a Central Processing Unit (CPU) for receiving the power. A housing may encompass the components of the computing device and be configured for installation in an electrical wall box. The housing may include a display or connectors for peripherals. A system includes at least two computing devices. Each device has an Ethernet connector for receiving power and communicating with other devices and are installed within a housing within an electrical wall box. One device has a display for receiving user input instructions for transmittal to another device, while another device has a peripheral connector for controlling a peripheral according to the instructions. | 11-03-2011 |
20110276810 | Systems and methods for monitoring and characterizing information handling system use behavior - Desktop power use behavior may be detected while a portable information handling system or any other type of battery powered information handling, system is operating on external power such as an AC adapter. The desktop power use behavior may be detected by monitoring one or more power usage parameters to detect usage characteristics that indicate a battery powered information handling system is being operated in a manner that is similar to operation of a desktop information handling system. Upon detection of desktop behavior, one or more processing devices of the information handling system may respond by taking one or more desktop use response actions. | 11-10-2011 |
20110276811 | IMAGING APPARATUS - An imaging apparatus includes an imaging section converting an image taken from an object into an original image signal, an apparatus body outputting an image or video signal to an external display and further delivering an image data signal to a terminal device, an external data input/output terminal including a main data input/output terminal for data communication with the terminal device and an secondary data input/output terminal for connection of peripheral device executing data communication with the terminal device, a single main power supply unit supplying power to the imaging section and the apparatus body, one or more power supply units supplying power to the peripheral device from the secondary data input/output terminal, and a power supply control unit executing control for converting voltage and current of an external power supply into voltages and currents suitable for operations of the imaging section, the apparatus body and the peripheral device respectively. | 11-10-2011 |
20110276812 | System On Chip, Devices Having The Same, and Method For Power Control of the SOC - Disclosed is an integrated circuit device including a plurality of power domain blocks, which includes a core power domain block. A power control circuit is configured to control power supplied to each of the plurality of power domain blocks independently responsive to control communication from the core power domain block. The power control circuit includes a plurality of power clusters corresponding to the plurality of power domain blocks, respectively. The plurality of power clusters control power supplied to the plurality of power domain blocks, respectively, independently responsive to the control communication from the core power domain block. | 11-10-2011 |
20110283116 | STORAGE CONTROLLER AND POWER SAVING METHOD - This invention proposes a storage controller and its power saving methods capable of significantly saving power consumption. The storage controller of this invention is connected to host terminals and storage devices, controls data storage in the storage devices, and includes a microprocessor including one or more ports and at the same time controlling the entire relevant device via the relevant ports, and multiple types of components including one or more ports and at the same time configuring data paths between the host terminals and the storage devices via the relevant ports, and the microprocessor detects, among the ports of the relevant microprocessor or the component, those not connected to any of the host terminals, any of the storage devices, or any of the ports of the other components as unconnected ports, and stops the power supply to the detected unconnected ports. | 11-17-2011 |
20110283117 | POWER MANAGEMENT METHOD AND APPARATUS - An apparatus includes a power management interpretation circuit and a power management control circuit. The power management interpretation circuit provides power management control information in response to power control parameters. The power management control circuit selectively controls power consumption of a power consuming circuit based on the power management control information. The power consuming circuit provides the power control parameters. | 11-17-2011 |
20110283118 | Adaptive power sourcing equipment and related method for power over ethernet applications - There is presented a circuit and a related method for adaptively supplying Power over Ethernet (PoE) by a power sourcing equipment. The circuit comprises first and second power channels coupled to first and second network interfaces of the power sourcing equipment. A shunt device is operated to identify a maximum power characteristic of a powered device. The first power channel provides a first current to the powered device through the first network interface if the maximum power characteristic does not exceed a power threshold. The circuit provides another current to the powered device through the first network interface if the maximum power characteristic is greater than the power threshold. Various embodiments of the present invention may provide a second current to another powered device through the second network interface if the maximum power characteristic of the first and second powered devices does not exceed the power threshold. | 11-17-2011 |
20110283119 | System and Method for Providing Energy Efficient Cloud Computing - In one aspect, a cloud cube for providing energy efficient cloud computing is disclosed, which includes: an internal DC bus for transferring energy, clusters of computing servers coupled to the internal DC bus for performing cloud computing, at least one NAS storage coupled to the internal DC bus, at least one energy storage coupled to the internal DC bus, a plurality of energy sources coupled to the internal DC bus, and at least one energy manager coupled to the internal DC bus for performing energy management or energy routing. | 11-17-2011 |
20110283120 | AC-POWERED IN-WALL COMPUTING DEVICE WITH POWER-LINE NETWORKING CAPABILITIES - Apparatus and systems provide processing capabilities and power-line networking capabilities. An in-wall computing device has a power connector for receiving an Alternating Current (AC) signal from a power source and a housing that is sized for installation into an electrical wall box. The device may have internal data injection circuitry for injecting data into the AC signal or may have internal data receiving circuitry for extracting data from the AC signal. A system includes at least two in-wall computing devices, each having a power connector for receiving an AC signal from a power source. A first device has a user input interface and internal data injection circuitry for transmitting user input data to a second device over the AC signal. The second device has internal data receiving circuitry for extracting the user input data and controlling peripherals attached to peripheral ports of the device according to the data. | 11-17-2011 |
20110289327 | CHASSIS POWER ALLOCATION USING EXPEDITED POWER PERMISSIONS - In one embodiment, a fixed chassis power budget is dynamically allocated to a plurality of servers inserted into a multi-server chassis. An inserted server may be inventoried by sequentially identifying server components, categorically-defined component power limits, and actual component power requirements of the inventoried components. A power permission may be granted to the inserted server prior to completion of the inventory, based on power-related information inferred from a chassis and server specification or from the inventoried components. | 11-24-2011 |
20110289328 | UNIVERSAL SERIAL BUS ASSEMBLY STRUCTURE - A USB (Universal Serial Bus) assembly structure is composed of a power supply device, a lead wire, an electromagnetic wave elimination device, a power adapter, and a plug, wherein a side of the lead wire is provided with a USB power supply device, such that when a computer is not turned on or is hibernated, power can be still supplied by the USB power supply device. In addition, when a computer is not provided with enough USB slots, it is still convenient to supply the USB power. | 11-24-2011 |
20110296208 | DYNAMIC CHIP CONTROL - Methods and systems for operating a semiconductor device (e.g., a microprocessor) are described. The microprocessor is initially operated at a voltage and frequency that would be within operating limits at any device temperature. Using models that relate device temperature, operating limits and power consumption with voltage and frequency, the amount of supply voltage and a new operating frequency can be selected. The models are periodically consulted thereafter to continue adjusting the supply voltage and operating frequency, so that the microprocessor is caused to operate at very close to its capacity, in particular in those instances when, for example, processor-intensive instructions are being executed. | 12-01-2011 |
20110302429 | SERVER RACK SYSTEM - A rack system for a server includes a number of server units, which includes first to the third sets of server units, voltage converter, first to third power supply circuits. The voltage converter receives and converters a three-phase alternating current (AC) power signal to provide first to third single-phase power signals. The first to the third sets of power supply circuits respectively provides first to third direct current (DC) power signals according to the first to the third single-phase power signals. The first set to the third set of server units is respectively powered by first to the third DC power signals or respectively powered by first part, second part, and third part of the first to the third DC power signals. | 12-08-2011 |
20110307715 | VIRTUAL MACHINE POWER MANAGER - A system for managing energy efficiency and control mechanisms in a network having a virtual machine includes a virtual machine power manager (VMPM) coupled to a virtual machine manager (VMM) and a network component. The VMPM is configured to receive power information from the network component, analyze the power information, generate configuration instructions based on the analyzing and send the configuration instructions to the VMM. | 12-15-2011 |
20110307716 | GLOBAL CONTROL POLICY MANAGER - A system for managing energy efficiency and control mechanisms in a network having a plurality of network components includes a global control policy manager (GCPM) having a global control policy (GCP) and coupled to at least one of the plurality of network components. The GCPM is configured to receive power information from the at least one of the plurality of network components, analyze the power information, generate a control policy modification based on the GCP and the received power information, and send the control policy modification to the at least one of the plurality of network components. | 12-15-2011 |
20110307717 | Setting a Reference Voltage in a Memory Controller Trained to a Memory Device - Systems and methods to set a voltage value associated with a memory controller coupled to a memory device are disclosed. A particular method includes comparing test data of a test path to functional data of a functional path. The functional data may be generated based on device data received at a memory controller from a memory device. The test data may be affected by a voltage value applied to a resistor arrangement in electronic communication with the test path. The voltage value may be applied to the resistor arrangement based on the comparison. | 12-15-2011 |
20110314305 | Dynamic Voltage Dithering - A request for a high voltage mode is received and a high voltage timer is started in response to determining that a remaining amount of high voltage credits exceeds a voltage switch threshold value. A switch to the high voltage mode is made in response to the request. A low voltage mode is switched to in response to an indication. The request may be received from an application running on a data processing system. If the indication is that the high voltage timer has expired, a low voltage timer is started in response to switching to low voltage mode. If the high voltage request is still active when the low voltage timer expires, a switch back to high voltage mode occurs and a new high voltage timer is started. | 12-22-2011 |
20110314306 | PERFORMANCE SCALING DEVICE, PROCESSOR HAVING THE SAME, AND PERFORMANCE SCALING METHOD THEREOF - A performance scaling device, a processor having the same, and a performance scaling method thereof are provided. The performance scaling device includes an adaptive voltage scaling unit, a latency prediction unit, and a variable-latency datapath. The adaptive voltage scaling unit generates a plurality of operation voltages and transmits the operation voltages to the variable-latency datapath. The variable-latency datapath operates with different latencies according to the operation voltages and generates an operation latency. The latency prediction unit receives the operation latency and a system latency tolerance and generates a voltage scaling signal for the adaptive voltage scaling unit according to the operation latency and the system latency tolerance. The adaptive voltage scaling unit outputs and scales the operation voltages thereof according to the voltage scaling signal. | 12-22-2011 |
20110314307 | COMPUTER SYSTEM AND CONTROL METHOD THEREOF - A computer system and a control method thereof, the computer system includes a host unit which includes a plurality of lines, a plurality of memory units to which power is selectively supplied, a power supply which supplies power to the plurality of memory units, a graphic processor which connects with the host unit through the plurality of lines and accesses the plurality of memory unit, and a controller which controls supply of power to the plurality of memory units on the basis of an operation mode of the host unit, controls whether to use the plurality of lines in transmitting data in accordance with the supply of power to the plurality of memory units, and controls the graphic processor not to access the memory units receiving no power among the plurality of memory units. | 12-22-2011 |
20110314308 | INTEGRATED CIRCUIT DEVICE AND CONTROL METHOD FOR ELECTROSTATIC PROTECTION CIRCUIT THEREOF - The integrated circuit device includes: an electrostatic protection circuit that is provided between first and second power supply lines coupled to external terminals respectively, and forms a current pathway between the first and second power supply lines during a given period in response to an increase in a voltage between the first and second power supply lines; and a power supply generating circuit that performs power supply control based on a voltage of the first power supply line and generates a control signal in accordance with a switching timing of the power supply control. And, the electrostatic protection circuit includes an adjustment circuit for shortening the given period in response to the control signal. | 12-22-2011 |
20110314309 | METHOD AND SYSTEM OF DETERMINING COMPUTING MODULES POWER REQUIREMENTS - By supplying auxiliary power to a blade's optional components, instead of polling the blade for the power requirements of a fully configured module, each installed component can be individually polled and the values summed to produce a more accurate value representing the actual power needs of a blade system prior to booting. | 12-22-2011 |
20110320826 | Sharing Power Between Two Or More Power Sharing Servers - Methods, systems, and power sharing servers that include a computer processor; a computer memory operatively coupled to the computer processor; a power supply unit coupled to a power domain unit via a power supply unit port; an intravoltage port configured to receive a shared power cable coupled to an intravoltage port of a different power sharing server; and a transfused power card coupled to the power supply unit via a primary input power line, the transfused power card further coupled to the intravoltage port via a shared power line, the transfused power card configured to export electrical current via an intravoltage port when the transfused power card is receiving electrical current, above a threshold, from the power supply unit, and import electrical current via the intravoltage port when the transfused power card is not receiving electrical current, above the threshold, from the power supply unit. | 12-29-2011 |
20110320827 | System and Method for Identifying Power Connections in Computer Systems Having Redundant Power Supplies - A Power Distribution Unit (PDU) control system controls a PDU and communicates with a server management controller of a server. Through its connections, the PDU control system can track and manage the power supplies and locations of servers connected to those power supplies. A PDU receives commands at its communications port from a PDU control system to shut down a power strip or power outlet to which the PDU is connected via one of plural power interfaces. In turn, a server's management controller detects when a power supply shuts down due to the shut down of the power strip or power outlet. The server's management controller can be either queried by the PDU control system or the controller can send a notification to the PDU control system indicating which power supply lost power, thereby correlating the power strip to the server. | 12-29-2011 |
20110320828 | POWER MANAGEMENT AND PRIORITY CHARGING ASSIGNMENTS - Systems and methods are provided for managing power to devices in a network, using a centralized power allocation controller. The method of managing power consumption of a plurality of devices includes receiving scheduled upcoming calendar events and/or activities from one or more of a plurality of devices connected in a network. The method further includes centrally managing power consumption of a device of the plurality of devices in the network based on the scheduled upcoming calendar events and/or activities. | 12-29-2011 |
20110320829 | Power Supply Device Having USB Port with Large Current - A power supply device is mounted in a computer system. The power supply device includes a shield defining an opening, a USB port received in the opening to be exposed to an exterior, and a power supply module residing in the shield to provide power for both the computer system and the USB port. The USB port is coupled to the power supply module and provides charging current up to 2000 mA to meet the demand of external electronic devices. | 12-29-2011 |
20110320830 | SYSTEM FOR CONTROLLING APPARATUS DRIVEN BY BATTERY - In one embodiment, a system for controlling an apparatus driven by a battery, the system operating by the battery, includes a computer to control the apparatus, a control signal circuit to send a signal from the computer to the apparatus so as to control the apparatus, and a nonvolatile memory circuit to store an operating state of the computer. The operating state includes a first operating state and a second operating state, the computer sets the apparatus to a low load state in accordance with the operating state and controls the apparatus when the computer is reset for shutdown due to a decrease of the residual quantity of the battery and is restarted for power-on. | 12-29-2011 |
20110320831 | INFORMATION PROCESSING APPARATUS, POWER SOURCE CONTROL METHOD OF INFORMATION PROCESSING APPARATUS, PROGRAM OF POWER SOURCE CONTROL METHOD, AND STORAGE OF PROGRAM - An information processing apparatus includes an information storage to store information indicating a state of the information processing apparatus; an information writing processor to write the information to the information storage; a main power source and an auxiliary power source to supply power to the information writing processor; and a discharge controller to control a discharge speed of the auxiliary power source. When a voltage output of the main power source becomes a reference voltage, the discharge controller switches a power source for the information writing processor from the main power source to the auxiliary power source, and then after completing information writing to the information storage by using the information writing processor and the auxiliary power source, the discharge controller controls the discharge speed of the auxiliary power source to decrease a voltage output of the auxiliary power source to a given voltage within a given time period. | 12-29-2011 |
20120005489 | Energy Controlled Data Transmission of a Field Device - A field device acquires measured values and transferring data in an energy-controlled manner. A control device monitors the quantity of energy collected in the field device and triggers data transfer only when the quantity of energy collected has exceeded a predetermined threshold value. In particular, data transfer can take place at irregular intervals. | 01-05-2012 |
20120005490 | PREDICTIVE COMPUTING DEVICE POWER MANAGEMENT - The described implementations relate to predictive computing device energy management. One implementation measures resource usage of a computing device that employs a power policy. This implementation also estimates resource usage of the computing device having at least one different power policy without actually running the at least one different power policy on the computing device. | 01-05-2012 |
20120005491 | CIRCUITS, SYSTEMS, AND METHODS FOR DYNAMICALLY CONTROLLING A POWER SUPPLY CONFIGURATION IN RESPONSE TO LOAD REQUIREMENTS FROM A DIGITAL CIRCUIT - Circuits, systems, and methods for dynamically controlling a power supply configuration in response to load requirements from a digital circuit are disclosed. To increase efficiency, the power supply is configurable to be switched into a lower capacity mode. To prevent the digital circuit from demanding capacity beyond the lower capacity mode of the power supply before the power supply can be switched into a higher capacity mode, at least one hardware interlock is employed. The hardware interlock(s) governs the power demand of the digital circuit from extending beyond the lower capacity mode of the power supply. If it is detected that the hardware interlock(s) limits power demand in the digital circuit beyond a power demand threshold, the power supply can be switched to the higher capacity mode. The hardware interlock(s) can then be disabled. In this manner, the power supply can dynamically provide increased capacity as demanded by the dynamic performance of the digital circuit. | 01-05-2012 |
20120005492 | SERVER POWER SUPPLY SYSTEM - A power supply system for supplying power to a number of loads includes a number of power supply modules, a bus, and a control module. The power supply modules each comprises an input terminal receiving an input power and an output terminal outputting an output power, the input terminals of power supply modules having the same input power. The bus is configured for connecting the loads to the power supply modules. The control module calculates the ratio of the total output power of the power supply modules to the input power of one power supply module and controls the connection and the disconnection of the power supply modules according to the ratio. | 01-05-2012 |
20120005493 | POWER SUPPLY CONTROL SYSTEM AND ELECTRONIC DEVICE EQUIPPED WITH THIS SYSTEM - In order to provide a power supply control system for driving power supply units efficiently depending on difference between loads, a power supply control system for controlling power supply operation to supply power to an electronic device having a first drive mode and a second drive mode that is driven under a load lower than a load in the first drive mode includes: power supply units each of which includes transformers; and a PFC switching/power supply unit switching section (power supply unit selection section) that, depending on which one of the first and second drive modes the electronic device is in, selects power supply unit(s) to be used from the power supply units, wherein the PFC switching/power supply unit switching section selects the one or a plurality of power supply units to be used from the plurality of power supply units such that each power supply unit to be used to supply power to the electronic device in the second drive mode is one or some of each power supply unit to be used to supply power to the electronic device in the first drive mode. | 01-05-2012 |
20120005494 | HOST COMPUTER AND METHOD FOR STARTING HARD DISKS - A method for starting hard disks connected to a host computer. The host computer comprises a power port. A general purpose input/output (GPIO) signal of each of the hard disks is set to a low level. The method detects a hard disk with a low level GPIO signal. The GPIO signal of the hard disk is then pulled up from a low level to a high level. After a preset time delay, the method continuously detects the hard disk with a low level GPIO signal until the GPIO signal of each of the hard disks is at a high level. | 01-05-2012 |
20120005495 | PORTABLE POWER SUPPLY DEVICE WITH OUTLET CONNECTOR - One or more embodiments include an outlet interface that is configured to mate with an electrical outlet. The outlet interface is provided as an integral structure of the housing. | 01-05-2012 |
20120005496 | POWER DISTRIBUTION INSIDE CABLE - Circuits, methods, and apparatus that provide for the powering of active components in connector inserts at each end of a cable may in various ways. For example, where a host is coupled to a device that is not self-powered, the host may provide power for circuitry at each end of the cable. In various embodiments of the present invention, the device may request higher voltage from the host, such that more power can be delivered. In these cases, the device may regulate the voltage received from the host to a lower voltage, and then provide the lower voltage to circuitry at one or both ends of the cable. Where the host is connected to a device that is self-powered, the host and the self-powered device may power their respective connector insert circuits. | 01-05-2012 |
20120011376 | CIRCUIT FOR SUPPLEMENTING ELECTRICAL CURRENT TO A PERIPHERAL DEVICE - A data bus can supply power from a first power source to a sink device. The data bus is coupled to a second power source. An electrical current from the second power source supplements an electrical current on the data bus if the voltage on the data bus decreases to less than a threshold value. | 01-12-2012 |
20120011377 | COMPUTER SYSTEM CAPABLE OF ADJUSTING OPERATING FREQUENCY DYNAMICALLY - The present invention relates to a computer system capable of adjusting the operating frequency dynamically and comprises at least a processor voltage-adjusting unit, a clock-generating circuit, at least a load-detecting unit, and a control unit. The processor voltage-adjusting unit and the clock-generating circuit produce a processor operating voltage and a clock signal, respectively, and transmit them to the processor. The load-detecting unit detects the processor for producing a detecting signal related to the load of the processor. The control unit produces a clock-adjusting signal and a processor voltage-adjusting signal according to the detecting signal and controls the processor voltage-adjusting unit and the clock-generating circuit, respectively. Thereby, the control unit can adjust the operating voltage of the processor as well as the frequency of the clock signal and hence adjusting the operating frequency of the processor. According, the purpose of adjusting the performance of the computer system dynamically can be achieved. | 01-12-2012 |
20120011378 | POWER PROFILING AND AUDITING CONSUMPTION SYSTEMS AND METHODS - Systems and methods for estimating power consumption in a network of computing devices are described. Operational information of a target server is periodically received and compared to benchmark data of a model of the target server. The operational information comprises performance data of the target server during a predefined time interval. Power consumption of the target server is estimated using the performance and benchmark data. The benchmark data is recalibrated if an error in the estimated power consumption is detected. An agent installed on the target server for collecting performance data is described. The target server can be a virtualized server, in which case, the agent acquires at least some of the performance data from a hypervisor of a physical server that hosts the target server. | 01-12-2012 |
20120011379 | DEVICE FOR TAPPING USB POWER - A device includes a universal serial bus (USB) port comprising a data terminal and a power terminal to receive power from a remote USB port. The device includes a controller coupled to the data terminal of the USB port to provide a USB initialization signal. | 01-12-2012 |
20120011380 | METHOD FOR MITIGATING FALSE POWERED DEVICE DETECTION - A system for power distribution to network devices in a powered network is described herein. The system includes a first power sourcing equipment (PSE) device, which is configured to communicate data and selectively provide power to one or more devices. The system further includes a second PSE device coupled to the first PSE device through a network cable. The second PSE device is configured to communicate data, selectively provide power to one or more devices, and to receive a probing input through the network cable. The second PSE device includes a false detection mitigation circuit, which is configured to increase a leakage current of the second PSE device. The increased leakage current is associated with a resistive signature that is outside of a resistive range of a valid powered device. | 01-12-2012 |
20120017099 | METHOD AND APPARATUS TO LIMIT MEMORY POWER - In one embodiment, the present invention includes a power manager to receive a memory power usage value, to determine an available power based at least in part on a power budget and the memory power usage value, and to change a memory power state based at least in part on the available power, wherein the memory power state comprises a memory frequency and a memory voltage. Other embodiments are described and claimed. | 01-19-2012 |
20120017100 | Power System Optimization and Verification for Embedded System Design - Tools and methods for developing and verifying a power management solution for an embedded system are provided. The firmware for an embedded system is partitioned into layers, including a control layer. The control layer implements a high-level power management behavior for the embedded system. A power profile development tool is also provided. The tool includes modules for describing the power functioning of the hardware of the embedded system, defining the desired power management behavior of the embedded system, and configuring the control layer within the firmware for the embedded system to implement the desired power management behavior. Furthermore, modules that interface with the embedded system and receive power system events and power status information and simulating the expected power management behavior based in part upon the received power event data and comparing the simulated behavior to the received power status behavior may also be provided. | 01-19-2012 |
20120017101 | Bios Controlled Peripheral Device Port Power - A computing device (101, 400, 500) has a processor (401) and at least one peripheral device port (106, 107, 108, 109, 410-1 to 410-5). The processor (401) is configured to selectively power the at least one peripheral device port (106, 107, 108, 109, 410-1 to 410-5) when the processor (401) is in a sleep state (302, 303, 304, 305, 306) according to at least one setting stored by firmware (405) of the processor (401). | 01-19-2012 |
20120017102 | EVENT BASED CORRELATION OF POWER EVENTS - Systems, methods, and other embodiments associated with event based correlation of power events are described. One example method includes storing a power distribution unit (PDU) event data that identifies an occurrence of a suspected power event associated with a device. The method can then provide a PDU-server correlation signal that identifies a connection between a PDU and a device. | 01-19-2012 |
20120023339 | BATTERY LIFE EXTENDING POWER SUPPLY SYSTEM - A power supply system includes a battery and a power management system. The power management system is coupled to the battery and to an external power source that is operable to charge the battery using a first charge level. The power management system is operable to determine that a battery power level of the battery is greater than a first predetermined level that depends on a battery storage option and, in response, disable power from being supplied from the external power source such that power is supplied from the battery until the battery power level is below the first predetermined level. The power management system is also operable to determine that the battery power level of the battery is less than the first predetermined level and, in response, charge the battery with a second charge level that is less than the first charge level until the battery power level is above a second predetermined level that depends on the battery storage option. | 01-26-2012 |
20120023340 | NETWORK SWITCH WITH POWER OVER ETHERNET - A network switch apparatus includes a network switch housing, a first network port, a second network port, a first instrument port configured to communicate with a monitoring tool, wherein the first instrument port comprises a first power over Ethernet port configured to receive power, a transformer coupled to the first instrument port, and an active component inside the network switch housing, wherein the active component is configured to receive packets from the first network port, and pass at least some of the packets from the first network port to the first instrument port. | 01-26-2012 |
20120023341 | POWER SUPPLY CIRCUIT AND MOTHERBOARD INCLUDING THE SAME - A power supply circuit includes a power controller and a switch. The power controller is connected to a Southbridge chip of a motherboard. The Southbridge chip outputs status signals of the motherboard. The power controller provides a +5V_SYS voltage or a +5V_SB voltage to a universal serial bus (USB) connector according to the status signals. The switch is connected to the USB connector, a USB controller, and the Southbridge chip. The switch connects or disconnects from the USB connector and the USB controller according to the status signals. | 01-26-2012 |
20120023342 | Power Management Method for a Multi-Microprocessor System - A power management method for a multi-microprocessor system is provided. The multi-microprocessor system comprises a first microprocessor and a second microprocessor. The power management method comprises steps of receiving a power down instruction; transmitting a power down notice signal to the first microprocessor from the second microprocessor, transmitting a reply signal from the first microprocessor to the second microprocessor in response to the power down notice signal, and turning off power of the first microprocessor by the second microprocessor. | 01-26-2012 |
20120030481 | Measuring Data Switching Activity in a Microprocessor - A mechanism is provided for approximating data switching activity in a data processing system. A data switching activity identification mechanism in the data processing system receives an identification of a set of data storage devices and a set of bits in the set of data storage devices in the data processing system to be monitored for the data switching activity. The data switching activity identification mechanism sums a count of the identified bits that have changed state for the data storage device along with other counts of the identified bits that have changed state for other data storage devices in the set of data storage devices to form an approximation of data switching activity. A power manager in the data processing system then adjusts a set of operational parameters associated with the data processing system using the approximation of data switching activity. | 02-02-2012 |
20120030482 | DATA PROCESSING HAVING MULTIPLE LOW POWER MODES AND METHOD THEREFOR - A method is provided for operating a data processing system having a memory. The memory is coupled between a first power supply voltage terminal for receiving a first variable potential and a second power supply voltage terminal for receiving a second variable potential. An initial difference between the first variable potential and the second variable potential is not less than a first voltage. The method comprises: receiving a command to transition the data processing system from a first power supply voltage to a second power supply voltage; changing the second variable potential so that a difference between the second variable potential and the first variable potential is greater than the first voltage; and after changing the second variable potential, changing the first variable potential, wherein a difference between the first variable potential and the second variable potential is not less than the first voltage. | 02-02-2012 |
20120030483 | COMPUTER SYSTEM - A computer system includes a power supply, a number of first power interfaces, a second power interface, and a voltage regulating module. The power supply includes a number of direct current (DC) voltage output terminals, to output a number of DC voltages to a motherboard of the computer system. The first power interfaces are connected to the DC voltage output terminals of the power supply, to output the DC voltages to first peripheral devices. The voltage regulating module includes an input terminal and an output terminal. The input terminal is connected to one of the DC voltage output terminals of the power supply, to receive the DC voltage from the connected DC voltage output terminal. The output terminal is connected to the second power interface, to output a regulated second voltage to a second peripheral device through the second power interface. | 02-02-2012 |
20120030484 | DISPLAY APPARATUS WITH DUAL-SCREEN AND DISPLAY METHOD THEREOF - A method applied in a display apparatus is provided. The display apparatus includes a first screen, a second screen, power signal means. The first screen is volatile. The second screen is non-volatile. The power signal means generate a power off signal in response to a user operation. The method includes: determining whether a power off signal is received; displaying a user interface on the first screen if the power off signal is received; controlling the display on the second screen in response to a user selection on the operation interface; generating a shutdown signal; and powering off the first screen, and the second screen when receiving the shutdown signal. | 02-02-2012 |
20120030485 | ELECTRONIC DEVICE - A USB electronic device with a power source loaded therein, which is connected to another USB electronic device via a USB connector, comprises a voltage detection unit that detects a voltage at an identification pin of the USB connector, a power supply control unit that controls connection/disconnection between a power supply pin of the USB connector and the power source based upon a change in voltage at the identification pin detected by the voltage detection unit and an allow/disallow control unit that executes control to allow/disallow detection of the voltage change at the identification pin. | 02-02-2012 |
20120036374 | METHOD FOR ORGANISING AN ELECTRIC NETWORK INCLUDING A PLURALITY OF GENERATORS, DISTRIBUTION BLOCK AND EQUIPMENT - A method for the equal distribution of electric subnetworks among independent generators regardless of the number and availability of the generators in order to ensure power supply to all the subnetworks. | 02-09-2012 |
20120036375 | METHOD FOR OPTIMIZING THE OPERATION OF A MULTIPROCESSOR INTEGRATED CIRCUIT, AND CORRESPONDING INTEGRATED CIRCUIT - A method for optimizing operation which is applicable to a multiprocessor integrated circuit chip. Each processor runs with a variable parameter, for example its clock frequency, and the optimization includes determination, in real time, of a characteristic data value associated with the processor (temperature, consumption, latency), transfer of the characteristic data to the other processors, calculation by each processor of various values of an optimization function depending on the characteristic data value of the block, on the characteristic data values of the other blocks, and on the variable parameter, the function being calculated for the current value of this parameter and for other possible values, selection, from among the various parameter values, of that which yields the best value for the optimization function, and application of this variable parameter to the processor for the remainder of the execution of the task. | 02-09-2012 |
20120036376 | POWER CONTROL DEVICE FOR PROCESSOR - A processor has: a power table including a plurality of power control registers each rewritably storing power control information; a condition determiner for rewritably storing a plurality of operating conditions (e.g., a comparison address to be compared with the program counter) and determining which one of the plurality of operating conditions is satisfied by a current operation of the processor so as to supply an index signal to select one of the plurality of power control registers based on the determination; and a voltage/clock controller for controlling the power consumption in a control object circuit block according to the power control information in one of the power control registers that is selected by the index signal. | 02-09-2012 |
20120042175 | MOTHERBOARD WITH AN ENERGY SAVING FUNCTION - A motherboard with an energy saving function is coupled to an external power supply. The external power supply provides an operational power. The motherboard includes a battery and a processing device. The battery provides a standby power. The processing device receives the operational power in a turned-on mode. The processing device does not receive the operational power and receives the standby power in a turned-off mode. | 02-16-2012 |
20120042176 | Method and Apparatus for Optimizing Clock Speed and Power Dissipation in Multicore Architectures - A multicore processor provides for local power control at each of the cores which is used to lower the maximum operating frequency of cores by any amount above of the maximum operating frequency of the slowest core. This power savings is then used to increase the maximum operating frequency of the frequency balanced cores within a power constraint. | 02-16-2012 |
20120042177 | Computer System - The present invention provides a computer system, comprising: a plurality of power suppliers; and a plurality of mainboards, each of which is electrically connected to the corresponding one of the plurality of power suppliers. Each mainboard comprises a switch module for generating a switch-on signal; a control module for receiving the switch-on signal and generating a power enable signal according to the switch-on signal; and a cascade module, electrically connected to the power supplier relative to the mainboard and the control module, for receiving the power enable signal, wherein the cascade module of the respective mainboard electrically connects to each other, and, when the control module of any mainboard sends out the power enable signal, it will be transferred to the other mainboards via the mainboard's cascade module, so that the plurality of power suppliers can provide power to the corresponding mainboard to implement the mainboard's booting synchronously. | 02-16-2012 |
20120042178 | Peripheral Device - Provided is a peripheral device including: a connection portion capable of selectively connecting to multiple types of connectors corresponding to multiple types of interfaces, the connection portion including a power terminal for receiving a supply of power from a host device via a connector; a control section for initiating, upon receiving a supply of power, a connection process to form a logical connection with the host device by using any one of the multiple types interfaces; a power line connecting the control section and the terminal; and a delay process section for delaying supply of power to the control section having started by the connector being connected to the connection portion, for a predetermined time, the delay process section being disposed along the power line. | 02-16-2012 |
20120042179 | Server Machine, Power-Consumption Control Method, and Network System - A server machine according to the present invention includes: one or more ports each connectable to a separate peripheral device; at least one communication section connectable to a network in plural types of communications mode differentiated according to communications rate and each differing in power consumption required for communications; and a setting section for, based on at least the respective power consumptions in the communications modes and on the sum of the highest-speed data transmission rates of each peripheral device connected to the one or more ports, choosing one of the communications modes and setting the communication section into the chosen communications mode. | 02-16-2012 |
20120042180 | NETWORK REMOTE POWER MANAGEMENT OUTLET STRIP - A vertical-mount network remote power management outlet strip embodiment of the present invention comprises a long, thin outlet strip body with several independently controllable power outlet sockets distributed along its length. A power input cord is provided at one end, and this supplies AC-operating power to relays associated with each of the power outlet sockets. The relays are each addressably controlled by a microprocessor connected to an internal I2C-bus serial communications channel. The power-on status of each relay output to the power outlet sockets is sensed and communicated back on the internal I2C-bus. A device-networking communications processor with an embedded operating system translates messages, status, and controls between the internal I2C-bus and an Ethernet port, and other external networks. | 02-16-2012 |
20120042181 | Always-On System For Uninterrupted Power Supply - This invention relates to an always-on uninterrupted power supply system mainly provided with a control unit to govern a power supply switch unit. When one of main power supply units or a bypass power supply unit of an external power device is to be installed or uninstalled for maintenance, a user can operate the control unit to enable the power supply switch unit to switch to the main power supply unit or the bypass power supply unit that is not to be installed or uninstalled to provide power for loads connected with the always-on uninterrupted power supply system to operate continuously, without interrupting the normal operation of the load. | 02-16-2012 |
20120047375 | INFORMATION PROCESSING APPARATUS, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM - An apparatus includes a storage unit, a power source unit configured to supply power in a normal operation mode, a power supply unit configured to receive power from an external apparatus, a communication unit configured to communicate with the external apparatus, a control unit configured to rewrite the storage unit using data received from the communication unit, and a switching unit configured to switch power supply to the storage unit between from the power source unit and from the power supply unit, wherein the control unit controls the switching unit, when receiving a rewrite request command from the external apparatus, to supply power to the storage unit from the external power supply unit, so that rewriting of the storage unit is executed. | 02-23-2012 |
20120066519 | DATA CENTER POWER CONVERSION EFFICIENCY MANAGEMENT - A data center energy management (DCEM) server configures a power supply in the data center. The DCEM server sums input alternating current (AC) power of the power supply to a total AC power of the data center, wherein the total AC power of the data center is a sum of AC power of a plurality of power supplies. The DCEM server sums output direct current (DC) power of the power supply to a total DC power of the data center and reports a ratio of total AC power to total DC power as data center power conversion efficiency. The DCEM server sets a preset power supply efficiency threshold. The DCEM server determines that a real-time power efficiency level is below the power supply efficiency threshold. The DCEM server, responsive to a determination that real-time power efficiency level is below the power supply efficiency threshold, may remedy the power supply. | 03-15-2012 |
20120066520 | NETWORK CONTROLLER CIRCUITRY TO DETERMINE, AT LEAST IN PART, WHETHER AT LEAST ONE POWER MANAGEMENT ACTION IS TO BE INITIATED - An embodiment may include network controller circuitry that may be comprised, at least in part, in a host computer. The circuitry may determine, at least in part, based at least in part upon at least one comparison, whether at least one power management action is to be initiated. The at least one comparison may compare, at least in part, at least one pattern with at least one portion of at least one packet received, at least in part, by the host computer. The at least one power management action may include the modification, at least in part, of at least one power management configuration of the host computer. The modification may accommodate, at least in part, at least one packet processing latency policy associated, at least in part, with the at least one pattern. Of course, many alternatives, variations, and modifications are possible without departing from this embodiment. | 03-15-2012 |
20120066521 | SEMICONDUCTOR INTEGRATED CIRCUIT, INTERCONNECT, AND COMPUTER READABLE MEDIUM STORING MEDIUM STORING CONTROL PROGRAM - A semiconductor integrated circuit includes an adjuster and a controller. The adjuster adjusts transmission and reception of data by temporarily holding the data transmitted and received among a plurality of devices and output location information on the data. The controller controls power consumption of at least one of target devices based on a change amount of the location information. | 03-15-2012 |
20120066522 | POWER SUPPLY DEVICE AND IMAGE FORMING APPARATUS - A power supply device provided with a main power supply unit that supplies generated predetermined power to an electric load, a current detecting unit, a current indicating unit, an electric storage unit, an auxiliary power supply unit, and a control unit that determines an upper limit current value to be output to the current indicating unit on the basis of at least a condition of the electric load, and controls the upper limit current value such that power supplied from the auxiliary power supply unit to the electric load is converged in a predetermined convergence time when the power supply from the auxiliary power supply unit to the electric load is stopped or reduced. | 03-15-2012 |
20120066523 | INFORMATION PROCESSING APPARATUS AND CONTROL METHOD OF INFORMATION PROCESSING APPARATUS - An information processing apparatus includes a processing device configured to process data, a first line connecting device configured to be connected to the processing device, a second line connecting device configured to be connected to the processing device, a first input and output port configured to be connected to a first end device via a first line, a second input and output port configured to be connected to a second end device via a second line, a first selecting unit that includes a first terminal, and a second terminal and a third terminal, the first terminal being connected to the first line connecting device and either the second terminal or the third terminal. | 03-15-2012 |
20120072738 | REDUCING LATENCY WHEN ACTIVATING A POWER SUPPLY UNIT - A method for reducing latency using a charging module when activating a power supply unit (PSU) among a plurality of PSUs in a power supply system. The method includes: Receiving, by the PSU from a power input feed, input power; receiving, from a supply bus shared by the plurality of PSUs, a first controlled signal designating a status of the PSU as standby; disabling, in response to the first controlled signal, a switching regulator of the PSU; receiving, from a voltage rail of the supply bus, charge flowing through a resistor of the charging module to maintain a charge of an output capacitor of the switching regulator; receiving a second controlled signal designating the status of the PSU as active; enabling the switching regulator; outputting a voltage from the PSU through the charging module to the voltage rail; and charging the output capacitor using the PSU. | 03-22-2012 |
20120072739 | SHORT CIRCUIT CONTROL FOR HIGH CURRENT PULSE POWER SUPPLY - A power supply circuit apparatus, and method for controlling the same, includes multiple power supplies connected to a load via power channels and a controller. The controller detects a short circuit in the power supply based on a measured load input current. | 03-22-2012 |
20120072740 | Power Booting Sequence Control System and Control Method Thereof - The present invention discloses a power booting sequence control system and the control method thereof, which optimizes a power booting sequence of a plurality of power switches in an integrated circuit. An initial module initializes a target charge value, a preset current budget and a plurality of time intervals. A current lookup module obtains a booting current across a power switch from a built-in current lookup table. A first computing unit and a second computing unit compute a first and a second power switch numbers respectively. A processing module selects the small number of the first and the second power switch number to get a maximum number of power booting switches under the time intervals, and opens the maximum number of the power booting switches. Therefore, the system keeps the in-rush current value under the preset current budget and speeds up the ramp-up time in a power booting state. | 03-22-2012 |
20120072741 | COMPUTER SYSTEM, POWER SUPPLY APPARATUS AND CONTROL METHOD THEREOF - A computer system includes at least one device, a connector which is connected to a power supply apparatus which supplies power having a predetermined level to operate the computer system, a power unit which converts and provides the power supplied from the power supply apparatus to the at least one device, and a controller which analyzes a signal waveform of power supplied from the power supply apparatus and identifies information of the power supply apparatus carried by the signal waveform. Accordingly, an abnormal operation of the computer system can be prevented by transmitting power information such as a power capacity of the power supply apparatus to the computer system. | 03-22-2012 |
20120072742 | SYSTEM AND METHOD FOR TESTING WOL FUNCITON OF COMPUTERS - In a system and method for testing a wake-up on LAN (WOL) function of a computer, the computer connects to a server through a local area network (LAN). The system constructs a network connection between the server and the computer according to an Internet protocol (IP) address, a media access control (MAC) address and a name of the computer, and enables the computer in a wake-up mode when the server connects to the computer through the LAN. A wake-up command is generated for waking up a WOL function of the computer, the computer performs a WOL function test process according to the wake-up command. The sever detects a current status of the computer from a data packet when the data packet is received from the computer, and records the current status as a test result of the WOL function of the computer. | 03-22-2012 |
20120072743 | Hierarchical Power Management Circuit, Power Management Method Using the Same, and System on Chip Including the Hierarchical Power Management Circuit - A hierarchical power management circuit includes N power management circuits respectively included in N power domains each including at least one intellectual property (IP), wherein N is a natural number greater than one. The i-th (1 | 03-22-2012 |
20120079290 | PROVIDING PER CORE VOLTAGE AND FREQUENCY CONTROL - In one embodiment, the present invention includes a processor having a plurality of cores and a control logic to control provision of a voltage/frequency to a first core of the plurality of cores independently of provision of a voltage/frequency to at least a second core of the plurality of cores. In some embodiments, the voltages may be provided from one or more internal voltage regulators of the processor. Other embodiments are described and claimed. | 03-29-2012 |
20120079291 | DATA BACKUP SYSTEM, STORAGE SYSTEM UTILIZING THE DATA BACKUP SYSTEM, DATA BACKUP METHOD AND COMPUTER READABLE MEDIUM FOR PERFORMING THE DATA BACKUP METHOD - A data backup system comprising: a first storage device; a second storage device; a backup controller; and a backup power supplying device; wherein the data is stored to the first storage device in a first mode via main power, and the backup controller receives backup power from the backup power supplying device to move the data stored in the first storage device to the second storage device in a second mode. | 03-29-2012 |
20120079292 | START-UP CONTROL APPARATUS AND METHOD - A start-up control apparatus r includes a control chip, an advanced configuration and power management interface (ACPI) controller, and a firmware. The ACPI controller is used for receiving a trigger signal and sending a start-up signal corresponding to the trigger signal to the control chip if a computer system is powered off. The firmware is used for sending a status signal to the control chip after the firmware finishes initialization. Wherein the control chip is used for sending the start-up signal to a power supply to provide power for powering on the computer system after receiving the status signal. | 03-29-2012 |
20120079293 | UPGRADE KIT AND POWER MANAGEMENT DEVICE FOR AN UPGRADE KIT - A power management device for an upgrade kit for upgrading a target system to a digital system is provided. The power management device includes a sensor configured to sense a power status of the target system, and a power distribution unit configured to power on or off all subsystems of the upgrade kit according to the power status. | 03-29-2012 |
20120079294 | Power Sharing Between Midspan and Endspan for Higher Power PoE - Methods and systems for higher power PoE are provided. Embodiments overcome system limitations to PSE power scaling by using an endspan-midspan configuration which allocates power to the PD from both an endspan PSE and a midspan PSE. Embodiments are particularly suitable for deployed PoE systems having limited power supplies and/or ports designed for lower power. Further, embodiments include power management schemes to enable the proposed endspan-midspan configuration to intelligently allocate power between the endspan PSE and the midspan PSE according to required PD power. | 03-29-2012 |
20120084575 | Synchronized Voltage Scaling and Device Calibration - A method is provided for scaling voltage in an integrated circuit. A calibration operation is performed on a functional module on the integrated circuit periodically at a rate T1. At least one parameter on the integrated circuit in monitored to determine when a performance threshold is reached. A change is initiated to an operating voltage for a portion of the integrated circuit in response to reaching the threshold. The rate of performing calibration operation is increased to a higher rate T2 for a window of time W in response to initiating the change in operating voltage, after which the rate of performing calibration is returned to the rate T1. | 04-05-2012 |
20120084576 | INFORMATION PROCESSING APPARATUS AND POWER SUPPLY CONTROL METHOD - According to one embodiment, an information processing apparatus includes: an optical disk apparatus; a receiver which receives a command indicating that a tray provided in the optical disc apparatus is ejected; a power supply module which supplies electric-power to each of modules of the information processing apparatus; and a power supply controller which controls supply of electric-power to each of the modules from the power supply module. When the supply of electric-power to each of the modules is stopped, the power supply controller continues the supply of electric-power to the optical disc apparatus during a certain time. When the power supply controller receives the command within the certain time, the power supply controller ejects the tray and stops the supply of electric-power to the optical disc apparatus. | 04-05-2012 |
20120084577 | CHARGE PUMP APPARATUS, A MEMORY INTEGRATED CIRCUIT AND METHODS OF POWER SUPPLY - A power supply, and a method of controlling the power supply, in which more or less power capacity of the power supply is activated depending on the state of a digital data signal on a data bus. The power supply has a control circuit which detects the number of “zero” bits present on the data bus, and responsively activates one or more of a plurality of power supply circuits such as charge pump circuits. The outputs of the charge pump circuits are mutually connected to a driver adapted to program memory cells of a flash memory circuit. A charge pump apparatus and a memory integrated circuit are also described. | 04-05-2012 |
20120084578 | Using a Single Terminal for a Power Enable Output Signal and Detecting an Over-Current Condition - A power controller for a peripheral bus interface. A peripheral bus power controller includes a first terminal, a second terminal coupled to receive an power enable input signal from a host controller, and a third terminal coupled to provide an over-current output signal indicative of an over-current condition to the host controller. The peripheral bus power controller further includes an enable circuit configured to assert a power enable output signal on the first terminal responsive to receiving the power enable input signal and a first buffer configured to provide the over-current output signal to the host controller responsive to the power controller detecting the over-current condition on the first terminal. | 04-05-2012 |
20120084579 | Power Distribution To Computer System - Power distribution to computer systems. At least some of the illustrative embodiments are systems including a power supply configured to convert an alternating current (AC) power signal to a direct current (DC) power signal (and the power supply comprising a first power port and a second power port, the second power port different than the first power port), a first computer system coupled to the first power port (the power supply configured to provide DC operational power to the first computer system through the first power port, and the first computer system the only computer system receiving operational power through the first power port), and a second computer system different than the first computer system (the second computer system coupled to the second power port, the power supply configured to provide DC operational power to the second computer system through the second power port, and the second computer system the only computer system receiving operational power from the second power port). | 04-05-2012 |
20120089850 | Optimizing Power Usage By Factoring Processor Architectural Events To PMU - A method and apparatus to monitor architecture events is disclosed. The architecture events are linked together via a push bus mechanism with each architectural event having a designated time slot. There is at least one branch of the push bus in each core. Each branch of the push bus may monitor one core with all the architectural events. All the data collected from the events by the push bus is then sent to a power control unit. | 04-12-2012 |
20120096285 | Power Management Method and Portable Electronic Device Using the Same - A power management method for a portable electronic device having a battery providing operating power includes receiving a command utilized for indicating the portable electronic device to execute a function, determining electricity required by executing the function and electricity stored in the battery, comparing the electricity required by executing the function and the electricity stored in the battery, and generating an indication signal when the electricity required by executing the function is greater than the electricity stored in the battery. | 04-19-2012 |
20120096286 | CHARGING MANAGEMENT METHOD, CHARGING CONTROL CIRCUIT AND THE HOST APPARATUS HAVING THE SAME - A control circuit of universal serial bus (USB) port includes a charge control unit providing a first operating voltage and a second operating voltage to a first operating voltage end and a second operating voltage end of the USB port, and a first circuit unit coupled to the charge control unit. Furthermore, the first circuit includes a first output end and a second output end. When a external apparatus is inserted into the USB port, the charge control unit connects the first output end and the second output end to a differential positive end and a differential negative end of the USB port, respectively, to enter a rapid charging mode. | 04-19-2012 |
20120096287 | COORDINATED APPROACH BETWEEN MIDDLEWARE APPLICATION AND SUB-SYSTEMS - A method of managing power in a computing system is provided. The method comprises: assessing incoming work; assessing service level agreements related to the incoming work; and coordinating with an operating system layer to control hardware of the computing system based on the service level agreements and a power consumption goal. | 04-19-2012 |
20120102338 | System for Combined Input Output Module and Zero Power Optical Disk Drive with Advanced Integration and Power - An information handling system includes a module bay, a voltage control switch, and a controller. The module bay configured to receive a module, and to connect the module to the information handling system. The voltage control switch is in communication with the module bay, and is configured to provide power to the module bay. The controller is in communication with the module bay and with the voltage control switch. The controller is configured to detect a type of the module connected to the module bay, and to send a power control override signal to the voltage control switch when the type is a specific type, wherein the power control override signal causes the voltage control switch to disregard a power down signal received for the module bay and continue to provide power to the module bay. | 04-26-2012 |
20120102339 | Interface Device for Communication Between a Medical Device and a Computer - The present invention relates to an interface device and a method for communication between a medical device and a computer system. In some embodiments, the interface device comprises a conversion device and/or a processor-transceiver and a memory in electrical communication with the conversion device, wherein the memory contains data to instruct the conversion device and/or the processor transceiver how to communicate with the medical device. | 04-26-2012 |
20120102340 | POWER MANAGEMENT FOR A MOBILE COMMUNICATION DEVICE AND METHOD FOR USE THEREWITH - A communication device includes a memory module that stores a plurality of applications corresponding to a plurality of uses of the communication device. A processing module executes a selected one of the plurality of applications and selects one of a plurality of power modes based on a current one of the plurality of uses of the communication device corresponding to the selected one of the plurality of applications. The processing module generates a power mode signal based on the selected one of the plurality of power modes. A power management circuit receives the power mode signal and that generates a plurality of power supply signals based on the power mode signal. | 04-26-2012 |
20120102341 | Powered Device Analysis and Power Control in a Power-Over-Ethernet System - A system and method of analyzing a powered device (PD) in a Power-over-Ethernet (PoE) system are presented. The system includes an Ethernet interface having a physical layer (PRY) chip capable of providing a signal pulse in addition to physical layer | 04-26-2012 |
20120110350 | POWER SUPPLY GRID SWITCH - Examples relate to a grid switch adapted for use with a power supply, wherein the grid switch selects an operational power grid from first and second power grids if one of power grids has failed, and selects between the first and second grids based on a policy if both the first and second power grids are operational. | 05-03-2012 |
20120110351 | POWER MANAGEMENT FOR DIGITAL DEVICES - In a digital device, activity of (or load on) one or more processors, peripherals and memory buses are measured. A power management framework operated in the digital device bases power settings in the digital device on the measured loads, and accordingly issues power management commands to change power consumption states of one or more of the processors, peripherals and memory buses. Some user applications (termed power aware applications) in the digital device provide a number identifying their application type to the power management framework, which thereby determines the resources required by the application. The power management commands issued by the power management framework ensure provision of the corresponding resources to the application, while also targeting minimization of power consumption in the digital device. In an embodiment, the digital device corresponds to a mobile phone. | 05-03-2012 |
20120110352 | METHOD AND APPARATUS FOR THERMAL CONTROL OF PROCESSING NODES - An apparatus and method for per-node thermal control of processing nodes is disclosed. The apparatus includes a plurality of processing nodes, and further includes a power management unit configured to set a first frequency limit for at least one of the plurality of processing nodes responsive to receiving an indication of a first detected temperature greater than a first temperature threshold, wherein the first detected temperature is associated with the one of the plurality of processing nodes. The power management unit is further configured to set a second frequency limit for each of the plurality of processing nodes responsive to receiving an indication of a second temperature greater than a second temperature threshold. | 05-03-2012 |
20120110353 | Debugger Recovery on Exit from Low Power Mode - A device is configured with components to enable debugging of the device's entry into and exit from a low power mode. The device includes: core logic, debug components, and a power management module (PMM). When the device exits a low power mode in which the states of the debug components are lost, the PMM prevents the core logic from resuming processing operations until the debug components have been re-configured to their prior states. The PMM either holds the core logic in reset or alternatively withholds power to the core logic. Reconfiguration of the debug components is initiated by a connected debugger, which can set one or more control and status (CS) register values within the device. The CS register values determine when the PMM prevents the core logic processing from resuming and when the PMM enables core logic processing to resume following the device's return from low power mode. | 05-03-2012 |
20120110354 | HOLDER WITH SOLAR MODULE FOR HOLDING PORTABLE ELECTRONIC DEVICES - One embodiment of a holder for holding portable electronic devices includes a main support body being a rectangular rigid plate; a main support member hingedly secured to a rear end of the main support body, the main support member being a rectangular rigid plate and comprising two spaced hinge housings proximate to a top edge distal the main support body; and two parallel support legs hingedly secured to a back of the main support member; and a rectangular solar panel comprising two pivots at two top corners respectively, each pivot pivotably secured to the hinge housing so that an angle of the solar panel with respect to the main support member can be adjusted for orienting toward the sun. | 05-03-2012 |
20120110355 | Power Supply Circuit and Power Supply Method - Provided is a power supply circuit capable of suppressing a power supply voltage to be input to an integrated circuit device to low level. A power supply circuit ( | 05-03-2012 |
20120117390 | Energy Allocation to Groups of Virtual Machines - A method, data processing system, and computer program product for managing energy. A processor unit identifies a plurality of groups of virtual machines in a computer system. The processor unit allocates the energy in the computer system to the plurality of groups of virtual machines based on a policy. | 05-10-2012 |
20120117391 | Method and System for Managing the Power Supply of a Component - A method and system for managing the power supply of a component and of a memory cooperating with the component are disclosed. The component and the memory are powered with a first variable power supply source having a first power supply voltage level greater than a minimum operating voltage of the memory. When a voltage level of the first power supply source drops and reaches a threshold that is greater than or equal to the minimum operating voltage of the memory, the power supply of the memory is toggled to a second power supply source having a second voltage level that is greater than or equal to the minimum operating voltage of the memory. | 05-10-2012 |
20120117392 | POWER DISTRIBUTION UNIT-DEVICE CORRELATION - Apparatus, methods, and other embodiments associated with providing a correlation between a power distribution unit(s) and a device(s) are described. One example method includes storing first time series data that identifies, on a per power distribution unit (PDU) basis, current drawn from a set of PDUs. The example method may include storing second time series data that identifies, on a per device basis, power used by a set of related devices. With the two time series data available, the method may then provide a PDU-device correlation signal that identifies a correlation between current drawn from a PDU and power used by a device. | 05-10-2012 |
20120117393 | POWER MANAGEMENT SYSTEM - A power supply system includes at least one power supply module and at least one redundant power supply module. A power supply module may include a charging resistor in parallel with an OR-ing device to keep all filter capacitors charged as long as at least one power supply module remains operational. This may avoid current spikes at turn on and may enable the redundant module to turn on without using soft start. | 05-10-2012 |
20120124395 | COMPUTER POWER SUPPLY - A computer power supply includes a case and a number of power connectors. The power connectors are mounted on the case and operable to receive different kinds of power signals from the case. Each power connector outputs a corresponding power signal through a corresponding power adapter cable. | 05-17-2012 |
20120124396 | COMPUTER SERVER - A server includes a power line assembly, at least one hard disc drive (HDD), at least one fixing base, and a power supply module. Each HDD defines a power interface. Each fixing base defines a slot. The structure of the slot is substantially the same as that of the power interface. The power supply module is used for supplying power to the at least one HDD. The power line includes a main plug and at least two sub-plugs. The main plug is plugged into the power supply module. One of the at least two sub-plugs is plugged into the power interface of one of the at least one HDD, and another one of the at least two sub-plugs is plugged into the slot of one of the at least one fixing base. | 05-17-2012 |
20120124397 | POWER SWITCH CONTROL CIRCUITRY - Electronic circuits and methods are provided for conserving power within computers and other apparatus. A logic circuit performs a logical operation on a plurality of variables thus deriving a corresponding output. The output is used to drive and maintain an open or closed state of an electronic switch, accordingly. The electronic switch is disposed between a source of electrical energy and a system power buss of a computer. The computer can assume very low power, full power and other respective operating modes in accordance with the present state of the electronic switch. | 05-17-2012 |
20120131356 | APPARATUS FOR CONTROLLING POWER OF MULTI CORE PROCESSOR AND METHOD THEREOF - The present invention relates to an apparatus for controlling power of mufti core processor, which includes a power control device by core unit, controls a plurality of power-related parameters by core unit, and thus decreases a load for power management and enables realization of a low power multi core processor through minute power control. The apparatus includes a processor core adapted to provide code information on an application program for executing to a power regulation controller, and a power regulation controller adapted to receive the code information on the application program from the processor core to determine an operation frequency of the processor core, set an operation voltage, a clock-gating value and a power-gating value according to the determined operation frequency, and provide the set values and voltage to the processor core. | 05-24-2012 |
20120131357 | POWERED DEVICE CLASSIFICATION IN A WIRED DATA TELECOMMUNICATIONS NETWORK - In a wired data telecommunication network power sourcing equipment (PSE) coupled to a powered device (PD) carries out an inline power discovery process to verify that the PD is adapted to receive inline power, then a plurality of classification cycles are carried out to convey a series of inline power classes back to the PSE. The series of inline power classes may all be the same, in which case the PD is legacy equipment and is adapted to receive the power level corresponding to that class. If they are not all the same, information is thus conveyed to the PSE which may, for example, correspond to a specific power level to be applied or to other information. | 05-24-2012 |
20120131358 | COMPUTER STORAGE COMPONENTS THAT PROVIDE EXTERNAL AND INTERNAL ACCESS - A primary computing device and a secondary computing device couple with an internal storage component of the primary device powered by, and data accessed by either the first or secondary computing device. The internal storage component includes multiple connectors for connecting internal buses and external buses over which data can be accessed, internally or externally. It includes an internal storage unit (in general, at least one storage unit, and multiple storage units are supported) to which data can be written and from which data can be read. It also includes arbitration and isolation circuitry that makes it possible to access the internal storage unit (for read/write data access, etc.) over one of the buses. The arbitration and an isolation circuitry also facilitates powering the internal storage component employing power supplied by an internal power source, or via an external power source, such as power from an USB connection. | 05-24-2012 |
20120137141 | POWER CONTROL METHOD OF SERVERS - A power control method of servers is disclosed, where each of the servers includes a corresponding baseboard management controller. This method includes steps as follow. A rack management device is used for acquiring identification codes of the servers, wherein the identification codes of the servers are different from each other. Then, delay times based on the identification codes of the servers are generated by means of the rack management device, so that when each time passing through one of the delay times, the rack management device can send a power-on command to the corresponding server. The baseboard management controller can supply the power to the server according to the power-on command. | 05-31-2012 |
20120137142 | VOLTAGE IDENTIFICATION SIGNAL CONTROL DEVICE AND ELECTRONIC DEVICE EMPLOYING THE SAME - A voltage identification signal control device employed in an electronic device provides a voltage identification signal for a voltage regulation unit. The voltage identification signal control device includes an input unit and a processor. The input unit inputs and sets a predetermined voltage. The processor receives and converts the predetermined voltage from the input unit into a corresponding standard voltage identification signal, and transmits the voltage identification signal to the voltage regulation unit to activate the voltage regulation unit, and the voltage regulation unit outputs a corresponding operating voltage according to the voltage identification signal. | 05-31-2012 |
20120137143 | Storage System - A storage system includes one or more first power supplies which receive power from the first input and supplies power to each of multiple load groups through multiple first paths and multiple second power supplies which receive power from the second input and supplies power to each of the multiple load groups through multiple second paths. Each load group is comprised of at least one load, and each load is a storage device. Power is supplied from different second power supplies respectively to two or more load groups to which power is supplied from the first power supply through two or more first paths. | 05-31-2012 |
20120137144 | FACILITATING COMMUNICATION AND POWER TRANSFER BETWEEN ELECTRICALLY-ISOLATED POWERED DEVICE SUBSYSTEMS - A system employing power over Ethernet (PoE) technology may include at least one powered device and power sourcing equipment (PSE). The powered device may include a first powered device (PD) subsystem and a second powered device (PD) subsystem that is electrically isolated from the first PD subsystem. The powered device may also include an interface connecting the first PD subsystem and the second PD subsystem. The PSE may be operable to provide power to one or more of the PD subsystems through a link connecting the PSE to the powered device. Also, the first PD subsystem may be operable to receive a communication from and transfer power to the second PD subsystem through the interface while maintaining the electrical isolation. | 05-31-2012 |
20120137145 | Transaction Terminator - A system comprises a central processing unit ( | 05-31-2012 |
20120144211 | Method and Device for Decoding Data - A method comprises predicting a workload required for decoding a code block using a turbo decoder and selecting a power mode of the turbo decoder, the selection of the power mode depending on the prediction of the workload. | 06-07-2012 |
20120144212 | MEMORY POWER SUPPLY CONTROL CIRCUIT - A memory power supply control circuit includes a number of memory slots, a platform controller hub (PCH), a first synchronous rectification driver, a number of second synchronous rectification drivers, and a complex programmable logic device (CPLD). The PCH is connected to the memory slots. The first synchronous rectification driver maintains a working state at all time. The CPLD is connected between the PCH and the second synchronous rectification drivers. The CPLD receives information from the PCH to determine a number of used memory slots, and controls the working states of the second synchronous rectification drivers according to the number of used memory slots. | 06-07-2012 |
20120144213 | USB HUB AND POWER MANAGEMENT METHOD THEREOF - A USB HUB is provided. The USB HUB comprises a wireless communication module, a storage module, a USB interface connected to a host outside of the USB HUB and a HUB controller. The storage module stores a driver program of the wireless communication module. The USB interface transfers data with the host. The HUB controller is coupled to the USB interface, the wireless communication module and the storage module. The HUB controller disables the storage module and enables the wireless communication module when the driver program has been installed in the host. | 06-07-2012 |
20120144214 | OPTICAL NETWORK UNIT, POWER SOURCE EQUIPMENT AND POWER SUPPLY SYSTEM USING THE SAME - An optical network unit is provided that includes a communication detector configured to detect whether a communication is achieved between the ONU and at least one power source equipment (PSE), and a power controller configured to detect whether the PSE is powered and to control electrical power, which is provided from the PSE, based on at least one of the detection result about whether the communication is achieved between the ONU and the PSE and the detection result about the PSE is powered. | 06-07-2012 |
20120151225 | APPARATUS, METHOD, AND SYSTEM FOR IMPROVED POWER DELIVERY PERFORMANCE WITH A DYNAMIC VOLTAGE PULSE SCHEME - An apparatus and method is described herein for providing a dynamic pulse scheme for a voltage supply. A load (current) demand event of a processor is either predicted and/or detected. In response to the current demand event, such as a change in the current demand; a temporary, transient voltage pulse is generated by a voltage supply to compensate for the current transient demand. As result, dynamic voltage supply pulses generated based on the load current or the prediction of the load current demand increases performance, decreases power consumption, and saves expensive addition of compensation components, such as capacitors to a processor package. | 06-14-2012 |
20120151226 | APPARATUS AND METHOD FOR SELECTIVE BACK BIAS CONTROL OF AN INTEGRATED CIRCUIT - An apparatus for dynamically varying a bias voltage that is applied to a substrate of an integrated circuit is provided. The apparatus includes a selective bias generator and state table logic. The selective bias generator is disposed on the integrated circuit and is configured to generate one of a plurality of bias voltages according to a value received over a bias select bus, where the one of the plurality of bias voltages is applied to the substrate. The state table logic is coupled to the selective bias generator, and is configured to receive one or more power management states, and is configured to provide the value over the bias select bus, where the value includes one of a plurality of bias indications stored within the state table logic. | 06-14-2012 |
20120151227 | APPARATUS AND METHOD FOR ADAPTIVE BACK BIAS CONTROL OF AN INTEGRATED CIRCUIT - An apparatus includes an adaptive bias generator and a state processor. The adaptive bias generator is disposed on the integrated circuit, and is configured to generate a variable bias voltage according to a value received over a bias bus, where the variable bias voltage is applied to the substrate. The state processor is coupled to the adaptive bias generator, and is configured to receive one or more power management states, and is configured to provide the value over the bias bus, where the value is a function of the one or more power management states. | 06-14-2012 |
20120151228 | ELECTRONIC DEVICE HAVING POWER CONSUMPTION ADJUSTING FEATURE - An electronic device includes a central processing unit (CPU), a number of power supply units (PSUs), a north bridge, a south bridge, and a baseboard management controller (BMC). The number of power supply units (PSUs) supplies power to the CPU. Each PSU has a rated power. The north bridge is connected to the CPU. The south bridge is connected to the north bridge. The BMC is connected to the CPU by the north and south bridges. The BMC detects power supply states of each PSU and controls the north bridge to adjust a power consumption of the CPU according to the power supply states of the PSUs. | 06-14-2012 |
20120151229 | DATA PROCESSING APPARATUS, DATA PROCESSING METHOD, AND PROGRAM - A data processing apparatus includes a control unit configured to control data processing, and a communication unit configured to communicate with other apparatuses. The control unit determines, before the control unit shifts to a predetermined power-saving state, a communication speed of the communication unit to communicate with the other apparatuses when the control unit is in the power-saving state, based on an amount of packets received by the communication unit, and sets the determined communication speed for the communication unit. The communication unit communicates with the other apparatuses at the set communication speed, when the control unit is in the power-saving state. | 06-14-2012 |
20120159198 | PROCESSOR POWER LIMIT MANAGEMENT - A processor power limiter and method is provided. The processor includes a first programmable location configured to store a processor power target. A power monitor is configured to estimate a measured power dissipation within the processor. A power controller is configured to adjust a processor power parameter based on the power target and the measured power dissipation. The processor may include an interface for an operating system. A second programmable location may be configured to store a software processor power target accessible by the operating system. The processor may also include a sideband interface for an external agent. A third programmable location may be configured to store an agent processor power target accessible by the external agent. The power controller may be configured to adjust a processor core voltage and/or frequency such that the measured dissipation stays below the processor power target, software processor power target and the agent processor power target. | 06-21-2012 |
20120159199 | POWER DELIVERY NOISE REDUCTION ON A MEMORY CHANNEL - A device, computer system, and method are disclosed. In one embodiment, the device includes a memory buffer driver circuit that can drive signals on a memory channel at a given voltage level. The voltage at the voltage level is supplied to the memory buffer driver circuit from a rail of a power delivery network. The voltage level exhibits a repeatable fluctuation cycle at a resonant frequency of the power delivery network. The device also includes an on-die termination logic circuit that asserts a first termination resistance on the memory channel after the memory channel enters an idle state but before the voltage level reaches a peak of the repeatable fluctuation cycle. The on-die termination logic circuit then deasserts the first termination resistance on the memory channel at a later point in time. | 06-21-2012 |
20120159200 | METHOD AND APPARATUS FOR SELECTIVE HEATING FOR ELECTRONIC COMPONENTS OF A HANDHELD DEVICE - A method and apparatus for thermal management of components and functional subsystems of a handheld device, including for a peripheral device electrically coupled to thereto. A power source provides power to a plurality of functional subsystems of the handheld device and optionally the peripheral device. The components and functional subsystems comprise predetermined thermal signatures. | 06-21-2012 |
20120159201 | METHOD AND APPARATUS TO CONFIGURE THERMAL DESIGN POWER IN A MICROPROCESSOR - A technique to change a thermal design power (TDP) value. In one embodiment, one or more environmental or user-driven changes may cause a processor's TDP value to be changed. Furthermore, in some embodiments a change in TDP may alter a turbo mode target frequency. | 06-21-2012 |
20120159202 | POWER SUPPLY APPARATUS SUITABLE FOR COMPUTER - A power supply apparatus suitable for a computer is provided. The provided power supply apparatus includes an isolated DC-DC converter, an auxiliary power conversion circuit and a switching circuit. The isolated DC-DC converter receives and converts an input voltage, so as to generate a first main power. The auxiliary power conversion circuit receives and converts the input voltage, so as to generate an auxiliary power. The switching circuit receives the first main power and the auxiliary power, wherein the switching circuit outputs the received auxiliary power to be served as a standby power of the power supply apparatus when the power supply apparatus is in a standby state; moreover, the switching circuit outputs the received first main power to be served as the standby power of the power supply apparatus when the power supply apparatus is in an operation state. | 06-21-2012 |
20120159203 | UTILIZING NETWORKED 3D VOLTAGE REGULATION MODULES (VRM) TO OPTIMIZE POWER AND PERFORMANCE OF A DEVICE - A method, system, and computer program for using an array of networked 3D voltage regulation modules (VRMs) to optimize power usage by components on a voltage island in real time is presented. The networked VRM devices work in parallel to supply adequate power to connected voltage islands, and to supplement other VRMs in the system that may require additional power in the case of a critical event. | 06-21-2012 |
20120166819 | Power Management of Optical Access Networks - Power management is performed in an optical access network to reduce energy consumption. Service information is determined about traffic at the first node. Power management is controlled based on the determined service information. The first node can control power management at the first node and/or the second node. The first node can categorize traffic according to service and determine traffic activity per service. Service information can include service type of the traffic, traffic class of the traffic, and/or quality of service requirements of the traffic. | 06-28-2012 |
20120166820 | RESTART CIRCUIT OF SERVER - A restart circuit includes a voltage input terminal, a diode, an electronic switch, a restart signal output terminal, and a timing chip. The voltage input terminal is connected to an anode of the diode. A cathode of the diode is connected to a power pin and a reset pin of the chip and connected to a trigger pin of the chip through a resistor. A trigger pin of the chip is grounded through a capacitor. A count pin of the chip is grounded through a capacitor. A discharge pin and a threshold pin of the chip are connected and grounded through a resistor and a capacitor in that order. An output pin of the chip is connected to a control terminal of the switch. A first terminal of the switch is grounded. A second terminal of the switch is connected to the restart signal output terminal. | 06-28-2012 |
20120166821 | START-UP CONTROL APPARATUS AND METHOD - A start-up control apparatus includes a switch, an advanced configuration and power interface (ACPI) controller, a power supply, and a control chip. The switch creates a trigger signal. The trigger signal includes a first falling-edge and a first rising-edge. The control chip includes a control module and a monitoring module. | 06-28-2012 |
20120166822 | MECHANISMS FOR ENABLING POWER MANAGEMENT OF EMBEDDED DYNAMIC RANDOM ACCESS MEMORY ON A SEMICONDUCTOR INTEGRATED CIRCUIT PACKAGE - Power management of an embedded dynamic random access memory (eDRAM) by receiving an eDRAM power state transition event and determining both the current power state of the eDRAM and the next power state of the eDRAM from the power states of: a power-on state, a power-off state, and a self-refresh state. Using the current power state and the next power state to determine whether a power state transition is required, and, in the case that a power state transition is required, transition the eDRAM to the next power state. Power management is achieved because transitioning to a power-off state or self-refresh state reduces the amount of power consumed by the eDRAM as compared to the power-on state. | 06-28-2012 |
20120166823 | MECHANISMS FOR UTILIZING EFFICIENCY METRICS TO CONTROL EMBEDDED DYNAMIC RANDOM ACCESS MEMORY POWER STATES ON A SEMICONDUCTOR INTEGRATED CIRCUIT PACKAGE - Power management of an embedded dynamic random access memory (eDRAM) using collected performance counter statistics to generating a set of one or more eDRAM effectiveness predictions. Using a set of one or more eDRAM effectiveness thresholds, each corresponding to one of the set of eDRAM effectiveness predictions, to determine whether at least one eDRAM effectiveness prediction has crossed over threshold. In the case that at least one eDRAM effectiveness prediction has crossed over its threshold, transitioning the eDRAM to a new power state. Power management is achieved by transitioning to a power-off state or self-refresh state and reducing the amount of power consumed by the eDRAM as compared to a power-on state. | 06-28-2012 |
20120166824 | POWER CONTROLLER IN INFORMATION PROCESSOR - A power controller ( | 06-28-2012 |
20120173888 | SYSTEM AND METHOD FOR MICROECONOMIC OPTIMIZATION OF POWER USAGE IN A DEVICE - A system and method for optimizing power distribution in a closed system. In an electronic device, one may apply a plurality of driving algorithms for components that provide different variations functionality. Thus, each component may be operated according to one of several different algorithms depending on the level and manner of functionality needed. In this manner, the overall system may be optimized for any number of operating modes such that each component may conserve electrical power usage while still providing the needed functionality for specific components during each operating mode. Such an optimization assessment may be a function of an economic model applied to the system whereby functionality and components are assigned specific values and costs based on the required functionality for any given task. Thus, the amount of power available may be allocated in an efficient manner based on a cost-benefit analysis. | 07-05-2012 |
20120173889 | Power Saving Hardware - A method and apparatus are provided which allow telecommunication equipment to adjust its power consumption. By replicating the functionality of a standard component for processing traffic on a general purpose CPU, traffic can be routed within the equipment to the general purpose CPU for processing instead of the component under certain conditions. If the maximum bandwidth supported by the functionality on the general purpose CPU is less than the maximum bandwidth supported by the component, then the component can be powered down during times of low traffic and the traffic routed to the general purpose CPU instead. Since the maximum bandwidth supported by the functionality on the general purpose CPU is less than the maximum bandwidth supported by the component, less power is necessary to operate the telecommunication equipment and hence cost is reduced. | 07-05-2012 |
20120173890 | System Timeout Reset Based on Media Detection - In embodiments of system timeout reset based on media detection, an electronic device includes an interface connection that couples the electronic device to a peripheral. A power manager application is implemented to timeout the interface connection if user interaction with the electronic device is not detected for a timeout duration. A media data monitor is implemented to detect audio data in an audio channel of the interface connection, and initiate a reset of the timeout duration responsive to the audio data being detected. Video can continue to be rendered by the peripheral if the audio data is detected to initiate the reset of the timeout duration. | 07-05-2012 |
20120173891 | CIRCUIT FOR CLEARING CMOS DATA - A circuit includes a computer reset button, a computer standby power, and a relay. The relay includes a coil, a first contact connected to a complementary metal oxide semiconductor (CMOS) clearing signal pin of a computer, a second contact connected to a system reset pin of the computer, and a control portion. A first terminal of the coil is connected to the computer standby power. A second terminal of the coil is grounded. A first terminal of the control portion is grounded through the computer reset button. When the computer standby power works, the second terminal of the control portion is connected to the second contact. When the computer standby power does not work, the second terminal of the control portion is connected to the first contact. | 07-05-2012 |
20120173892 | COMPUTER MOTHERBOARD AND CPU VOLTAGE REGULATOR POWER SUPPLY LAYOUT METHOD - A computer motherboard includes a motherboard substrate defining a CPU loading area and a CPU voltage regulator power supply layout area. The power supply layout area is equally divided into a number of phase regions, and each of the phase regions has a number of spaced circuit layers. The circuit layers in the phase regions are symmetrical about a central axis of the CPU loading area, and a difference between each two simulating impedances between an impedance center of each of the phase regions and a loading center of the CPU loading area is within 1%. | 07-05-2012 |
20120173893 | Processing Device and Operation System Utilizing the Same - A processing device coupled to a host device via a connection device and including a connection port, a control unit and a switching unit is disclosed. The connection port connects to the connection device. The control unit controls a switching signal according to the kind of the connection device when the connection port is coupled between the connection port and the host device. The switching unit transmits an external power to the control unit according to the switching signal. When the switching unit transmits the external power to the control unit, the control unit operates according to the external power provided by an external device. When the switching unit does not transmit the external power to the control unit, the control unit operates according to a host power provided by the host device. | 07-05-2012 |
20120173894 | DATA CENTER - A data center inside a shipping container having a lower plenum and an upper plenum in its interior. Heated air in the upper plenum exits therefrom into a plurality of heat exchangers adjacent thereto. Air cooled by the heat exchangers travels toward and enters the lower plenum. The data center includes a plurality of carriages each having an equipment receiving portion located between an open bottom portion in open communication with the lower plenum, and an open top portion in open communication with the upper plenum. Fans inside each of the carriages draw cooled air up from the lower plenum into the open bottom portion of the carriage, blow the cooled air up through the equipment receiving portion thereby cooling any computing equipment received therein, and vent the cooled air through the open top portion into the upper plenum. | 07-05-2012 |
20120173895 | METHOD, APPARATUS, AND SYSTEM FOR ENERGY EFFICIENCY AND ENERGY CONSERVATION INCLUDING BALANCING POWER AMONG MULTI-FREQUENCY DOMAINS OF A PROCESSOR BASED ON EFFICIENCY RATING SCHEME - The efficiency rating (ER) of each domain, in a processor, may be compared and then the power budget may be allocated, effectively, among the domains based on the ERs of the domains. The ER may indicate relative advantage among domains in terms of performance return for a given power budget, i.e., a higher effectiveness may be expected in power utilization if the ER is higher for a domain. The ER of a domain may be defined as (scalability factor/cost factor*alpha). The scalability factor may be defined as a performance increase (in %) brought about by an increase in the clock frequency (in %) provided to the domain. The cost factor may be defined as a power budget value required in bringing about an increase in the clock frequency provided to the domain and alpha is an adjustment factor. | 07-05-2012 |
20120173896 | CONTROL DEVICE, IMAGE FORMING APPARATUS, AND CONTROL METHOD - A power supply circuit receives power from a power supply and supplies the power to loads including a main controller and a first sub-controller performing response action through a network when the supply of power to the main controller is blocked and the image forming apparatus is connected to the network. A second sub-controller controls the blocking of the supply of power to the main controller. The sub-controller determines whether to block the supply of power to the main controller, determines a connection state of the image forming apparatus to the network when the first determination unit determines to block the supply of power, blocks the supply of power to the first sub-control unit according to the determination result, and detects a factor for resuming the supply of power to the main controller and a factor for blocking the supply of power to all or a part of the loads. | 07-05-2012 |
20120173897 | LOCATION BASED POLICY SYSTEM AND METHOD FOR CHANGING COMPUTING ENVIRONMENTS - A system and method for changing computing environments for a mobile platform device. The mobile platform includes a sensory and location determination engine coupled to a policy engine. The sensory and location determination engine determines a current location of the mobile platform device and the policy engine determines what applications to launch, what applications not to launch, and what core usage to invoke for the determined location of the mobile platform device. | 07-05-2012 |
20120179922 | POWER MANAGEMENT IN LINK AGGREGATION FOR DATA TRANSMISSION - A data query instruction for execution is received, wherein execution of the data query instruction is to cause a data transfer into or from a data warehouse. The data warehouse includes data servers that are communicatively coupled for data transfer through a link aggregation group having at least two data links. Responsive to receiving the data query instruction and prior to or at least partially overlapping with execution of the data query instruction to cause the data transfer, which of the number of data servers are to receive or transmit data as part of the data transfer is identified; for each of the identified data servers, a minimum number of the at least two data links that are needed for the data transfer are identified; and if inactive, the minimum number of the at least two data links for each of the identified data servers are activated. | 07-12-2012 |
20120179923 | MEMORY DEVICE, DISPLAY DEVICE EQUIPPED WITH MEMORY DEVICE, DRIVE METHOD FOR MEMORY DEVICE, AND DRIVE METHOD FOR DISPLAY DEVICE - A memory device can perform a first operation mode in which a discrete level is supplied to cause the memory cell to retain a logical level, and prevent unnecessary power consumption due to an operation of a power source which is unnecessary in the first operation mode. The memory device includes: a first power source (VDD) for supplying a first potential level; a second power source (VSS) for supplying a second potential level, a third power source (GVDD) for supplying a potential higher than a highest potential of discrete levels; and a fourth power source for supplying a potential lower than a lowest potential of the discrete levels, the first and second potential levels being used to supply the discrete levels, when the first operation is carried out, VDD, VSS, and GVDD being caused to be in operation and the fourth power source being stopped from being in operation. | 07-12-2012 |
20120185703 | Coordinating Performance Parameters in Multiple Circuits - Systems and methods for coordinating performance parameters in multiple domains are described. In an embodiment, a method includes receiving a request to change a state of an electronic circuit, where the circuit includes a first domain and a second domain, causing a first parameter of a first circuit serving the first domain to be modified to a first modified parameter based on the request, and causing a second parameter of a second circuit serving the second domain to be modified to a second modified parameter based on the request. In some cases, a parameter may include a clock frequency. In other cases, a parameter may include a voltage. In some embodiments, a system may be implemented as a logic circuit and/or as a system-on-a-chip (SoC). Devices suitable for using these systems include, for example, desktop and laptop computers, tablets, network appliances, mobile phones, personal digital assistants, e-book readers, televisions, and game consoles. | 07-19-2012 |
20120185704 | SELF-ORGANIZED POWER AND ENERGY CONTROL AND MANAGEMENT SYSTEMS AND METHODS - Systems and methods self-organize a multifunctional power and energy control and management system by integrating multiple backplane based modules through module descriptions. Dynamic data table structures may be configured based on information provides with the module descriptions and provide for improved data accessing, storing, and updating. | 07-19-2012 |
20120185705 | POWER SUPPLY CIRCUIT FOR A CPU - A power supply circuit for a CPU (central processing unit) includes a CPU, a power supply, a plurality of voltage adjustment modules, a pulse width modulator, a plurality of resistivity selection modules, and a module management unit. The resistivity selection module includes an electronic switch, a first resistor, a second resistor, a third resistor, and a fourth resistor. When the CPU operates abnormally, the power supply circuit utilizes the resistors in the resistivity selection module as voltage dividers, thereby restricting MOSFET or other components to operate in a normal voltage range. | 07-19-2012 |
20120185706 | METHOD, APPARATUS, AND SYSTEM FOR ENERGY EFFICIENCY AND ENERGY CONSERVATION INCLUDING DYNAMIC CONTROL OF ENERGY CONSUMPTION IN POWER DOMAINS - An apparatus, method and system is described herein for dynamic power control of a power domain. A power limit over a time window is provided. And over a control loop period a power interface determines energy consumption of the power domain, intelligently budgets power among devices within the power domain based on the energy consumption, converts those budgets to performance maximums for the power domain, and limits performance of devices in the power domain to the performance maximums utilizing a running average power limit. | 07-19-2012 |
20120185707 | METHOD AND DEVICE FOR POWER MANAGEMENT AND CONTROL OF ADVANCED TELECOM COMPUTING ARCHITECTURE SYSTEM - An Advanced Telecom Computing Architecture system and a method for power management and control of the system are disclosed. The system includes a Front Board (FRB) and a Rear Transition Module (RTM)/Front Transition Module (FTM). The FRB includes a first power conversion/control module that supplies power to the FRB and RTM/FTM. The system further includes a control circuit that outputs control signal, and a second power conversion/control module that supplies power to the RTM/FTM according to the control signal. | 07-19-2012 |
20120191987 | POWER PROTECTION SYSTEM FOR POWER SUPPLY - A power protection system for a power supply includes a power unit, a microcontroller, a connector, a current sensing resistor, a hot-swap controller, and an electronic switch having first to third terminals. The first terminal is connected to the connector through the current sensing resistor. The second terminal is connected to the power unit. The third terminal is connected to a control pin of the hot-swap controller. First and second sensing pins of the hot-swap controller are respectively connected to two ends of the current sensing resistor. A monitoring pin of the hot-swap controller is connected to the second terminal. A clock pin and a data pin of the hot-swap controller are connected to two terminals of the microcontroller. | 07-26-2012 |
20120191988 | Portable Electronic Device and Method for Adjusting System Performance Thereof - A method for adjusting a system performance of a portable electronic device is disclosed. The portable electronic device is connected to a power adapter for obtaining external power supply. The method comprises the steps of: receiving a voltage signal outputted from the power adapter; determining whether to execute an over current protection by the power adapter; calculating a recovering time of the voltage signal if the over current protection is executed by the power adapter, wherein the recovering time is a time period from stopping an output of the voltage signal to restarting the output thereof; and determining an output power of the power adapter to adjust the system performance of the portable electronic device according to the recovering time. | 07-26-2012 |
20120191989 | INFORMATION PROCESSING APPARATUS WITH POWER SAVING MODE, AND CONTROL METHOD AND COMMUNICATION APPARATUS THEREFOR - An information processing apparatus capable of suppressing occurrence of a failure in the processing to respond to reception information received from external equipment. In a normal power mode, a CPU of a main controller executes processing to respond to incoming packets, thereby generating response packets. In a power saving mode, a microprocessor of a LAN interface executes processing to respond to incoming packets to generate response packets. During return processing for return from power saving mode to normal power mode, the LAN interface transfers an incoming packet to the main controller and to the microprocessor of the LAN interface. | 07-26-2012 |
20120191990 | SYSTEMS APPARATUS AND METHODS BLOCKING A POWER TRANSITION - Systems, apparatus, and methods are disclosed for blocking a power-transition. The method discloses: receiving a power-transition signal; monitoring a present-state of the computer; comparing the present-state of the computer, to a predetermined set of blocked power-transition states; and blocking the power-transition signal from being effected, if the present-state of the computer corresponds to one of the blocked power-transition states. The system discloses: blocked power-transition states; a power control module, and a power control circuit. The apparatus discloses: a blocking switch, and a power control module. | 07-26-2012 |
20120191991 | MOBILE SYSTEM ON CHIP (SoC) AND A MOBILE TERMINAL INCLUDING THE MOBILE SoC - A mobile System on Chip (SoC) including a central processing unit (CPU) and an audio out module that includes a buffer and an audio interface. A power mode of the audio out module is controlled separately from a power mode of the mobile SoC so that the audio out module operates when the mobile SoC is in a power down mode. | 07-26-2012 |
20120198245 | INFORMATION HANDLING SYSTEM LOW POWER IMAGE CUSTOMIZATION - Directly powering an information handling system non-volatile storage device through an external connector allows imaging of the non-volatile storage device through the external connector with the information handling system in a powered down state. Imaging in a powered down state by providing power and image data to the non-volatile storage device directly through an external connection allows imaging while the information handling system is packaged, such as at intermediate shipping locations. | 08-02-2012 |
20120198246 | AUTOMATED INFRASTRUCTURE MANAGEMENT SYSTEMS AND METHODS FOR ENABLING REAL TIME ENERGY MANAGEMENT - Automated infrastructure management systems and methods document infrastructure elements within a facility, provide a comprehensive record of all network-connected equipment within a facility, and facilitate trouble shooting of network-connected equipment. An automated infrastructure management system includes a plurality of intelligent patch panels, each comprising a plurality of connector ports connected to individual communication channels of a network, a controller in communication with at least some of the intelligent patch panels that obtains connectivity information for the intelligent patch panel's ports, and management software in communication with the controller. The management software performs various functions including correlating the interconnection information for the intelligent patch panels with the physical location information for telecommunications in its database, applying energy management policies to a respective communication channel, providing real time physical location information for devices connected to communication channels to a network switch, and displaying real time physical location information of the devices. | 08-02-2012 |
20120198247 | Energy Allocation to Groups of Virtual Machines - A method for managing energy. A processor unit identifies a plurality of groups of virtual machines in a computer system. The processor unit allocates the energy in the computer system to the plurality of groups of virtual machines based on a policy. | 08-02-2012 |
20120198248 | PLATFORM POWER MANAGEMENT BASED ON LATENCY GUIDANCE - Embodiments of a system for receiving power management guidelines from a first plurality of components of a system, and developing a power management policy to manage one or more of a second plurality of components of the system based at least in part on the received power management guidelines. Other embodiments are described. | 08-02-2012 |
20120198249 | Shared Power Domain Dynamic Load Based Power Loss Detection and Notification - The advanced management module services in a data processing system are configured to determine the system load and provide an input to the early power off warning detection logic that evaluates the power system state to detect a condition when power resources are insufficient to maintain the write caching storage system power within defined acceptable limits. The early power off warning detection logic generates a notification based on the system load and the available power supply resources to maintain maximum availability and reliability characteristics. | 08-02-2012 |
20120198250 | PORTABLE TERMINAL EQUIPMENT, A POWER SUPPLY SYSTEM, AND A POWER SUPPLY METHOD AND A POWER SUPPLY PROGRAM FOR PORTABLE TERMINAL EQUIPMENT - A portable terminal equipment capable of operating for a long time is provided. The portable terminal equipment includes: a plurality of circuits separated galvanically and a power supply switching switch which switches power supply to the plurality of circuits between power supply from a USB (Universal Serial Bus) and power supply from a battery for each of respective circuits included in the plurality of circuits. | 08-02-2012 |
20120204041 | Profiling An Application For Power Consumption During Execution On A Compute Node - Methods, apparatus, and products are disclosed for profiling an application for power consumption during execution on a compute node that include: receiving an application for execution on a compute node; identifying a hardware power consumption profile for the compute node, the hardware power consumption profile specifying power consumption for compute node hardware during performance of various processing operations; determining a power consumption profile for the application in dependence upon the application and the hardware power consumption profile for the compute node; and reporting the power consumption profile for the application. | 08-09-2012 |
20120210142 | INFORMATION PROCESSING APPARATUS, AND CONTROL METHOD AND COMPUTER PROGRAM THEREFOR - In an information processing apparatus, a transmitter forwards received data. A significance determination unit determines significance of the received data. Based on the significance of the received data, an operation mode selection unit selects which operation mode to apply. Power management information stored in a memory describes what power management is to be performed in each different operation mode. A control unit performs power management of the transmitter, with reference to the stored power management information corresponding to the selected operation mode. | 08-16-2012 |
20120210143 | ELECTRONIC APPARATUS AND ELECTRONIC APPARATUS SYSTEM - An electronic apparatus includes: a DC-DC converter for supplying power to an external device connected via a USB | 08-16-2012 |
20120210144 | SYSTEM AND METHOD FOR EXTENDING THE USB POWER SIGNAL - A system and method for extending the USB VBUS power signal. A system for extending the USB VBUS power signal includes at least one PCA board. The system includes a USB host. The USB host outputs a new power signal compliant with USB VBUS power signal requirements. The VBUS signal may be connected to a voltage converter to change the voltage level to a desired enable signal for the voltage supply at the receiving end of the system. The VBUS signal may be connected to logic to change the polarity of the enable signal. The enable signal is routed across traces on the one or more PCA boards. A voltage supply is located on a PCA board and receives the enable signal. The enable signal causes the voltage supply to output a new power signal that is compliant with USB VBUS power signal requirements. | 08-16-2012 |
20120210145 | Customized Power Rating for Computer Equipment - A mechanism is provided for customized power rating for computer equipment. A power monitor determines actual power consumption by computer equipment in a facility. After equipment has been stressed, an operator sends the actual power consumption information to the manufacturer. The manufacturer receives the actual power consumption information and issues a certificate that acknowledges the equipment will not consume more power than what was measured. The manufacturer may provide digital media that instructs power management hardware in the computer equipment to adhere to an actual case power limit. The power management hardware treats the actual case power limit as a hard power limit. That is, the power management hardware will not specify a power cap higher than the actual case power limit. The power management hardware prevents the equipment from crossing the actual case power limit. | 08-16-2012 |
20120216053 | METHOD FOR CHANGING PLACEMENT OF VIRTUAL MACHINE AND APPARATUS FOR CHANGING PLACEMENT OF VIRTUAL MACHINE - A method for changing a deployment of a virtual machine performed by a computer, at least one of the virtual machines deployed respectively in M physical machines, the method includes obtaining, by the computer, information of remaining capacities of the physical machines from the M physical machine, the remaining capacities which indicate how many virtual machines are deployed in the physical machines, changing, by the computer, the deployment of a virtual machine deployed in the M physical machines to M+N−1 or less physical machines among the M physical machines when a number of physical machines of which remaining capabilities are equal to or greater than a predetermined capability is less than a predetermined number by N. | 08-23-2012 |
20120216054 | METHOD AND APPARATUS FOR CONTROLLING POWER IN LOW-POWER MULTI-CORE SYSTEM - A method and apparatus for controlling power in a low-power multi-core system, including receiving task information from an Operation System (OS) kernel upon start and end of a task, estimating a future CPU usage using a current CPU usage in the task information, monitoring memory-related information in the task information, comparing a change in the current CPU usage with the monitored memory-related information, establishing a policy for power control based on the estimated CPU usage and the monitored memory-related information, and controlling on/off of multiple cores according to the established policy. By doing so, it is possible to solve the problems caused by performing DPM using only the CPU usage. | 08-23-2012 |
20120221868 | POWER-ALLOCATION INTERFACE - Management of battery resources of an electronic device are disclosed. The electronic device has a battery, a display in communication with the battery, multiple subsystems in communication with the battery, and a user interface in communication with the subsystems. On the display is presented an indication of usage of the battery resources by the subsystems in executing functions by the device. With the interface, a user directive is received to reallocate the usage of the battery resources. The usage of the battery resources are thus reallocated in accordance with the user directive. | 08-30-2012 |
20120221869 | Accessory Power Management - Methods, apparatus, and circuits for managing power among portable computing devices and one or more accessories. One example provides commands to improve power management between a portable computing device and one or more accessories. Other examples provide commands that may allow a portable computing device to charge at a maximum available current level while providing an accessory with sufficient current for its proper operation. Another may help prevent a portable computing device from drawing a high level of current that could be detrimental to an accessory, while others provide commands that may allow a battery pack to instruct a portable computing device to not charge its internal battery. Another example may allow a portable computing device to determine which power supply among multiple power supplies should be used to power an accessory, while others may allow an accessory to retrieve charging current parameters from a portable computing device. | 08-30-2012 |
20120226918 | Non-intrusive Power Management - A method and system for managing power consumption of a pool of computing devices that are logically grouped to provide a common set of functionality is disclosed. One aspect of certain embodiments includes predicting resource utilization for each device without installing customized software, firmware or hardware on the device. | 09-06-2012 |
20120226919 | STAGGERED POWER-UP AND SYNCHRONIZED RESET FOR A LARGE ASIC OR FPGA - Methods and apparatus for controlling the power-on current transients and for providing a gradual current draw in an ASIC or FPGA having a high gate count and a number of physical blocks are disclosed. Additionally, method(s) are disclosed which ensure related blocks emerge from a reset state on a common clock cycle even when the related blocks are geographically dispersed over a large area producing multiple clock cycle latency periods for signals between blocks. Complete flexibility of physical block start up is achieved by software control which permits the sequence and number of physical blocks started simultaneously. | 09-06-2012 |
20120226920 | SYSTEMS AND METHODS OF DATA TRANSMISSION AND MANAGEMENT - Data communications systems and methods comprise a conductive media infrastructure in communication with a baseband data universe propagating at least one first signal and a broadband data universe propagating at least one second signal. At least one segmentation device is in communication with the conductive media infrastructure and partitions the broadband data universe from the baseband data universe. A coupling device is in communication with the at least one segmentation device and modulates transmission parameters of the second signal such that information travels within the broadband data universe via the conductive media infrastructure and avoids the baseband data universe. Power distribution and management systems and methods are also provided which preserve power distribution via a baseband data universe while one or more devices communicate energy data via a broadband data universe | 09-06-2012 |
20120233473 | Power Management in Networks - In one implementation, the power consumption by network devices may be managed by accessing a routing protocol that manages an allocation of processing resources in a network. The routing protocol may be used for generating a first configuration, for which a utilization of resources may be determined. A first cost for the first configuration may be determined. A second configuration may be identified to support the utilization of the resources. A second cost may be determined for the second configuration. The first cost may be compared to the second cost. The prospective performance of the network for the second configuration may be assessed. Based on the results of the comparison and the assessment, the network may be configured to use the second configuration. Processing resources may be activated on inactive network devices to support the second configuration and deactivated on active network devices that are not utilized in the second configuration. | 09-13-2012 |
20120233474 | POWER SUPPLY AND CONTROL METHOD THEREOF - A first power supply is configured to feed power to a computer. The power supply includes a virtual machine management unit configured to transmit an instruction to any one of a second virtual host that is executed on the computer and a fourth virtual machine that is run in the second virtual host. Here, the fourth virtual machine is configured to control a virtualization management system including virtual hosts different from the second virtual host. | 09-13-2012 |
20120233475 | CLUSTER SYSTEM - A cluster system of mutual standby type forms groups each composed of a job execution system and a job standby system for taking over the job when problems occur in the job execution system, and provides information processing devices each with a job execution system and a job standby system of different groups. The information processing devices each include: an upper limit value storage unit for storing a power upper limit value, a power control unit for controlling use of power by its own information processing device so as not to exceed the power upper limit value stored, and an upper limit value setting unit for causing the upper limit value storage unit to store a power upper limit value smaller than the maximum power consumption of its own information processing device when it is not necessary to take over the job of another information processing device. | 09-13-2012 |
20120233476 | Using a Control Policy to Implement Power Saving Features - Managing power-consumption, for use in a storage system comprising first data stored on one or more storage devices is provided. A receiver receives a policy comprising a power-management parameter, a first parameter and a rule associated with the policy. An analyser, responsive to receipt of a policy, analyzes second data associated with the rule. A determiner, responsive to the analysis, determines third data in accordance with the second data. The third data is associated with migration of the first data. | 09-13-2012 |
20120239945 | DIRECTING A POWER SIGNAL FROM A PORT POWER CONTROLLER TO ONE OF MULTIPLE PHYSICAL PORTS - A technique is able to direct a power signal from a port power controller to one of multiple physical ports. The technique involves activating a set of port power controllers. Each port power controller is constructed and arranged to deliver power to a device through at most one physical port at a time. The technique further involves performing a set of discovery operations to discover device presence, the set of discovery operations providing discovery data. The technique further involves providing, based on the discovery data provided by the set of discovery operations, a set of switching signals to switching circuitry which is coupled to a set of physical ports. The switching circuitry is constructed and arranged to steer power signals from the activated set of port power controllers through the set of physical ports to deliver power to a set of devices. | 09-20-2012 |
20120239946 | Independent Power Control of Processing Cores - Independent power control of two or more processing cores. More particularly, at least one embodiment of the invention pertains to a technique to place at least one processing core in a power state without coordinating with the power state of one or more other processing cores. | 09-20-2012 |
20120239947 | NETWORK BASED POWER MANAGEMENT - A method and device are described including receiving an input signal at a device, determining a source of the received input signal and selectively powering on portions of the device responsive to the determination. | 09-20-2012 |
20120246491 | SERVER SYSTEMS HAVING SEGREGATED POWER CIRCUITS FOR HIGH AVAILABILITY APPLICATIONS - According to one embodiment, a server system includes a motherboard partition that includes a motherboard and at least one processor coupled to the motherboard, with each processor being coupled to a memory. The server system also includes a storage partition that includes the memory, and a power circuit being capable of supplying current to the motherboard partition and the storage partition independently, the power circuit including at least two redundant power supplies in parallel in the power circuit, with each redundant power supply being capable of providing an amount of current necessary to operate the server system, and the motherboard partition is adapted to run a server OS. In another embodiment, an active cluster system may include two server systems, with the motherboard partition from each server system being capable of communicating with the other server system's storage partition even if power is removed from the other system's motherboard partition. | 09-27-2012 |
20120246492 | REMOTE POWER GROUP DISTRIBUTION CONTROL SYSTEM AND METHOD THEREFOR - A remote power group distribution control system has a host computer, at least one network server and multiple power distribution units (PDUs) connected to the host computer through the at least one network server. The host computer has a power distribution control module. Each PDU is connected with multiple power consuming equipment having identical or similar characteristics to supply an operating power and an uninterruptible power. When the power distribution control module is executed, the host computer searches the PDUs connected thereto through the at least one network server, displays all power-consuming equipment connected to each PDU, generates a group management window to assign each power-consuming equipment to a group, and remotely controls the power consuming equipment on a group basis. Accordingly, the present invention addresses a solution conveniently powering on or off remote power-consuming equipment pertaining to a group. | 09-27-2012 |
20120246493 | PROTOCOLS FOR REPORTING POWER STATUS OVER MULTIPLE BUSES - An automated power reporting system is provided in one aspect. The system includes one or more devices that can report or transmit power status information over a bus or network. A protocol component utilizes a generalized protocol to process or convert the power status information over the network in order to facilitate power management operations for a plurality of devices. In this manner, devices that send power information can interact and exploit personal computing resources in order to better help users manage limited power resources for their respective devices. | 09-27-2012 |
20120246494 | TEMPERATURE-PROFILED DEVICE FINGERPRINT GENERATION AND AUTHENTICATION FROM POWER-UP STATES OF STATIC CELLS - A method, system and computer program product for generating device fingerprints and authenticating devices uses initial states of internal storage cells after each of a number multiple power cycles for each of a number of device temperatures to generate a device fingerprint. The device fingerprint may include pairs of expected values for each of the internal storage cells and a corresponding probability that the storage cell will assume the expected value. Storage cells that have expected values varying over the multiple temperatures may be excluded from the fingerprint. A device is authenticated by a similarity algorithm that uses a match of the expected values from a known fingerprint with power-up values from an unknown device, weighting the comparisons by the probability for each cell to compute a similarity measure. | 09-27-2012 |
20120246495 | METHOD AND APPARATUS TO AUTHENTICATE A POWER SUPPLY - A power bus monitor for use in an electronic product is coupled to receive power from an external power supply. The power bus monitor includes a signal detector coupled to an output of the external power supply to receive and demodulate information encoded on the output of the external power supply into a sequence of bits. A decoder is coupled to receive the sequence of bits from the signal detector and decrypt the sequence of bits. A logical comparator is coupled to receive the sequence of bits decrypted by the decoder. The logical comparator is coupled to assert an authentication signal indicating the external power supply is authorized to provide power to the electronic product when the logical comparator recognizes the sequence of bits decrypted by the decoder as a key. | 09-27-2012 |
20120246496 | DEVICE FOR CONNECTING TWO APPARATUSES VIA AN ETHERNET LINK, AND DOCKING STATION FOR ONE OF SAID APPARATUSES - A connection device for connecting a piece of master electronic equipment to a piece of peripheral electronic equipment, each being provided with a respective external connection port of a first type, the device comprising a master connection unit and a peripheral connection unit, each of which is provided with an external connection port of the first type for connecting to the corresponding piece of equipment, and which are connected to each other via electrical isolation using a link of a second type, namely of the Ethernet type, having a transmit line and a receive line, each unit including a first type/Ethernet interface connected to said lines and a power supply module mounted in common mode between the transmit and receive lines in order to transmit or receive AC over the link. A docking station for peripheral equipment including such a device. | 09-27-2012 |
20120254631 | Mode of Operation of a Portable Device - A portable device to determine whether a user is holding the portable device, launch a mode of operation of the portable device if the user is holding the portable device, and modify an amount of power supplied to a component of the portable device based on the mode of operation. | 10-04-2012 |
20120254632 | CONTROLLING OF A POWER STATE UNDER EXTREME TEMPERATURES - A system for controlling a network access device is provided. The system includes a processor, the network access device, and a control circuit. The processor being in communication with the network access device through a communication link. The control circuit monitoring a temperature of the network access device and activating or deactivating the network access device based on the temperature. | 10-04-2012 |
20120254633 | POWER CONTROL MANAGER AND METHOD - A power control manager includes a processor to compute available power from a power source and a comparator to compare the available power to an amount of power to concurrently operate a plurality of sub-systems of an electronic device at full or a predetermined power. The processor generates one or more control signals in response to a decision signal output from the comparator. The control signals may indicate that a maximum power setting is to be set for a first sub-system and a reduced non-zero power setting is to be set for a second sub-system of the plurality of sub-systems. The sub-systems may be different sub-systems of a smartphone or another electronic device. | 10-04-2012 |
20120254634 | PREDICTING BATTERY POWER USAGE - A method for predicting battery power usage includes, collecting information relating to battery power consumption due to tasks performed by the physical computing system powered by a battery, and notifying a user in response to a determination that tasks associated with an upcoming event stored in a calendar application of the physical computing system are projected to exhaust the battery. A computing system includes a processor, a memory communicatively coupled to the processor, and a battery to power the computing system. The processor is configured to collect information relating to battery power consumption due to tasks performed by the computing system, and notify a user in response to a determination that tasks associated with an upcoming event stored in a calendar application of the computing system are projected to exhaust the battery. | 10-04-2012 |
20120254635 | DEVICES THAT RECEIVE DATA WHILE NOT DIRECTLY POWERED - Devices that receive data while not directly powered and methods of operating devices that receive data while not directly powered are described herein. One or more device embodiments include an interface configured to receive data from an additional device while the device is not directly powered, a memory coupled to the interface and configured to receive the data from the interface while the device is not directly powered and store the data while the device is not directly powered, and a user interface configured to permit a user to interact with the computing device. The computing device is configured to operate in at least two different power modes, wherein the computing device is powered only via the interface while operating in a first power mode and the computing device is powered by a non-radio frequency (non-RF) power source while operating in a second power mode. | 10-04-2012 |
20120254636 | CONTROL APPARATUS AND CONTROL METHOD - A control apparatus includes a capacitor to store electric power supplied from the power supply unit and to supply the stored electric power to the control apparatus when the power supply from the power supply unit is stopped, a first nonvolatile memory, a second nonvolatile memory, a first controller, and a second controller. The first controller writes the data, stored in the cache memory, into the first nonvolatile memory when the external power supply is stopped verifies whether the data stored in the first nonvolatile memory is normal, and sends information of area where the data in the first nonvolatile memory is not normal when the verification indicates that the writing is not normal. And the second controller writes the information sent from the first controller into the second nonvolatile memory. | 10-04-2012 |
20120254637 | INFORMATION TERMINAL AND METHOD OF REDUCING INFORMATION LEAKAGE - An information terminal includes: a storage unit to store information; a data encryption unit to encrypt the information and decrypt the encrypted information using an encryption key; a pre-deletion unit to delete the encryption key; a signal detection unit to detect an interruption signal; a pre-deletion setting unit to instruct the pre-deletion unit to delete the encryption key in response to the detection of the interruption signal; and a deletion stop unit to stop deletion of the encryption key by the pre-deletion unit. | 10-04-2012 |
20120254638 | ELECTRONIC DEVICE - A USB electronic device with a power source loaded therein, which is connected to another USB electronic device via a USB connector, comprises a voltage detection unit that detects a voltage at an identification pin of the USB connector, a power supply control unit that controls connection/disconnection between a power supply pin of the USB connector and the power source based upon a change in voltage at the identification pin detected by the voltage detection unit and an allow/disallow control unit that executes control to allow/disallow detection of the voltage change at the identification pin. | 10-04-2012 |
20120260109 | POWER MANAGEMENT INTEGRATED CIRCUIT USING A FLEXIBLE SCRIPT-BASED CONFIGURATOR AND METHOD FOR POWER MANAGEMENT - A power management integrated circuit incorporates (a) a microprocessor; (b) a non-volatile memory accessible by the microprocessor for storing programs executable by the microprocessor; (c) a random access memory accessible by the microprocessor; (d) an external interface which allows an external device to communicate with the power management integrated circuit; and (e) power regulators providing regulated output voltages from the power management integrated circuit, each power regulator being controllable by the microprocessor and the external interface over the register-controlled bus. A second external interface may be provided, which is used to provide a configuration file descriptive of power requirements of a system in which the power management integrated circuit is deployed. Such a system may include a system-on-a-chip (SOC) integrated circuit. Initially, the microprocessor of the power management integrated circuit executes a power sequence to provide the power-up power supply voltages to the SOC integrated circuit. Thereafter, the SOC integrated circuit controls power-up sequences for the peripheral devices. The configuration file is derived from a script file that is editable by a text editor or a word processor. The script file may be based on a scripting language that allows specifying control of the power regulators of the power management integrated circuit. | 10-11-2012 |
20120260110 | POWER SUPPLY DEVICE, PORTABLE ELECTRONIC APPARATUS AND RELATED METHOD FOR DETERMINING TYPES OF A POWER SUPPLY DEVICE - A portable electronic apparatus is connected to a power supply device via a transmission line, and it includes a designated connector, a charge control circuit, and a judgment circuit. The designated connector includes five terminals respectively corresponding to five pins of a USB connector of the power supply device. When the power supply device is connected to the portable electronic apparatus, the first terminal of the designated connector is logic high, the fourth terminal of the designated connector is logic high, and the third terminal of the designated connector is logic high after pulling up the voltage level of the second terminal, the judgment circuit pulls down the voltage level of the third terminal and detects the voltage level of the third terminal so as to generate a determining result for determining a type of the power supply device. | 10-11-2012 |
20120260111 | Energy Consumption Optimisation for Web Applications - A method and related apparatus, the method comprising: detecting, in a device, an event triggering a web resource related to a web page; estimating energy consumption of the event triggering the web resource; comparing the estimated energy consumption to a predetermined value of available energy budget; and in response to the estimated energy consumption exceeding the predetermined value of the available energy budget, indicating the exceeding to the user of the device. | 10-11-2012 |
20120266001 | SYSTEM, METHOD AND MOBILE TERMINAL FOR SHARING BATTERY BETWEEN MOBILE TERMINALS - A system, a method, and a mobile terminal for sharing a battery between mobile terminals are disclosed, which can solve a problem that the battery can not be shared between mobile terminals in the prior art. In accordance with the present invention, a mobile terminal having power supplying ability is able to supply power to a mobile terminal lacking power by an external power supply line, power control circuits of the power supply end and the power utilization end are controlled, the power utilization end is able to detect an electricity quantity of the power supply end, and the sharing of a battery between two mobile terminals can be achieved, thereby greatly increasing utilization modes of the battery without external charging power supply so as to facilitate the using of a user. | 10-18-2012 |
20120272074 | I/O Module with Power-Uninterruptible USB Port - An I/O module such as a card reader module for connecting a computer having a first and second USB port is disclosed. The I/O module includes an I/O controller connecting the first USB port, a charging controller connecting the second USB port and a third USB port connecting the charging controller. The I/O controller determines what a power state of the computer is according to communication between the first USB port and the I/O controller and then sends a control signal depending upon the power state to the charging controller. The charging controller changes the third USB port into a pure charger mode without data transfer or a normal mode with both charging and data transfer capabilities according to the control signal. | 10-25-2012 |
20120272075 | ENERGY-EFFICIENT SERVER LOCATION DETERMINATION - A heat potential value for each of a set of available server locations is calculated via a data center controller based upon at least one active server in a data center. A minimal calculated heat potential value for the set of available server locations is identified. An available server location associated with the identified minimal calculated heat potential value is selected from the set of available server locations. A maximal calculated heat potential value is identified for the set of available server locations. An available server location associated with the identified maximal calculated heat potential value is selected from the set of available server locations. A server located at the selected available server location associated with the identified maximal calculated heat potential value is automatically de-energized. | 10-25-2012 |
20120272076 | INDUCTION TYPE POWER SUPPLY SYSTEM WITH SYNCHRONOUS RECTIFICATION CONTROL FOR DATA TRANSMISSION - An induction type power supply system with synchronous rectification control for data transmission is disclosed to include a supplying-end module with a supplying-end coil and a receiving-end module with a receiving-end coil for receiving power supply from the supplying-end coil and providing a feedback data signal to the supplying-end coil during power supply transmission subject to the operation of a rectifier and signal feedback circuit of the receiving-end module that breaks off electric current transiently to change the load characteristics of the receiving-end coil, assuring a high level of data signal transmission stability and a high level of power transmission efficiency. | 10-25-2012 |
20120278636 | REMOTE WAKE MECHANISM FOR A NETWORK SYSTEM AND REMOTE WAKE METHOD THEREOF - A network system with wake-up on LAN (WOL) mechanism and a wake-up on LAN method are disclosed. The network system includes: a first network device in a first local area network; a second network device in a second local area network, wherein the first local area network and the second local area network are different; and, a match server in a wide area network, wherein the first network device and the second network device perform data transmission through the match server. | 11-01-2012 |
20120278637 | METHOD AND APPARATUS FOR PERFORMING ENERGY-EFFICIENT NETWORK PACKET PROCESSING IN A MULTI PROCESSOR CORE SYSTEM - A method and apparatus for managing core affinity for network packet processing is provided. Low-power idle state of a plurality of processing units in a system including the plurality of processing units is monitored. Network packet processing is dynamically reassigned to processing units that are in a non-low power idle state to increase the low-power idle state residency for processing units that are in a low-power idle state resulting in reduced energy consumption. | 11-01-2012 |
20120284537 | DEVICE POWER MANAGEMENT USING COMPILER INSERTED DEVICE ALERTS - Technologies and implementations for device power management using compiler inserted device alerts are generally disclosed. | 11-08-2012 |
20120284538 | POWER SUPPLY ASSEMBLY FOR A TERMINAL HAVING ETHERNET ENERGY SUPPLY - A power supply assembly for a terminal having Ethernet energy supply, includes a split device with an Ethernet energy supply connection (A | 11-08-2012 |
20120284539 | POWER MANAGEMENT SYSTEM CAPABLE OF SAVING POWER AND OPTIMIZING OPERATING EFFICIENCY OF POWER SUPPLIES FOR PROVIDING POWER WITH BACK-UP OR REDUNDANCY TO PLURAL LOADS - The present invention relates to a power management system comprising at least one power management subsystem. Each power management subsystem comprises a first power module coupled to a first load and comprising at least one first power supply for supplying power to the first load; a second power module coupled to a second load and comprising at least one second power supply, wherein at least one second power supply is retractably installed in the second power module and selectively coupled to the second load; and a pass-through module comprising at least one pass-through unit retractably installed in the second power module to replace with the at least one second power supply and selectively connecting the first power module to the second load for allowing the first power module to supply power to the second load. | 11-08-2012 |
20120284540 | Managing Power Consumption Of A Computer - Methods, computers, and products for managing power consumption of a computer, the computer including a computer processor and managing power consumption of a computer includes: dynamically during operation of the computer, setting, by an in-band power manager in dependence upon performance metrics of the computer processor, a current performance state (‘p-state’) of the computer processor; and providing, by the in-band power manager to an out-of-band power manager, the current p-state of the computer processor. | 11-08-2012 |
20120284541 | POWER DELIVERY IN A HETEROGENEOUS 3-D STACKED APPARATUS - A heterogeneous three-dimensional (3-D) stacked apparatus is provided that includes multiple layers arranged in a stacked configuration with a lower layer configured to receive a board-level voltage and one or more upper layers stacked above the lower layer. The heterogeneous 3-D stacked apparatus also includes multiple tiles per layer, where each tile is designed to receive a separately regulated voltage. The heterogeneous 3-D stacked apparatus additionally includes at least one layer in the one or more upper layers with voltage converters providing the separately regulated voltage converted from the board-level voltage. | 11-08-2012 |
20120284542 | POWER MANAGEMENT FOR SYSTEMS ON A CHIP - A method for controlling a multitasking microprocessor system includes monitoring the multitasking microprocessor system connected to an interconnect, the monitoring comprising monitoring performance of a plurality of processing units forming a producer-consumer system on the interconnect, and issuing commands to the plurality of processing units to provide operations and power distributions to the plurality of processing units such that the performance and power modes are assigned to the plurality of processing units based on the monitoring. | 11-08-2012 |
20120290852 | SYSTEM AND METHOD FOR VOLTAGE REGULATOR OPTIMIZATION THROUGH PREDICTIVE TRANSIENT WARNING - In accordance with the present disclosure, a system and method for voltage regulator optimization though predictive transient warning is described. The system may include a transient load, a controller and a voltage regulator. The controller may manage a control state of the transient load and generate a transient notification signal in response to an upcoming transient event at the transient load. The voltage regulator may provide power to the transient load and may change its mode of operation to prepare for the upcoming transient event in response to the transient notification signal. | 11-15-2012 |
20120290853 | INDICATOR LIGHT CONTROL CIRCUUIT - An indicator light control circuit includes a basic input output system (BIOS) integrated circuit (IC), a microcontroller, a signal control unit, an electronic switch, and an indicator light. The microcontroller includes a general purpose input output (GPIO) pin. When different operating options of the indicator light are set into and by the BIOS IC, the BIOS IC controls the GPIO pin of the microcontroller to output command signals, such as a low voltage signal, a high voltage signal and pulse signals, then the signal control unit generates and outputs signals according to the command signals. Thus, the electronic switch is operated to turn on or turn off or to pulse when controlling the operation of the indicator light(s). | 11-15-2012 |
20120290854 | CIRCUIT PROTECTION SYSTEM AND METHOD - The present disclosure provides a circuit protection system and a circuit protection method for an electronic system. The circuit protection system includes a main chip, a power chip powering the main chip, a basic input/output system (BIOS) and a control unit. The control unit detects the potential of a PW_OK signal output from the power chip to the main chip, and gets the current state of the electronic system through the BIOS, thereby determining whether the current state of the main chip corresponds to a predetermined state for the main chip which defined in the current state of the electronic system. If not, the control unit outputs a signal to disable the main chip. The present disclosure disables the main chip instantly when the voltage provided thereto is excessive or insufficient, thereby avoiding the damage caused by excessive or insufficient voltage. | 11-15-2012 |
20120290855 | INFORMATION PROCESSING APPARATUS, METHOD FOR CONTROLLING THE SAME, AND STORAGE MEDIUM - An information processing apparatus includes a processing unit, a power supply control unit configured to control powering on and off of the processing unit, and a controller unit configured to access the processing unit irrespective of a power supply state of the processing unit. The power supply control unit powers off a processing unit that is not in use, powers on a processing unit that is accessed by the controller unit, and outputs a predetermined control signal to the controller unit. The controller unit recognizes that an error occurs in a case where, after accessing a processing unit, the controller unit does not receive a response from the accessed processing unit until a time-out time elapses, and delays recognizing the error when the control signal is received. | 11-15-2012 |
20120290856 | POWER CONTROL APPARATUS, METHOD FOR CONTROLLING THE SAME AND STORAGE MEDIUM - A power control apparatus uses a job history stored in a second storage unit to update, at a predetermined time interval, a power-off sequence table defining a sequence in which power supply is to be stopped for devices when a smart power meter has requested power supply reduction, inquires the smart power meter regarding the amount of power to be reduced when a power reduction request has been received therefrom, and stops power supply to devices in accordance with the reduction power amount, the device power consumption amounts, and the power-off sequence table. | 11-15-2012 |
20120290857 | ADAPTIVE NETWORK AND METHOD - A plurality of modules interact to form an adaptive network in which each module transmits and receives data signals indicative of proximity of objects. A central computer accumulates the data produced or received and relayed by each module for analyzing proximity responses to transmit through the adaptive network control signals to a selectively-addressed module to respond to computer analyses of the data accumulated from modules forming the adaptive network. Interactions of local processors in modules that sense an intrusion determine the location and path of movements of the intruding object and control cameras in the modules to retrieve video images of the intruding object. Multiple operational frequencies in adaptive networks permit expansions by additional networks that each operate at separate radio frequencies to avoid overlapping interaction. Additional modules may be introduced into operating networks without knowing the operating frequency at the time of introduction. Remote modules operating as leaf nodes of the adaptive network actively adapt to changed network conditions upon awaking from power-conserving sleep mode. New programs are distributed to all or selected modules under control of the base station. | 11-15-2012 |
20120290858 | Power Control for PXI Express Controller - A PXI Express controller may be configured to support at least three different conditions under which the controller may be turned on. If a chassis (e.g. a PXI Express chassis) interfacing with the controller has a power button, the first condition (which may be considered normal operation) may be met when the power button is being pressed at a time auxiliary power is present. When the chassis does not have a power button, the second condition may be met when the power is switched on at a time auxiliary power is present, and the third condition may be met when the power is switched on at a time auxiliary power is not present. A state-machine comprised in the PXI Express controller may be configured to interface with a chipset equally comprised in the PXI Express controller, to perform the control functions required to provide the support for the three different conditions. | 11-15-2012 |
20120297207 | METHOD, APPARATUS, AND COMPUTER PROGRAM PRODUCT FOR CABLE DETECTION AND POWER NEGOTIATION - Method, apparatus, and computer program product embodiments of the invention are disclosed for negotiation protocols for power delivery from a first device to a connected second device. In example embodiments of the invention, a method comprises: determining whether a connector includes a cable indication that indicates its cable is capable of conducting an elevated power level above a level of a legacy cable; transmitting an offer to a device connected by the cable, to provide one or more supported power capabilities above the level of a legacy cable, if the cable indication is determined to indicate that the cable is capable of conducting an elevated power level above the level of a legacy cable; and transmitting an offer to a device connected by the cable, to provide the power level of a legacy cable, if the cable indication is determined to indicate that the cable is not capable of conducting an elevated power level above the level of a legacy cable. | 11-22-2012 |
20120297208 | COMPUTER WAKE UP CIRCUIT - A computer wake up circuit, for awaking a computer which is in a sleep state via a computer serial port, includes an AT89 series microcontroller, a switching circuit, an input circuit. The switching circuit is coupled to the pins P | 11-22-2012 |
20120297209 | METHOD AND APPARATUS FOR MANAGING POWER IN COMPUTER SYSTEMS - The invention is directed towards minimizing power consumption in computer systems. One embodiment of the invention is a power management system that is used for a computer system that has at least one device and one power domain. This embodiment uses two different power managers to manage the power consumption of the device and the power domain. Specifically, this embodiment has (1) a first power manager that determines when to change power state of the device, and (2) a second power manager that determines when to change power state of the power domain. Each of these power managers decides to change the power state of its corresponding device or domain based on information from several different sources. These sources can include power-management clients and power managers of related domains or devices. | 11-22-2012 |
20120297210 | INTEGRATED CIRCUIT (IC) CARD SYSTEM - An integrated circuit (IC) card is disclosed. The IC card includes a microprocessor and memory module configured to perform a transaction associated with the IC card, and an interface device providing a power input line from an external source. The IC card also includes a power management module coupled between the microprocessor and memory module and the interface device to convert power from the power input line into electric charge, to store the electric charge internally, and to provide power to the microprocessor and memory module when the external source does not provide sufficient power to the IC card. | 11-22-2012 |
20120303976 | DATA STORAGE APPARATUS - A data storage apparatus includes a storage unit; a read/write console connected electrically to an external power supply source; a storage function unit; a power switch connected electrically to the power supply source, the storage unit and the storage function unit in such a manner that in a normal condition, the storage unit is charged electrically by the power supply source via the power switch, and that in an abnormal condition, the storage unit is supplied with electrical power from the power switch via the storage function unit so as to permit continuation of the read/write operation within the storage unit. A current detection unit detects current of the storage function unit in the abnormal condition and upon detecting current of the storage function unit reaching below a predetermined threshold value, the current detection unit generates and transmits a reset signal to the read/write console such that the read/write console orders a reset of the read/write operation. | 11-29-2012 |
20120303977 | POWER SUPPLY CIRCUIT - A power supply circuit includes a voltage converting module, a detecting module, a processor, and a selecting module. The voltage converting module includes at least one output port, each of which is connected to one load circuit to form a loop circuit. The detecting module can be selectively connected to a selected one of the formed loop circuits to detect at least one parameter of the loop circuit. The processor controls the selecting module to connect the detecting module to the selected loop circuit, and further determines the current of the loop circuit according to the at least one parameter. | 11-29-2012 |
20120303978 | COMPUTER INTEGRAL DEVICE SYSTEM AND METHOD THEREOF - A computer integral device includes a detection unit for detecting whether an external electronic device is in a determined position, wherein the external electronic device has been turned on; and a computer host, coupled to the detection unit. When the external electronic device is detected to be in the determined position, a power enable signal is sent to the computer host so as to activate the computer host to execute a computer turn on process. After the computer turn on process is executed by the computer host, the external electronic device may display image data received via a wireless communication link established between the computer host and the external electronic device. | 11-29-2012 |
20120303979 | COMPUTER APPARATUS AND POWER GENERATOR THEREOF - A power generator adaptive to a computer apparatus is provided. The power generator includes a logic operating unit, a power converting module, and a power management module. The logic operating unit receives a power pulse signal generated by a power button when the power button is pressed. The logic operating unit generates a power enabling signal according to the power pulse signal. The power converting module receives the power enabling signal and generates an internal voltage by converting an external voltage according to the power enabling signal. The power management module receives the internal voltage and the power pulse signal, and latches a generating state of the internal voltage according to the power pulse signal to generate a power stable signal. The power management module further provides the power stable signal to the logic operating unit to maintain a generating state of the power enabling signal. | 11-29-2012 |
20120303980 | WIRELESS POWER UTILIZATION IN A LOCAL COMPUTING ENVIRONMENT - Various embodiments of a wirelessly powered local computing environment are described. The wireless powered local computing environment includes at least a near field magnetic resonance (NFMR) power supply arranged to wirelessly provide power to any of a number of suitably configured devices. In the described embodiments, the devices arranged to receive power wirelessly from the NFMR power supply must be located in a region known as the near field that extends no further than a distance D of a few times a characteristic size of the NFMR power supply transmission device. Typically, the distance D can be on the order of 1 meter or so. | 11-29-2012 |
20120303981 | Providing power to powered device having multiple power supply inputs - A system for providing power to a load, having first and second power supply inputs respectively responsive to first and second input signals from first and second power supply sources to supply power to the load. For example, the first power supply input may be configured for supplying the load with power received from a communication link, such as an Ethernet link, and the second power supply input may be configured for supplying the load with power from an auxiliary power source. A power converter is provided to produce an output signal for supplying power to the load in response to the second input signal. The power converter is controlled to produce the output signal in accordance with a value of the first input signal. | 11-29-2012 |
20120311351 | DISTRIBUTED POWER SYSTEM PROCESSING FOR COMMUNICATION DEVICE - A system and method for managing processing resources in a communication device having a power unit with distributed processing capability. In one aspect, digital power control processors that are configured to perform power system tasks and signal processing tasks are reconfigured by reallocating the tasks among the processors in order to balance the processing loads. | 12-06-2012 |
20120311352 | POWER CIRCUIT FOR DATA STORAGE DEVICE - A power circuit which is applicable to a data storage device. A boost circuit receives a first voltage and converts it to a second voltage. A charging and discharging circuit receives the second voltage and charges a charging capacitor. As long as a voltage detecting circuit detects that the second voltage exists, it outputs a first selection signal. When the voltage detecting circuit detects that the second voltage does not exist, it outputs a second selection signal and also outputs a signal to the charging and discharging circuit, to release a stored voltage. A voltage selection circuit will output the second voltage according to the first selection signal, or will output the stored voltage from the charging capacitor according to the second selection signal. Buck circuits convert the second voltage or the stored voltage to the different voltages required by a control chip of the data storage device. | 12-06-2012 |
20120311353 | PARALLEL PROCESSING COMPUTER SYSTEMS WITH REDUCED POWER CONSUMPTION AND METHODS FOR PROVIDING THE SAME - A computing system is provided that includes a web page search node including a web page collection, a web server, and a search page returner. | 12-06-2012 |
20120311354 | Power Adapter and Portable Computer - A power adapter for a portable computer includes a pulse width modulation unit and an output shaping unit and further includes a first judgment module that judges whether the portable computer is in a first state according to state judging parameters, and compares an output voltage with a first preset voltage and a second preset voltage when judging that the portable computer is in the first state, and acquires a judging result, wherein the first preset voltage is greater than or equal to the second preset voltage. The power adapter can increase efficiency. | 12-06-2012 |
20120311355 | MANAGING POWER ALLOCATION TO ETHERNET PORTS IN THE ABSENCE OF MUTUALLY EXCLUSIVE DETECTION AND POWERING CYCLES IN HARDWARE - A method of allocating power to ports in an Ethernet switch, including: ( | 12-06-2012 |
20120317425 | POWER SUPPLY CONTROL SYSTEM AND METHOD - A remote computer can be used to control power supply devices corresponding to baseboard management controllers (BMCs) of cloud servers of a data center. The remote computer writes parameters into the BMC of the remote computer. The remote computer obtains information from each of the BMCs of the cloud servers. The remote computer sends a command every time interval to each of the BMCs of the cloud servers in a sequence to start the power supply devices corresponding to each of the BMCs of the cloud servers according to the obtained information. | 12-13-2012 |
20120317426 | DISTRIBUTED ANTENNA SYSTEM USING POWER-OVER-ETHERNET - A system is provided for adjusting power provided to a device. The system can include power sourcing equipment and a sub-system. The power sourcing equipment can provide power to a powered device via a channel. The sub-system can determine an amount by which to increase the power based on a resistance of the channel. The power sourcing equipment or the powered device can adjust the power (or load) responsive to a command from the sub-system. The sub-system can include a measurement device and a processor. The measurement device can measure an output voltage of the power sourcing equipment, an input voltage of the powered device, and a current on the channel. The processor can determine the resistance of the channel based on the output voltage, the input voltage, and the current. The processor can output a command specifying an increase or decrease in power supplied by the power sourcing equipment. | 12-13-2012 |
20120317427 | Hardware Automatic Performance State Transitions in System on Processor Sleep and Wake Events - In an embodiment, a power management unit (PMU) may automatically transition (in hardware) the performance states of one or more performance domains in a system. The target performance states to which the performance domains are to transition may be programmable in the PMU by software, and software may signal the PMU that a processor in the system is to enter the sleep state. The PMU may control the transition of the performance domains to the target performance states, and may cause the processor to enter the sleep state. In an embodiment, the PMU may be programmable with a second set of target performance states to which the performance domains are to transition when the processor exits the sleep state. The PMU may control the transition of the performance domains to the second targeted performance states and cause the processor to exit the sleep state. | 12-13-2012 |
20120324245 | WIRELESS CLOUD-BASED COMPUTING FOR RURAL AND DEVELOPING AREAS - A framework that enables a local computing cloud infrastructure for rural (and third world) populations with the ability to connect into the global cloud. The framework include is a low cost architecture of long distance, wireless based, renewable energy powered, and small datacenter (DC) (referred to as a pico-DC) nodes that can fully operate off-grid, both power-wise and Internet connection-wise at a very low cost. Additionally, the framework includes power management and storage techniques that effectively enable low power and efficient power use. Thus, systems are self-sufficient, low maintenance and weather proof with no need for power or data connections. | 12-20-2012 |
20120324246 | SHARED NON-VOLATILE STORAGE FOR DIGITAL POWER CONTROL - Systems and methods may be implemented in a power device subsystem topology to provide an arbitration and communication scheme between a single consolidated non-volatile random access (NVRAM) memory device and multiple discrete digital power controller devices in a manner that provides data protection and the ability to update the full NVRAM content when needed. | 12-20-2012 |
20120324247 | POWER SUPPLY CIRCUIT FOR CPU - An exemplary power supply circuit includes a voltage adjustment module electrically coupled to a CPU, a first jumper block, a second jumper block, a first jumper, and a second jumper. The first jumper block includes a first pin electrically coupled to a power source, a second pin electrically coupled to the voltage adjustment module, and a third pin grounded. The first (second) jumper electrically connects the first pin of the first (second) jumper block to the second pin of the first (second) jumper block or electrically connects the second pin of the first (second) jumper block to the third pin of the first (second) jumper block, thereby changing signal output by the second pin of the first (second) jumper. The voltage adjustment module adjusts a phase number power supply to supply corresponding phase power to the CPU based on the voltages output by the second pins. | 12-20-2012 |
20120324248 | METHOD, APPARATUS, AND SYSTEM FOR ENERGY EFFICIENCY AND ENERGY CONSERVATION INCLUDING POWER AND PERFORMANCE BALANCING BETWEEN MULTIPLE PROCESSING ELEMENTS - An apparatus, method and system is described herein for efficiently balancing performance and power between processing elements based on measured workloads. If a workload of a processing element indicates that it is a bottleneck, then its performance may be increased. However, if a platform or integrated circuit including the processing element is already operating at a power or thermal limit, the increase in performance is counterbalanced by a reduction or cap in another processing elements performance to maintain compliance with the power or thermal limit. As a result, bottlenecks are identified and alleviated by balancing power allocation, even when multiple processing elements are operating at a power or thermal limit. | 12-20-2012 |
20120324249 | COMPUTER MOTHERBOARD - A motherboard includes a CPU socket, a BIOS chip, a Southbridge chip, and a voltage regulator module (VRM). The BIOS chip is configured for rendering a BIOS UI. The BIOS UI includes a voltage setting menu, the voltage setting menu includes a number of voltage setting options, each of which, when selected, determines a unique voltage setting. The Southbridge chip is configured for storing the voltage setting and generating a unique voltage setting signal corresponding to the voltage setting. The VRM is configured for converting an input voltage into a supply voltage for the CPU socket according to the voltage setting signal. | 12-20-2012 |
20120324250 | Architecturally Homogeneous Power-Performance Heterogeneous Multicore Processor - For multicore power performance management, a first core has a first architecture and is designed for a first voltage-frequency domain. A second core has the first architecture and that is designed for a second voltage-frequency domain. | 12-20-2012 |
20120324251 | OPTIMIZED HIBERNATE MODE FOR WIRELESS DEVICE - A system and method for reducing in boot time in an electronic device. In one embodiment, a command to power off the electronic device is received. An amount of information stored in at least one of the plurality of memory banks of RAM containing data is calculated. A determination is made as to whether the RAM has sufficient storage space to store compacted data and also form a RAM disk in a portion of the RAM, wherein the step of determining is based at least in part on the amount of information calculated. A RAM disk is initiated in an available memory bank of RAM. The RAM is compacted and a hibernate command is executed wherein the RAM disk is a target for storing the compacted RAM. Power is maintained to memory banks that form the RAM disk and the processor of the portable communication device is set to a power collapse mode. | 12-20-2012 |
20120324252 | DYNAMIC BATTERY CAPACITY ALLOCATION FOR DATA RETENTION AMONG MOBILE COMPUTERS AND ELECTRONIC DEVICES - Systems devices and/or methods that facilitate dynamic battery capacity allocation are presented. Extended use times or smaller form factors can be achieved for devices employing dynamic battery capacity allocation. By determining factors that can include the type of memory, the usage of the memory, and/or the user's preference to continue to use a device rather than retain data in a volatile memory for a period of time before supplying alternative power, the device can be available for use for longer periods of time on a battery or a smaller battery can be used to achieve similar use time. | 12-20-2012 |
20120324253 | DYNAMIC VOLTAGE TRANSITIONS - The operating voltage of an integrated circuit (e.g., a processor) is changed in response to one or more conditions (e.g., a laptop computer is connected to an AC power source). Both the operating frequency and the operating voltage of the integrated circuit are changed. The voltage regulator providing the operating voltage to the integrated circuit is caused to transition between voltage levels using one or more intermediate steps. The integrated circuit continues to operate in the normal manner both at the new voltage and throughout the voltage transition. | 12-20-2012 |
20120331310 | Increasing Power Efficiency Of Turbo Mode Operation In A Processor - In one embodiment, a processor has multiple cores to execute threads. The processor further includes a power control logic to enable entry into a turbo mode based on a comparison between a threshold and value of a counter that stores a count of core power and performance combinations that identify turbo mode requests of at least one of the threads. In this way, turbo mode may be entered at a utilization level of the processor that provides for high power efficiency. Other embodiments are described and claimed. | 12-27-2012 |
20120331311 | POWER MANAGEMENT SYSTEM AND METHOD - A power management system includes a plurality of electronic devices, a power distribution unit, a power management unit and a power control unit. The power distribution unit is connected with the electronic devices for providing electricity to the electronic devices. The power management unit is connected to a network and the electronic devices, so that the electronic devices are connected with the network through the power management unit. The power control unit is connected with the power management unit through the network. The power control unit is configured for controlling the power management unit, thereby sequentially starting the electronic devices. | 12-27-2012 |
20120331312 | USB CHARGING CIRCUIT FOR A COMPUTER - A Universal Serial Bus (USB) charging circuit for a computer includes a USB interface, a USB power terminal, a standby power terminal, a switch unit, a IC chip, and a control unit. The control unit disconnects the USB interface from the standby power terminal when receiving a high voltage level from the system power terminal or a first control signal from the IC chip. The control unit connects the standby power terminal supply to the USB interface when receiving a second control signal from the IC chip and the first switch signal from the switch unit; the control unit disconnects the standby power terminal supply from the USB interface when receiving a second control signal from the IC chip and the second switch signal from the switch unit. | 12-27-2012 |
20120331313 | IMAGE FORMING APPARATUS, POWER SUPPLY CONTROL METHOD, AND COMPUTER-READABLE STORAGE MEDIUM - An image forming apparatus includes a main power supply; a power generation unit configured to generate electric power with natural energy; a secondary battery configured to serve as a power supply source while the electric power is not supplied from the main power supply, the secondary battery being charged with the electric power generated by the power generation unit; a voltage detector configured to detect an output voltage of the secondary battery; and a switching unit configured to switch the power supply source from the secondary battery to the main power supply when the output voltage becomes equal to or lower than a first threshold, and switch the power supply source from the main power supply to the secondary battery when the output voltage becomes equal to or higher than a second threshold that is higher than the first threshold. | 12-27-2012 |
20120331314 | LOGICAL POWER THROTTLING - A processor includes a device providing a throttling power output signal. The throttling power output signal is used to determine when to logically throttle the power consumed by the processor. At least one core in the processor includes a pipeline having a decode pipe; and a logical power throttling unit coupled to the device to receive the output signal, and coupled to the decode pipe. Following the logical power throttling unit receiving the power throttling output signal satisfying a predetermined criterion, the logical power throttling unit causes the decode pipe to reduce an average number of instructions decoded per processor cycle without physically changing the processor cycle or any processor supply voltages. | 12-27-2012 |
20130007473 | Adaptive Power Management - Disclosed are various embodiments of adaptive management of a device. The adaptive management includes, e.g., power management, energy management, and diagnostics. In one embodiment, a device including a power management unit (PMU) communicatively coupled to a processor is configured to transmit a status notification to the processor in response to an interrupt signal; obtain a high level state command from the processor in response to the status notification, and modify power operation of the device in response to the high level state command. In another embodiment, a method for charging a power source includes obtaining, by a PMU of a device, operational characteristics of a power supply in communication with the device; determining a power supply type based at least in part upon the operational characteristics; and controlling charging of the power source based at least in part upon the power supply type. | 01-03-2013 |
20130007474 | PROVIDING POWER TO A COMMUNICATION DEVICE VIA A DEVICE SWITCH - Example embodiments disclosed herein relate to a device switch having a connection port and a communication port. The connection port is to receive data and power via a single network cable connection from a network switch. The communication port is to pass the power from the network cable connection at the connection port to a communication device. The communication device and a data device share a numeric interface of a keyboard. The communication port is to provide power to the communication device when the data device is not powered. | 01-03-2013 |
20130007475 | EFFICIENT FREQUENCY BOOST OPERATION - Systems and methods of operating a computing system may involve identifying a plurality of state values, wherein each state value corresponds to a computing thread associated with a processor. An average value can be determined for the plurality of state values, wherein a determination may be made as to whether to grant a frequency boost request based at least in part on the average value. | 01-03-2013 |
20130007476 | METHOD, APPARATUS AND SYSTEM FOR DETERMINING AN INTERFACE DURING A POWER STATE TRANSITION - Techniques for determining a communication interface of a computer platform. In an embodiment, a power management unit of a computer platform provides to an agent of the platform an indication of a power state. In certain embodiments, the agent determines, based on the indication of the power state, that an interface is expected to be available. The agent may designate information for transmission via the first interface—e.g. in lieu of transmitting the information via a second interface which is available prior to the first interface becoming available. | 01-03-2013 |
20130007477 | SERVER - A server includes an enclosure, a motherboard, a number of hard disk drives (HDDs), a number of built-in batteries, and a server power module. The motherboard includes a power management interface and a base management controller. When the server power module works normally, the server power module outputs a voltage to the motherboard to power the motherboard, and charge the built-in batteries. When the server power module cannot work normally, the built-in batteries output voltages to the motherboard to keep the motherboard working, while the base management controller stores data of the server to the HDDs and powers off the motherboard. | 01-03-2013 |
20130007478 | TESTING SYSTEM FOR BACKUP BATTERY MODULE OF STORING SYSTEM - A storing system is connected between an external power supply module and a server. The server is connected to external power supply module. The server switches off the external power supply module with the power source when the measured batch file is wholly transmitted to the first storing module. The backup battery module supplies power to the first storing module. The server compares the files stored in the permanent storing module with the measured batch file to determine whether or not the backup battery module is in working order. | 01-03-2013 |
20130007479 | Packetized Power - Methods, systems, and devices are disclosed for producing and delivering packetized power within a DC computing environment. Within the DC computing environment a power requirement or request is communicated to a power router. The power router then determines a power source capable of fulfilling the power requirement and then causes the power to be delivered in packetized form. The packetized power is appended to a message header which allows the power packet to be received by the requesting device. | 01-03-2013 |
20130013935 | Power supply system for an electronic flight bag - A control system for providing electrical power to an electronic flight bag device on an aircraft. The control system including a power switching component coupled to a plurality of power sources and at least one electronic flight bag device. The power switching component is operative and configured to selectively apply electrical power from at least one of the plurality of power sources to the at least one electronic flight bag device based upon a condition of the aircraft. | 01-10-2013 |
20130013936 | DYNAMIC POWER MANAGEMENT SYSTEM FOR UNIVERSAL SERIAL BUS (USB) HUB AND METHOD THEREOF - A dynamic power management system for USB hub and method thereof are described. The dynamic power management system includes a host device, a power unit and a hub device. A power management module disposed in the hub device dynamically adjusts the power-supplying statuses of ports in the hub device and further reduces the cost of power transformer externally connected to the hub device. | 01-10-2013 |
20130013937 | Information Processing Device and Method for Starting Up Information Processing Device - After a power switch | 01-10-2013 |
20130019112 | Power control moduleAANM Chien; Chi-MingAACI Taipei CityAACO TWAAGP Chien; Chi-Ming Taipei City TW - A power control module installed in the power supply device of a computer for power control is disclosed to include an electric switch member for switching on/off power supply conduction between the power supply device and the motherboard of the computer, and a sensor for sensing the power-on/off current signal of the motherboard of the computer and driving the electric switch member to switch off power supply conduction between the power supply device and the motherboard of the computer when the user switched off the computer after work. | 01-17-2013 |
20130019113 | HOST DEVICE AND TERMINAL DEVICE, AND COMMUNICATION SYSTEMAANM YAMAYA; JunAACI KanagawaAACO JPAAGP YAMAYA; Jun Kanagawa JP - A host-side control unit | 01-17-2013 |
20130019114 | MOBILE TERMINAL AND POWER CONTROL METHODAANM Sumida; HaruhikoAACI KanagawaAACO JPAAGP Sumida; Haruhiko Kanagawa JP - A mobile terminal includes: a tamper-detection circuit ( | 01-17-2013 |
20130024702 | CONNECTING MODULE FOR COUPLING OUTPUT ENDS OF A HOST DEVICE TO AN EXTERNAL STORAGE DEVICE AND METHOD THEREOF - A connecting module includes a plurality of input ends and an output end. The output end of the connecting module is coupled to an external storage device. When at least two input ends of the connecting module are coupled to corresponding output ends of the host device, the connecting module outputs power supplied by the host device to the external storage device via the plurality of input ends. This way, the connecting module outputs power to the external device when at least two input ends of the connecting module are coupled to the host device, for ensuring the external storage device to receive sufficient power at the instant the external storage device receives power. | 01-24-2013 |
20130024703 | POWER DOCKING STATION AND UNIVERSAL TRANSMISSION INTERFACE - A power docking station includes at least one first interface, for connecting to a data transmission interface; at least one second interface, for connecting to a power transmission interface; and an interface control module, coupled to the at least one first interface and the at least one second interface, for allotting power which is transmitted to the first and the second interface. | 01-24-2013 |
20130024704 | METHOD AND SYSTEM FOR ENHANCING COMPUTER PERIPHERAL SAFETY - A method and system for enhancing computer peripheral safety are configured to monitor and/or isolate alternating current (A.C.) supplies with and/or from any peripheral subsystems or devices. An exemplary method and system comprises an A.C. supply, a host computer system, and a peripheral subsystem or device connected to the host computer system, such as an ultrasound imaging and/or therapy peripheral, and an isolation subsystem configured for monitoring and/or isolating the A.C. supply from the peripheral subsystem or device. In accordance with an exemplary embodiment, an isolation subsystem comprises application software and associated modules and functions that when executed continuously monitors and/or polls the host computer's hardware and/or operating system for the presence of an isolated source, such as a battery, or an unisolated power source, such as through a battery charger and/or other connection path to the A.C. main supply. | 01-24-2013 |
20130031377 | Information Handling System Wireless Power Docking Station Module - A wireless power module couples to an information handling system to accept power from a wireless power source and to provide the power to the information handling system through a docking station connector of the information handling system. The wireless power module selectively decouples from the information handling so that an end user can elect to reduce the footprint of the information handling system by removing the wireless power module when not taking advantage of wireless power. | 01-31-2013 |
20130031378 | Power Transfer in an Inline Switch Device - An apparatus and method for transferring power in an inline switch device are provided. The method comprises drawing power from an inline power source and transferring, by the powered device subsystem, a portion of the power that is not consumed by the powered device subsystem to one or more connected powered devices. The method further comprises determining a limit of current drawn by the powered device subsystem during transfer of power by the powered device subsystem to the one or more powered devices that results in the transfer of a desired amount of power to the one or more powered devices, and adjusting the limit for the current drawn by the powered device subsystem to the determined current limit. | 01-31-2013 |
20130031379 | CUSTOMER INITIATED REMOTE OPTICAL NETWORK TERMINAL RESET SYSTEMS AND METHODS - The disclosure relates to a system and method to allow an end user to remotely reset or reboot an optical network terminal (ONT) and/or residential gateway that has become unresponsive or otherwise needs to be reset. In various aspects, the end user may initiate a sequence of hook flash signals from a telecommunication device that causes an ONT control system to power cycle the ONT. | 01-31-2013 |
20130031380 | POWER SUPPLY CONVERTING SYSTEM AND METHOD - A power supply converting system includes a start unit for outputting power to a server through a number of circuitries, an obtaining unit, a comparison unit, a memory module, and a converting unit. The obtaining unit is connected to the start unit and the server, to obtain the model code of a central processing unit (CPU) of the server and transmits the model code to the comparison unit. The comparison unit compares the model code of the server CPU against a plurality of circuitry codes in a truth table stored in the memory module, to obtain a circuitry code corresponding to the model code of the server CPU. The converting unit adjusts the numbers of turned on circuitries based on the circuitry code from the comparison unit. | 01-31-2013 |
20130031381 | RACK SERVER SYSTEM - A rack server system including at least one server and a battery backup unit (BBU) is provided. A power supplier is coupled to the server for converting an input voltage into a first output voltage when the input voltage is normal and for providing the first output voltage to the server. The BBU is coupled to the server and the power supplier for detecting the first output voltage outputted from the power supplier and for providing a second output voltage to the server when the input voltage and/or the first output voltage are abnormal. | 01-31-2013 |
20130031382 | RACK SERVER SYSTEM AND OPERATION METHOD APPLICABLE THERETO - A rack server system and an operating method applicable thereto are provided. The rack server system includes a battery backup unit (BBU) and at least one server. The operating method includes: communicating the server and the BBU with each other; the BBU providing a status information and a previous self-discharging test information to the server for the server to judge a status of the BBU; and providing power from the BBU to the server and adjusting a loading of the server according to the status information of the BBU when an input power is interrupted. | 01-31-2013 |
20130031383 | POWER OVER ETHERNET POWERED DEVICE CIRCUIT AND ELECTROSTATIC DISCHARGE PROTECTION CIRCUIT THEREOF - A Power over Ethernet (PoE) Power Device (PD) circuit and a protection circuit of electrostatic discharge (ESD) thereof are provided. The protection circuit of ESD includes a transient voltage suppressor (TVS) and a high-voltage capacitor, wherein the TVS and the high-voltage capacitor are coupled in series between a negative power terminal and a grounding terminal to reduce system malfunctions or damages when ESD or transient voltage surge occurs. | 01-31-2013 |
20130031384 | DEVICE MANAGEMENT APPARATUS AND COMPUTER-READABLE NON-TRANSITORY RECORDING MEDIUM - The device management server is provided with a power consumption acquisition unit that acquires information relating to power consumption of each of a plurality of sockets; a communication unit that performs communication with an object device from among devices connected to a network in order to change power consumption of the object device; a socket specifying unit that specifies a socket in which power consumption has changed within a period of time relating to the communication on the basis of information acquired by the power consumption acquisition unit; and an association unit 24 that associates the object device with the socket specified by the socket specifying unit. | 01-31-2013 |
20130031385 | POWER CONSUMPTION AMOUNT MANAGEMENT SYSTEM FOR MANAGING POWER CONSUMPTION AMOUNT, POWER CONSUMPTION MANAGEMENT METHOD, AND STORAGE MEDIUM - A power consumption amount management system which is capable of appropriately managing the amount of power consumption according to the situation. In the power consumption amount management system, an image forming apparatus and a power consumption management server are connected to a network. An electric power measurement section in the image forming apparatus measures the amount of electric power consumed by the apparatus. A measurement error determination section determines whether or not measurement of the power consumption amount is successful. An interface section outputs a notification of information on the power consumption amount measured in the image forming apparatus and a result of the determination. The power consumption management server receives the notification from the image forming apparatus, and corrects the information on the power consumption amount in the image forming apparatus by referring to the result of the determination. | 01-31-2013 |
20130031386 | ELECTRONIC DEVICE - An electronic device, comprising: an execution unit which executes a predetermined function; a first control unit that has an input terminal and causes the execution unit to execute the predetermined function; a power source unit that supplies power at least to the first control unit; and a second control unit that has an output terminal connected to the input terminal of the first control unit via a signal line, operates to output a signal to the first control unit via the signal line, and causes the power source unit to cut off power supply to the first control unit, and wherein the second control unit keeps a potential of the signal line at a reference level lower than or equal to a predetermined threshold while the second control unit causes the power source unit to cut off power supply to the first control unit. | 01-31-2013 |
20130036315 | INFORMATION PROCESSING APPARATUS PERFORMING PROCESSES IN PARALLEL - An information processing apparatus performing a plurality of processes in parallel includes a plurality of processing units and an adjusting unit configured to determine processing intervals of the processing units on a processing-unit-specific basis to gradually align periods in which the processing units operate. | 02-07-2013 |
20130036316 | System and Method for Physical Layer Device Enabled Power Over Ethernet Processing - A system and method for physical layer device enabled power over Ethernet (PoE) processing. A digital PoE control module is included within a physical layer device and is designed to complement an analog PoE control module within a power sourcing equipment. The inclusion of the digital PoE control within the physical layer device reduces the complexity of the power sourcing equipment without sacrificing PoE control features. | 02-07-2013 |
20130042118 | SUSPENSION AND/OR THROTTLING OF PROCESSES FOR CONNECTED STANDBY - One or more techniques and/or systems are provided for assigning power management classifications to a process, transitioning a computing environment into a connected standby state based upon power management classifications assigned to processes, and transitioning the computing environment from the connected standby state to an execution state. That is, power management classifications, such as exempt, throttle, and/or suspend, may be assigned to processes based upon various factors, such as whether a process provides desired functionality and/or whether the process provides functionality relied upon for basic operation of the computing environment. In this way, the computing environment may be transitioned into a low power connected standby state that may continue executing desired functionality, while reducing power consumption by suspending and/or throttling other functionality. Because some functionality may still execute, the computing environment may transition into the execution state in a responsive manner to quickly provide a user with up-to-date information. | 02-14-2013 |
20130042119 | INTERCONNECTION SYSTEM - An interconnection system, apparatus and method is described for arranging elements in a network, which may be a data memory system, computing system or communications system where the data paths are arranged and operated so as to control the power consumption and data skew properties of the system. A configurable switching element may be used to form the interconnections at nodes, where a control signal and other information is used to manage the power status of other aspects of the configurable switching element. Time delay skew of data being transmitted between nodes of the network may be altered by exchanging the logical and physical line assignments of the data at one or more nodes of the network. A method of laying out an interconnecting motherboard is disclosed which reduces the complexity of the trace routing. | 02-14-2013 |
20130042120 | CONTROL APPARATUS AND METHOD - A disclosed control apparatus includes: a first data storage unit storing data representing whether transition to an energy-saving state is prohibited, for each of memory blocks in a memory device, wherein power control is carried out for each of the memory blocks; a second data storage unit storing the number of times that access to a memory block that is in the energy-saving state is requested, for each of threads of a program; and a first controller that increments the number of times of a requesting source thread of a memory request, upon detecting a memory block including an access destination of the memory request received from a processing unit is in the energy-saving state, and sets data representing the transition to the energy-saving state is prohibited for the memory block including the access destination of the memory request upon detecting the number of times after incrementing exceeds a threshold. | 02-14-2013 |
20130042121 | METHODS AND SYSTEMS FOR EFFICIENT BATTERY CHARGING AND USAGE - Battery charging methods and systems for devices that have rechargeable batteries provide an efficient way to know when to charge a device's battery, and when to switch between the device's battery and an external power source as the device's power source. The methods and systems access thresholds for a plurality of power rates, obtain information about when different power rates are in effect and, after determining a current power rate based on the information, compare the threshold of the current power rate to the device's battery's charge level. Based on such a comparison, the methods and systems can determine whether the battery should be charged, and the methods and system can determine whether the device's battery or an external power source should be used as the device's power source. | 02-14-2013 |
20130042122 | PROVIDING A USER WITH FEEDBACK REGARDING POWER CONSUMPTION IN BATTERY-OPERATED ELECTRONIC DEVICES - Methods, systems, and apparatus, including computer programs encoded on a computer storage medium, for providing a user with feedback regarding power consumption in a battery-operated electronic device. In one aspect, a method performed by data processing apparatus includes identifying, using the data processing apparatus, usage of a hardware component of a battery-operated electronic device that includes the data processing apparatus, attributing the usage of the hardware component to the hardware component or to a software application that uses the hardware component, recording, using the data processing apparatus, a power consumption resulting from the usage, and presenting power consumption feedback to a user using the data processing apparatus. The power consumption feedback identifies the hardware component or the software application of the electronic device and the power consumption resulting from the usage. | 02-14-2013 |
20130042123 | Methods and Systems for Evaluating Historical Metrics in Selecting a Physical Host for Execution of a Virtual Machine - Methods and systems for improved management of power utilization and resource consumption among physical hosts in a cloud computing environment. The management server may provide functionality facilitating the identification and optimized placement of a virtual machine within a cloud computing environment by evaluating historical and heuristic metrics data associated with both the physical hosts and the virtual machines. The management server utilizes the metrics data to generate scores for a plurality of physical host based on physical resources available in a cloud of computing resources. The management server identifies a physical host on which to place a virtual machine using the metrics data, generated scores, and numerous, configurable criteria. The management server responds to the identification of the physical host on which to place a virtual machine by adjusting processor performance and/or operating states for one or more of the physical hosts in the cloud computing environment. | 02-14-2013 |
20130046997 | MONITORING SUBSYSTEM POWER - A method for monitoring subsystem power includes, with a power control system of an electronic device, receiving energy usage data from one of a plurality of subsystems within the electronic device, with the power control system, maintaining energy usage data for the plurality of subsystems within the electronic device, and with the power control system, providing integrated energy usage data to one of the plurality of subsystems. | 02-21-2013 |
20130046998 | ENERGY BASED RESOURCE ALLOCATION ACROSS VIRTUALIZED MACHINES AND DATA CENTERS - A system and computer program product allocates energy entitlement to a logical partition (LPAR) executing on a data processing system. An energy entitlement allocation (EEA) utility enables an administrator to specify a minimum and/or maximum energy entitlement and An LPAR priority. When the relevant LPARs utilize the respective minimum energy entitlement based on respective energy consumption, the EEA utility determines whether the LPAR (and other LPARs) has satisfied a respective maximum energy entitlement. When the LPAR has not satisfied its maximum energy entitlement, the EEA utility allocates unused energy entitlement from the data processing system to the LPAR, according to an allocation policy. Additionally, the EEA utility dynamically adjusts a priority level for the LPAR to efficiently control resource allocation, according to the LPAR's energy consumption relative to its energy entitlement. In addition, the EEA utility is able to transfer unused energy entitlement to other data processing systems requiring further allocation of energy entitlement. | 02-21-2013 |
20130046999 | Semiconductor Device Predictive Dynamic Thermal Management - A semiconductor device includes a memory storing a lookup table including stored values associated with modes of operation of a component of the semiconductor device. A monitor monitors an operating parameter of the component in real-time, and reports a calculated value associated with the same. A power manager determines a change in the mode of operation of the component based on a comparison of the calculated value with a corresponding stored value, and adjusts a current mode of operation of the component in real-time. | 02-21-2013 |
20130047000 | INTEGRATED CIRCUIT ALLOWING FOR TESTING AND ISOLATION OF INTEGRATED POWER MANAGEMENT UNIT - An integrated circuit is disclosed that contains both a PMU and another processing portion, such as a baseband. Because of the limited pins devoted to the PMU, the PMU receives most of its signals through the other processing portion of the integrated circuit, Thus, in order to protect the PMU, the integrated circuit isolates the PMU portion from receiving different signals from the other processing portion until after certain conditions are satisfied. In addition, the integrated circuit includes a GPIO pin bank in the other processing portion that can be freely configured so as to allow for testing of the PMU. | 02-21-2013 |
20130047001 | LOAD CARD FOR TESTING SLOT CONNECTORS OF MOTHERBOARD - An exemplary load card includes an input unit, a processing unit, and a load unit. When inputting a predetermined voltage and a predetermined load power via the input unit, the processing unit receives and processes the predetermined voltage and the load power, and generates and outputs a corresponding binary control voltage. The load unit receives and converts the binary control voltage into an analog control voltage. Under the control of the analog control voltage, the load unit receives the predetermined voltage from a motherboard, and outputs the predetermined power to the motherboard. | 02-21-2013 |
20130047002 | DETECTION CIRCUIT FOR REDUNDANT POWER SUPPLY AND DETECTION METHOD THEREOF - A detection circuit employed in an electronic device includes an alternating current (AC) power source, a switch unit, a timer, a controller, and a baseboard management controller (BMC). The electronic device includes at least two power supply units. The controller is electronically connected between the timer and the switch unit. The timer outputs signals at predetermined intervals, the controller controls the switch unit to turn on/off according to the signals. The AC power source connects to/disconnect from the at least two power supply units through the switch unit accordingly. The BMC records a running states of the electronic device, and determines the running states of each of the at least two power supply units according to the recorded running states of the electronic device. | 02-21-2013 |
20130047003 | Estimating Power Consumption of an Application - The invention relates to an electronic device, a debug unit and to a method for estimating a power consumption of an application that is executable on an electronic device having a plurality of modules. A status of at least one routine of the application and a status of at least one module of the electronic device is determined. Further a power consumption of the at least one module is estimated by allocating a predetermined power consumption value to the detected status of the respective module. The determined status of the routine may be assigned to the determined status of the at least one module and to the estimated power consumption of the module so as to provide an estimated power consumption of the application. | 02-21-2013 |
20130047004 | TASK SCHEDULING METHOD OF A SEMICONDUCTOR DEVICE - A task scheduling method of a semiconductor device, the method including: determining whether a power level of a first queue task disposed in a first queue and a power level of a first core task are the same as each other, wherein the first queue task is to be processed by a first core after the first core task; and in response to the power level of the first queue task and the power level of the first core task being different, moving the first queue task to a second queue, wherein the second queue corresponds to a second core that processes a second core task having the same power level as that of the first queue task. | 02-21-2013 |
20130047005 | APPARATUS AND METHOD FOR MANAGING POWER IN A PORTABLE TERMINAL - A method and apparatus for managing power in a portable terminal are provided, in which a display is provided and upon execution of a power management function to manage power of a Central Processing Unit (CPU) according to a user request, a power manager sets the CPU to a setting mode selected by a user from among a CPU core setting mode for turning-on or turning-off of at least one core included in the CPU, a CPU clock setting mode for setting a clock frequency of the CPU, and a CPU use setting mode for setting the CPU to one of a plurality of pre-determined modes. | 02-21-2013 |
20130047006 | Energy Based Resource Allocation Across Virtualized Machines and Data Centers - A method allocates energy entitlement to a logical partition (LPAR) executing on a data processing system. An energy entitlement allocation (EEA) utility enables an administrator to specify a minimum and/or maximum energy entitlement and an LPAR priority. When the relevant LPARs utilize the respective minimum energy entitlement based on a respective energy consumption, the EEA utility determines whether the LPAR(s) has satisfied a respective maximum energy entitlement. When the LPAR has not satisfied its maximum energy entitlement, the EEA utility allocates unused energy entitlement from the data processing system to the LPAR, according to an allocation policy. Additionally, the EEA utility dynamically adjusts a priority level for the LPAR to efficiently control resource allocation, according to the LPAR's energy consumption relative to its energy entitlement. In addition, the EEA utility is able to transfer unused energy entitlement to other data processing systems requiring further allocation of energy entitlement. | 02-21-2013 |
20130047007 | DYNAMIC VOLTAGE TRANSITIONS - The operating voltage of an integrated circuit (e.g., a processor) is changed in response to one or more conditions (e.g., a laptop computer is connected to an AC power source). Both the operating frequency and the operating voltage of the integrated circuit are changed. The voltage regulator providing the operating voltage to the integrated circuit is caused to transition between voltage levels using one or more intermediate steps. The integrated circuit continues to operate in the normal manner both at the new voltage and throughout the voltage transition. | 02-21-2013 |
20130054981 | Branch Circuit Power Measurement and Dynamic Power Distribution - A mechanism is provided for dynamically changing power caps for a set of powered elements. Current being consumed by the set of powered elements P on a branch circuit is measured and available current on the branch circuit is determined. A new total power cap for a current time period t is identified based on a current total power cap and the measured current. A difference in total power caps (ΔTPC) is determined and, for each powered element p in the set of powered elements P at the current time period, a new power cap PC (p,t) is determined based on the previous power cap PC(p,t−1) and the difference of the total power caps to the set of powered elements P. A power cap of each powered element p is then dynamically set to the new power cap PC (p,t). | 02-28-2013 |
20130054982 | METHOD AND APPARATUS FOR CLOSING A PATHWAY OF A POWER SUPPLY CIRCUIT WITH A DOOR LOCK - An appliance including a motor drive, a power supply circuit electrically coupling the motor drive to a power supply, a cabinet having an opening, a door for opening and closing the opening of the cabinet, and a door lock. When the door lock is in a locked position, the door lock is configured to secure the door and close a pathway of the power supply circuit thereby enabling a current to travel from the power supply through a pre-charge circuit to the motor drive. | 02-28-2013 |
20130054983 | Methods of and Apparatus for Controlling Power Drawn by an Appliance through a USB Port - Methods of and apparatus for controlling power drawn by an appliance through a USB port. The method includes limiting any electrical current drawn by an appliance to one of seven levels according to whether the data lines receive a bias from a power line, the data lines are in electrical communication with each other, and the power source includes a host computing device, or according to logic and voltage levels of the data lines when any of the pull-down resistor and the current and voltage sources are connected or not connected to the D+ data line. The apparatus includes a controller programmed to selectively connect a pull-down resistor, a current source, and a voltage source to a D+ data line and to limit any electrical current drawn from a power source to one of the seven levels according to voltage levels on the D+ data line and a D− data line. | 02-28-2013 |
20130054984 | NETWORK DEVICE AND METHOD FOR THE NETWORK DEVICE TO SET OPERATION OF PORT - A network device and a method for the network device to set operation of a port are provided. The network device is connected to a set of power sourcing equipment (PSE) through a port, and the PSE powers the network device through the port. The network device includes an analysis module and a port control module. The analysis module judges whether the port of the network device is connected to the PSE. The port control module provides a port function switch instruction according to a judgment result of the analysis module, so as to enable or disable the port. | 02-28-2013 |
20130054985 | Branch Circuit Power Measurement and Dynamic Power Distribution - A mechanism is provided for dynamically changing power caps for a set of powered elements. Current being consumed by the set of powered elements P on a branch circuit is measured and available current on the branch circuit is determined. A new total power cap for a current time period t is identified based on a current total power cap and the measured current. A difference in total power caps (ΔTPC) is determined and, for each powered element p in the set of powered elements P at the current time period, a new power cap PC (p,t) is determined based on the previous power cap PC(p,t−1.) and the difference of the total power caps to the set of powered elements P. A power cap of each powered element p is then dynamically set to the new power cap PC (p,t). | 02-28-2013 |
20130054986 | METHOD AND APPARATUS FOR BOOTING ELECTRONIC DEVICE BASED ON USE CONTEXT - A method and apparatus for booting an electronic device are provided. The method includes collecting context information regarding the electronic device; determining whether a use of the electronic device is expected based on the collected context information; and when the use of the electronic device is expected, supplying electric power to at least one of predetermined elements of the electronic device. | 02-28-2013 |
20130054987 | SYSTEM AND METHOD FOR FORCING DATA CENTER POWER CONSUMPTION TO SPECIFIC LEVELS BY DYNAMICALLY ADJUSTING EQUIPMENT UTILIZATION - A system and method for forcing data center power consumption to specific levels by dynamically adjusting equipment utilization are provided. | 02-28-2013 |
20130054988 | INTEGRATED CIRCUIT DEVICE, SIGNAL PROCESSING SYSTEM AND METHOD FOR MANAGING POWER RESOURCES OF A SIGNAL PROCESSING SYSTEM - An integrated circuit device comprises a power resource management module for managing at least one power resource of a signal processing system. The power resource management module comprises an input for receiving an indication of an intended state change for the signal processing system. The power resource management module is arranged to calculate at least one power resource load prediction for implementing the indicated system state change in response to receiving the indication of an intended state change. The power resource management module comprises an output connectable to the at least one power resource of the signal processing system for configuring the at least one power resource to fulfill the at least one power resource load prediction. | 02-28-2013 |
20130061064 | Dynamically Allocating A Power Budget Over Multiple Domains Of A Processor - In one embodiment, the present invention includes a method for determining a power budget for a multi-domain processor for a current time interval, determining a portion of the power budget to be allocated to first and second domains of the processor, and controlling a frequency of the domains based on the allocated portions. Such determinations and allocations can be dynamically performed during runtime of the processor. Other embodiments are described and claimed. | 03-07-2013 |
20130061065 | SYSTEM AND METHOD FOR AN INTERLEAVED MULTI-STAGE PHASE ARRAY VOLTAGE REGULATOR - In accordance with the present disclosure, a system and method for an interleaved, multi-stage phase array voltage regulator is described. The interleaved, multi-stage phase array voltage regulator includes a first phase array with a plurality of first power stages and a second phase array with a plurality of second power stages. The interleaved, multi-stage phase array voltage regulator may also include a voltage control loop that at least partially controls a duty cycle of the first phase array and the second phase array. Also, the interleaved, multi-stage phase array voltage regulator may include a current control loop that at least partially controls which of the plurality of first power stages and second power stages are active. | 03-07-2013 |
20130061066 | COMMUNICATION SYSTEM FOR USE IN HAZARDOUS ENVIRONMENTS - A communication system includes a host device and a peripheral device. The host device includes a data terminal and a power terminal. A data conductor extends between the host device and the peripheral device. The data conductor is configured to channel data between the data terminal and the peripheral device. A power conductor extends between the host device and the peripheral device. The power conductor is configured to channel power between the power terminal and the peripheral device. A zener diode couples the data conductor to the power conductor. The zener diode is configured to control a voltage channeled between the host device and the peripheral device while data is channeled through the data conductor at a desired speed that is greater than a predetermined threshold. | 03-07-2013 |
20130061067 | ELECTRONIC SYSTEMS AND POWER MANAGEMENT METHODS THEREOF - An electronic device is provided, including an input output expander, at least one electronic device and a control module. The input output expander outputs a power source to a peripheral device by at least one output terminal The electronic device is coupled to the input output expander in a daisy chain configuration. The control module adjusts current powers of the electronic device and the peripheral device according to real time powers of the electronic device and the peripheral device, a maximum output power and parameters, thereby preventing power outputted by the input output expander from being larger than the maximum output power. | 03-07-2013 |
20130061068 | METHOD AND APPARATUS FOR DYNAMIC POWER MANAGEMENT CONTROL USING SERIAL BUS MANAGEMENT PROTOCOLS - An apparatus for on-demand power management including an I/O serial communication master device, peripheral devices that communicate with the master device along the serial communications bus, and a power manager that buffers the peripheral devices from the serial communication master. The power manager also manages voltage regulation and clock sources to the peripheral devices, with the ability of placing the peripheral devices in an inactive state, or in any number of active states as a means to conserve energy. In some embodiments, the I/O serial communications master acts as if the peripheral devices are always in the highest activity state, and the power manager manages the communications to and from the peripheral devices and the power management of the peripheral devices to reduce energy consumption and system latency. | 03-07-2013 |
20130061069 | SYSTEM AND METHOD OF MONITORING A CENTRAL PROCESSING UNIT IN REAL TIME - Devices and methods for monitoring one or more central processing units in real time is disclosed. The method may include monitoring state data associated with the one or more CPUs in real-time, filtering the state data, and at least partially based on filtered state data, selectively altering one or more system settings. A device may include means for monitoring state data associated with the one or more CPUs in real-time, means for filtering the state data, and means for selectively altering one or more system settings at least partially based on filtered state data. A device may also include a sub-sampling circuit configured to receive a hardware core signal from the central processing unit and output a central processing unit state indication, and an infinite impulse response filter connected to the sub-sampling circuit and configured to receive the central processing unit state indication from the sub-sampling circuit. | 03-07-2013 |
20130067247 | Systems and Methods for Governing Power Usage in an Iterative Decoding System - Various embodiments of the present invention provide systems and methods for data processing. As an example, a data processing circuit is disclosed that includes a data detector circuit, a data decoder circuit, and a gating circuit. | 03-14-2013 |
20130067248 | POWER DISTRIBUTION DEVICE AND SERVER RACK SYSTEM USING THE SAME - A power distribution device and a server rack system are provided. The server rack system includes a rack and at least one apparatus disposed therein. The power distribution device distributes electrical power to the apparatus. The power supply device includes a first conducting plate, an insulation layer and a second conducting plate that are sequentially superimposed, and a first and a second power columns inserted in the first and the second plates. The insulation layer is disposed between the first and the second conducting plates. The first and the second power columns are connected to a power supply unit in the rack to obtain electric power therefrom. Each output pin pair includes a first and a second output pins inserted in the first and the second conducting plates. The output pin pairs are connected to the apparatus in the rack to transfer electric power to the apparatus. | 03-14-2013 |
20130067249 | CONTROL SYSTEM FOR POWER ON AND OFF COMPUTER - A control system includes an input module, a control module, a switch module and a display module. The input module is configured to output test parameters to the control module. The control module is capable of outputting power on and power off control signals according to the test parameters. The switch module is capable of receiving the power on and power off control signals and is configured to output the signals to power a computer motherboard on or off accordingly. The computer motherboard is configured to output feedback signals to the control module after being successfully powered on. The control module displays test results on the display module according to the feedback signals. | 03-14-2013 |
20130067250 | COMPUTER DEVICE AND FREQUENCY ADJUSTING METHOD FOR CENTRAL PROCESSING UNIT - A computer device and frequency adjusting method for central processing unit are provided. The computer device including a CPU, a voltage regulator module, a clock generator, a power-on module, a chip set and an embedded controller. The power-on module activates the voltage regulator module, the clock generator and the CPU respectively. The voltage regulator module provides the operating voltage of the CPU. The clock generator provides the operating clock of the CPU. Before the CPU is activated, the embedded controller adjusts the operating clock and the operating voltage provided from the clock generator and the voltage regulator module the CPU, the CPU performs overclocking/downclocking directly by using the adjusted operating clock and the adjusted operating voltage after the CPU is activated. | 03-14-2013 |
20130067251 | RELAY AND DATA PROCESSING METHOD - A relay and a data processing method are provided. The relay includes: a detection unit for classifying and detecting event oriented monitoring data and non-event oriented monitoring data from monitoring data; a storage unit for storing the detected monitoring data; a communication unit for receiving a request signal of the monitoring data from a monitoring device and transmitting corresponding monitoring data in response to a transmission request signal of the monitoring data; and a control unit for extracting event oriented monitoring data from the monitoring data and performing a control to transmit the monitoring data requested from the monitoring device. | 03-14-2013 |
20130067252 | SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND IC CARD USING THE SAME - A semiconductor intergrated circuit device which enables a power-supply voltage terminal and an internal circuit to be isolated from each other in a noncontact operation of a semiconductor integrated circuit device for an IC card, including a first power supply circuit for rectifying and smoothing an AC signal supplied from an antenna, a second power supply circuit which includes a voltage control circuit for controlling a gate terminal voltage of a first MOS transistor, a substrate potential control circuit for forming a source voltage of the first MOS transistor as a substrate voltage, and a second MOS transistor which causes the substrate voltage and the gate voltage of the first MOS transistor to be conductive when using the first power supply circuit, and which causes the substrate voltage and the gate voltage to be nonconductive when using power supplied from an external terminal. | 03-14-2013 |
20130067253 | ELECTRIC POWER INFORMATION MANAGEMENT APPARATUS, ELECTRIC POWER INFORMATION MANAGEMENT SYSTEM, AND ELECTRIC POWER INFORMATION MANAGEMENT METHOD - An electric power information management apparatus includes a power meter that measures electric power data on electric power to be supplied from a storage battery of a vehicle to an electric power facility; a security module that associates and encrypts identification information corresponding to the vehicle and the measured electric power data; a communication device that transmits to an electric power management database the identification information corresponding to the vehicle and the electric power data encrypted by the security module to store the electric power data associated with the identification information in the electric power management database; and an electric power control device that extracts necessary electric power from the electric power facility and supplies the electric power to the storage battery of the vehicle corresponding to the identification information, based on the electric power data stored in the electric power management database, being associated with the identification information. | 03-14-2013 |
20130073874 | INTERFACE APPARATUS AND METHOD FOR ETHERNET POWERED DEVICE - A system, topology, and methods for providing an interface module between a powered device (PD) and power sourcing equipment (PSE) in POE architecture, the interface module coupling the PSE to the PD and a listening device. | 03-21-2013 |
20130073875 | SYSTEM AND METHOD FOR MANAGING THERMAL ENERGY GENERATION IN A HETEROGENEOUS MULTI-CORE PROCESSOR - Various embodiments of methods and systems for controlling and/or managing thermal energy generation on a portable computing device that contains a heterogeneous multi-core processor are disclosed. Because individual cores in a heterogeneous processor may exhibit different processing efficiencies at a given temperature, thermal mitigation techniques that compare performance curves of the individual cores at their measured operating temperatures can be leveraged to manage thermal energy generation in the PCD by allocating and/or reallocating workloads among the individual cores based on the performance curve comparison. | 03-21-2013 |
20130073876 | NETWORK WAKE UP SYSTEM WITH PROTECTION FUNCTION - A network wake up system includes a network card, a controller, a south bridge chip, and a baseboard management controller (BMC). The network card receives a network wake up data packet, and outputs a network wake up signal. The BMC determines whether a temperature of the computer is in a predetermined temperature range and determines whether a voltage of the computer is normal, and outputs a state signal to the controller. If the temperature of the computer is in the predetermined temperature range and the voltage of the computer is normal, the controller wakes up the computer at the power-off state. If the temperature of the computer is not in the predetermined temperature range or the voltage of the computer is abnormal, the controller fails to output the network wake up signal to the south bridge chip, so that the computer at the power-off state cannot be woken up. | 03-21-2013 |
20130073877 | Power Management System Suitable for Use with Multi-Series-Cell Batteries - There are disclosed herein various implementations of a power management system for powering a portable device while charging a multi-series-cell battery of the portable device when the portable device is connected to an adapter. One exemplary implementation comprises a voltage regulator for powering the portable device and a multi-mode charger receiving input power from the adapter. The multi-mode charger is operated in a switching mode for charging the multi-series-cell battery concurrently with the voltage regulator powering the portable device. In another implementation, the power management system is adapted for use with a portable device having a single-cell battery. | 03-21-2013 |
20130073878 | APPARATUS AND METHODS FOR AN INTERCONNECT POWER MANAGER - An interconnect-power-manager (IPM) cooperates and communicates signals with an integrated-circuit-system-power-manager (SPM) in the integrated-circuit. The interconnect network (IN) is partitioned into multiple power domains and has hardware circuitry integrated into the IN to manage a quiescent state for all components in each power domain in the IN when a routing pathway for transactions in the IN spans across one or more power domain boundaries and causes interdependencies of power domains within the IN other than where the power domains of the initiator agent and final target agent of the transaction are located within. The SPM is configured to cooperate and communicate with the IPM to quiesce, to wake up, and any combination of the two, one or more of the multiple power domains within the IN. | 03-21-2013 |
20130073879 | IMAGE FORMING APPARATUS - An image forming apparatus includes a functional unit, a first power supply circuit supplying electric power to the functional unit from a commercial power supply, a second power supply circuit including a self-generation power supply, and a third power supply circuit including a power storage unit storing electric power and a charging circuit charging the power storage unit upon receipt of supply of electric power from at least one of the commercial power supply and the self-generation power supply. In accordance with the amount of power supply from the self-generation power supply, higher priority is given to supply of electric power to the functional unit from at least one of the second and third power supply circuits than to supply of electric power from the first power supply circuit. | 03-21-2013 |
20130073880 | POWER CONSUMPTION MEASURING APPARATUS, POWER CONSUMPTION MEASURING METHOD, AND MEMORY MEDIUM - A load measuring unit obtains load information on a configuring device. A status obtaining unit obtains status information of the configuring device based on the load information received from the load measuring unit. A learning unit learns actual power consumption based on actual power consumption received by a receiver unit, the load information obtained by the load measuring unit, and the status information obtained by the status obtaining unit. An estimating unit obtains estimated power consumption based on a learning result by the learning unit, the load information obtained by the load measuring unit, and the status information obtained by the status obtaining unit. | 03-21-2013 |
20130080793 | DATA PROCESSING SYSTEM POWER DISTRIBUTION USING RESERVE BUS - Some embodiments of the inventive subject matter provide a power distribution system for a data processing system. The power distribution system includes a plurality of uninterruptible power supply (UPS) units, respective ones of which are configured to be coupled to respective loads via respective load busses, at least one reserve bus, a switching circuit configured to selectively couple and decouple the UPS units and the load busses to and from the at least one reserve bus and a control circuit configured to control the switching circuit responsive to a state of the data processing system. The control circuit may be configured to cause the switching circuit to couple the first UPS unit to the at least one reserve bus concurrent with the first UPS unit being coupled to a first load via a first load bus. | 03-28-2013 |
20130080794 | WIRELESS CONTROLLER WITH UNIVERSAL SERIAL BUS AND SYSTEM HAVING THE SAME - A wireless controller with a universal serial bus (USB) and a system having the same are disclosed. The wireless controller with the USB includes an input unit, a detection unit, and a wireless transmission module. The input unit is configured to receive the data signal from the main device while the detecting unit that is coupled to the input unit is configured to detect the data signal before generating a corresponding control signal, which may be in turn transmitted by the wireless transmission module. Depending on the control signal, sockets in a power socket device may be powered or not. Accordingly, auxiliary device plugged to the socket may be powered or not powered when an operating status of a main device varies. | 03-28-2013 |
20130080795 | Dynamically Adjusting Power Of Non-Core Processor Circuitry - In one embodiment, the present invention includes a multicore processor having a variable frequency domain including a plurality of cores and at least a portion of non-core circuitry of the processor. This non-core portion can include a cache memory, a cache controller, and an interconnect structure. In addition to this variable frequency domain, the processor can further have a fixed frequency domain including a power control unit (PCU). This unit may be configured to cause a frequency change to the variable frequency domain without draining the non-core portion of pending transactions. Other embodiments are described and claimed. | 03-28-2013 |
20130080796 | STORAGE SYSTEM AND ITS CONTROL METHOD - At the time of a fan failure of a plurality of fans for cooling redundant controllers, data loss can be avoided even if a power source of each controller is controlled. | 03-28-2013 |
20130080797 | USB POWER SUPPLY METHOD AND DEVICE, AND END DEVICE AND SYSTEM USING THE SAME - A Universal Serial Bus (USB) power supply method is provided. The method is applied to a USB client device to charge a battery of the USB client device. The USB client device is connected to a USB host device via a USB connection including a D+ signal wire and a D− signal wire. The USB power method includes: determining whether the USB host device is a dedicated charging port (DCP) in response to a connection event, providing a verification signal to the USB host device if the USB host device is a DCP, determining whether a verification response signal from the USB host device is received, and driving the USB host device to provide an auxiliary charging current via the D+ and D− signal lines for charging the battery when the verification response signal is received. | 03-28-2013 |
20130080798 | POWER SUPPLY SWITCHING APPARATUS - A power supply switching apparatus includes a controller and a switch. The controller includes a first comparator, an integrator circuit and a second comparator. The first comparator is configured to output a binary signal having a level corresponding to a magnitude of a signal input from the power supply being connected thereto. The integrator circuit is configured to integrate an output from the first comparator. The second comparator is configured to output a binary signal having a level corresponding to a magnitude of a single input from the integrator circuit. The switch has an input side connected to the first and the second power supply and is configured to switch an outputting current between a current supplied from the first power supply and a current supplied from the second power supply in accordance with a value of the signal output from the second comparator. | 03-28-2013 |
20130080799 | METHOD AND SYSTEM FOR MANAGING THE POWER CONSUMPTION OF AN INFORMATION HANDLING SYSTEM - A method for managing the power consumption of an information handling system including a processor and an associated cooling system. The method may include providing power to the cooling system based on a performance/power balance setting, accepting a user input to adjust the performance/power balance setting, and adjusting the power provided to the cooling system based on the adjusted performance/power balance setting. The performance/power balance setting may define a balance between performance of the processor and power consumption of the associated cooling system. | 03-28-2013 |
20130086395 | Multi-Core Microprocessor Reliability Optimization - Systems and methods for improving effective aging of a multi-core processor. Aging characteristics of the two or more cores of the multi-core processor are determined. Priority determination logic is configured to assign priorities for powering on the cores based on the aging characteristics. Optionally, an operating environment is detected and assigning priorities to the cores is based on a relative power consumption of each of the cores and the operating environment, in order to improve battery life. | 04-04-2013 |
20130086396 | POWER SUPPLY FOR PROCESSOR AND CONTROL METHOD THEREOF - The present invention provides a power supply for processor and control method thereof. The power supply comprises a reference adjusting circuit and a voltage regulator. The reference adjusting circuit is configured to receive a VID code from a processor, and adjust a reference voltage based on the VID code. The voltage regulator is coupled to the reference adjusting circuit and converts an input voltage into an output voltage in accordance to the reference voltage. The reference adjusting circuit adjusts the reference voltage in a plurality of steps until the reference voltage reaches a target value corresponding to the VID code. The reference adjusting circuit adjusts the reference voltage by a preset value during each step, and proceeds to adjust the reference voltage by a next step only after the output voltage reaches a predetermined scope of the reference voltage. | 04-04-2013 |
20130086397 | ELECTRONIC APPARATUS AND ITS CONTROL METHOD - One embodiment provides an electronic apparatus, including: a first power supply module configured to supply power to a storage device provided in an external device when the external device is connected to the electronic apparatus; a receiving module configured to receive, from the external device, identification information thereof; and a second power supply module configured to supply power to an input/output control module of the external device if authentication of the identification information received by the receiving module succeeds. | 04-04-2013 |
20130086398 | MANAGING SIDEBAND SEGMENTS IN ON-DIE SYSTEM FABRIC - Methods and apparatus for managing sideband segments in an On-Die System Fabric (OSF) are described. In one embodiment, a sideband OSF includes a plurality of segments that may be reset or powered down independently after power management logic determines that in progress messages have been handled and future messages to the segment being reset or powered down will be blocked. Other embodiments are also disclosed. | 04-04-2013 |
20130091363 | POWER SUPPLY SYSTEM FOR CENTRAL PROCESSING UNIT - A power supply system for a central processing unit (CPU) mounted on a motherboard includes a power supply unit, a conducting layer, and a number of conducting foils. The conducting layer is set on the motherboard. The conducting foils are connected to the conducting layer in parallel. The power supply unit supplies power to the CPU through the conducting layer and the conducting foils. | 04-11-2013 |
20130091364 | RANDOM ACCESS MEMORY MODULE WITH DRIVING VOLTAGE ADAPTOR AND COMPUTING APPARATUS - A random access memory (RAM) module includes a power input terminal for receiving a power voltage; memory units for data storage; and a driving voltage adaptor electrically connected between the power input terminal and the memory units. The driving voltage adaptor receives the power voltage from the power input terminal, converts the power voltage into a driving voltage with a predetermined value, and outputs the driving voltage to the memory units. | 04-11-2013 |
20130091365 | ROBUST GOVERNING OF POWER MANAGEMENT INFRASTRUCTURE IN A BRIDGE - Methods and apparatus relating to robust governing of power management infrastructure in a bridge design are described. In one embodiment, a first agent (such as a processor core) is coupled to a second agent (such as an input/output device) via a bridge. The bridge may or may not enter a different power management state from a current power management state based on a second derivative value. The second derivative value may be in turn determined based on a plurality of first derivative values corresponding to received packets Other embodiments are also disclosed and claimed. | 04-11-2013 |
20130091366 | INFORMATION PROCESSING APPARATUS, METHOD FOR CONTROLLING THE SAME, AND STORAGE MEDIUM - An apparatus determines, when receiving a packet transited via a network in a power saving mode, whether or not the packet is a packet according to a predetermined protocol. If the packet is determined to be the packet according to a predetermined protocol, the apparatus analyzes the data of a succeeding packet described in a data description language, and instructs the apparatus to return from the power saving mode to a normal power mode depending on an analysis result. | 04-11-2013 |
20130091367 | Method and System for Controlling the Operation of an Electronic Device - The present invention relates to a method for controlling the operation of an electronic device for processing data, said device comprising at least one computational unit for receiving input data and processing said input data for generating output data, and further comprising a control unit for receiving at least a part of said input data and delivering at least one control signal to said at least one computational unit for controlling the operation of said at least one computational unit, characterised in said control unit using said input data to determine a computational effort and further using said control signal to control parameters of said at least one computational unit depending on said computational effort, wherein said parameters comprise a combination of: clock rate and/or supply voltage; and process complexity. | 04-11-2013 |
20130097433 | SYSTEMS AND METHODS FOR DYNAMIC RESOURCE MANAGEMENT IN SOLID STATE DRIVE SYSTEM - The disclosed subject matter relates to methods and systems for dynamically controlling the power consumed by solid state drive. One embodiment includes a method that measures the power consumed by the solid state drive system and configures a programmable resource manager to grant the usage/activation of flash memory devices, thereby maintaining the power consumed by the flash memory devices and, as a result, the power consumed by the whole drive, within a specified power budget. | 04-18-2013 |
20130097434 | IMAGE FORMING APPARATUS AND CONTROL METHOD THEREOF - An image forming apparatus including an image forming unit to form an image, a user switch unit to output a user operation signal to switch between a power-on state and a power-off state of the image forming apparatus, a main controller to control the image forming unit and to output a power control signal based on the user operation signal, a power switching unit to selectively supply operation power to the main controller based on the user operation signal and the power control signal, and an auxiliary controller to control the power switching unit such that the operation power is not supplied to the main controller when the power control signal is changed in a power-off state. | 04-18-2013 |
20130103956 | METHOD FOR CONTROLLING MOBILE TERMINAL DEVICE, MEDIUM FOR STORING CONTROL PROGRAM, AND MOBILE TERMINAL DEVICE - A method for controlling a mobile terminal device that includes a multi-core CPU and a display that displays an execution result of an application program executed by the multi-core CPU includes detecting an application program of which an execution result is displayed, calculating a CPU load per thread in the application program detected in the detecting, and increasing the number of cores operating in the multi-core CPU when the number of threads, each of the threads causing the CPU load to be equal to or higher than a first value, is equal to or higher than a second value. | 04-25-2013 |
20130103957 | METHOD AND DEVICE FOR ACTIVATION OF COMPONENTS - A method and electronic device for activating components based on predicted device activity. The method and device include maintaining a set of device activity information storing data collected from components in the device. The device activity information may be maintained over a predetermined time period and may include times associated with the collected component data. The device activity information may include data regarding scheduled events. Device activity and the appropriate activation state of a component on the device may be predicted based on the current time, current data collected from components in the device and data in the device activity information. | 04-25-2013 |
20130111223 | ELECTRONIC DEVICE AND METHOD FOR DRIVING AN INTERNAL FUNCTION BLOCK OF A PROCESSOR OF THE ELECTRONIC DEVICE TO OPERATE IN A LINEAR REGION | 05-02-2013 |
20130111224 | INTEGRATED CIRCUIT WITH LOGIC CIRCUITRY AND MULTIPLE CONCEALING CIRCUITS | 05-02-2013 |
20130111225 | POWER SUPPLIES WITH LAGGING POWER-FACTOR | 05-02-2013 |
20130111226 | Controlling A Turbo Mode Frequency Of A Processor | 05-02-2013 |
20130111227 | MOBILE DEVICE WITH TAMPER DETECTION | 05-02-2013 |
20130111228 | INFORMATION PROCESSING APPARATUS, METHOD, AND SYSTEM, AND COMPUTER READABLE MEDIUM | 05-02-2013 |
20130111229 | NODE CARDS FOR A SYSTEM AND METHOD FOR MODULAR COMPUTE PROVISIONING IN LARGE SCALABLE PROCESSOR INSTALLATIONS | 05-02-2013 |
20130111230 | SYSTEM BOARD FOR SYSTEM AND METHOD FOR MODULAR COMPUTE PROVISIONING IN LARGE SCALABLE PROCESSOR INSTALLATIONS | 05-02-2013 |
20130111232 | METHODS AND SYSTEMS FOR POWER SUPPLY MANAGEMENT | 05-02-2013 |
20130111233 | OPTICAL DISK DRIVE AND COMPUTER | 05-02-2013 |
20130111234 | Power and Data Hub | 05-02-2013 |
20130117580 | COMPACT UNIVERSAL WIRELESS ADAPTER - A universal wireless adapter, which includes a power source, a power management element, a main processing unit, at least two serial connections, a wireless transceiver coupled to one of the at least two serial connections, wherein the transceiver is operable according to IEEE Standards 802.11b/g/n, and is capable of operating in one of an infrastructure mode and an ad hoc mode. The adapter also includes a volatile memory chip and a single non-volatile memory chip. The adapter also includes a synchronous boost voltage converter, wherein the input voltage from the battery is boosted to a higher, second voltage output. The second of at least two serial connections is a Universal Serial Bus (USB) serial connection; and a display coupled to the main processing unit, and the power source is a 2600 mAh, 3.7 volt battery. Mass-storage device couples to adapter to provide wireless access thereto. | 05-09-2013 |
20130117581 | POWER NEGOTIATION PROTOCOL - In one embodiment, a method includes a first device providing a first power to a second device using a first set of conductors out of a plurality of conductors. The method includes the first device providing, in response to receiving a notification, a second power to the second device using the first and a second set of conductors out of a plurality of conductors. The notification indicates that the second device can be supplied with a second power using the first set of conductors and a second set of conductors out of the plurality of conductors, and can also specify the configuration for enabling the second power. | 05-09-2013 |
20130117582 | OFFLINE COMMUNICATION IN A VOLTAGE SCALING SYSTEM - The subject matter of this application is embodied in an apparatus that includes a data processor, and a hardware monitor. The hardware monitor can be configured to emulate a critical path of the data processor, measure a parameter associated with the emulated critical path, process the measurement value, and generate an interrupt signal if the processing result meets a criterion. The apparatus also includes a power supply to provide power to the data processor and the hardware monitor, and a controller to control the power supply to adjust an output voltage level of the power supply. The controller upon receiving an interrupt signal from the hardware monitor queries the hardware monitor to obtain a measurement of the parameter and controls the power supply to adjust the output voltage level according to the measurement value. | 05-09-2013 |
20130117583 | METHOD FOR MANAGING THE SUPPLY VOLTAGE OF A MICROCONTROLLER FOR AN ELECTRONIC COMPUTER OF A MOTOR VEHICLE - A method for managing the power supply voltage (V | 05-09-2013 |
20130117584 | METHOD AND SYSTEM FOR ISOLATING LOCAL AREA NETWORKS OVER A CO-AXIAL WIRING FOR ENERGY MANAGEMENT - An energy management system. The system includes a coax controller apparatus comprising an exterior housing and plurality of coax modules numbered from 2 through N, where N is an integer greater than 3. In a specific embodiment, each of the coax modules comprises a powerline chip (PLC) module coupled to an analog front end, which is coupled to a coaxial connector. The system also has an electromagnetic shield configured to each of the coax modules. In a specific embodiment, the electromagnetic shield is configured to substantially maintain the coax module substantially free from interference noise or other disturbances. The system has a power meter coupled to one or more ports of the coax controller apparatus. | 05-09-2013 |
20130124878 | HOST DEVICE OF DUAL POWER SUPPLY - A host device of dual power supply includes a chassis, a motherboard disposed in the chassis, at least one storage unit electrically coupled to the motherboard, two power supplies electrically coupled to the motherboard and the storage unit for supplying power to the motherboard and the storage unit, and a control unit electrically coupled to the motherboard, the storage unit and the power supply. When a boot signal is received by the control unit, one of the power supplies provides a voltage required by the storage unit at the moment of booting and during operations that follow, and the other power supply is driven to provide a voltage required by the motherboard at the moment of booting and during operations that follow. | 05-16-2013 |
20130124879 | CONTROLLING DATA READING MODE OF MEMORY - An embodiment of the invention includes a device for controlling data reading mode of a hard disk host apparatus. The device comprises a power converter circuit, a power supply toggle-switching circuit, a hard disk access port selection module, a hard disk host converter module, and a power control module. The power control module judges whether the motherboard supplies power for the apparatus in order to select the power supply mode and data transmission channel for the hard disk. If the motherboard supplies power, then power is supplied to the hard disk via the motherboard and the hard disk host controller is selected to provide the data transmission channel for the hard disk. If the motherboard supplies no power, then power is supplied to the hard disk via the external device receptacle and the hard disk host converter module is selected to provide the data transmission channel for the hard disk. | 05-16-2013 |
20130124880 | POWER SUPPLY DEVICE FOR CENTRAL PROCESSING UNIT - A power supply device is configured for supplying electrical power to a central processing unit (CPU) of an electronic device. The CPU operates in a number of working modes. The power supply device includes a power supply module operating in a number of power supply modules corresponding to the working modes of the CPU, a number of compensation circuits respectively corresponding to the power supply modes, and a control module. The control module determines the working mode of the CPU and controls the corresponding compensation circuit to electrically connect to the power supply module to provide a loop compensation to the power supply module which improves stability and responding speed of the power supply module. | 05-16-2013 |
20130124881 | NETWORK SYSTEM, ANALYSIS SYSTEM AND CONTROL METHOD THEREFOR - An analysis system according to the present invention has a configuration for obtaining power consumption of an image forming apparatus in which a power log has been collected, and controlling a display of the power consumption. In a case where if the image forming apparatus is in a predetermined state, and power consumption of the image forming apparatus in the predetermined state has not been recorded in a log, then the analysis is performed and power consumption in the predetermined state is obtained by using time spent in the predetermined state and power consumption per unit time of the image forming apparatus in the predetermined state. | 05-16-2013 |
20130124882 | Smart Power Sockets, Boards, and Plugs - An approach is provided where a smart socket receives a request over a power line and generates a request based on the received request. The second request is transmitted over a power cord connecting the smart power socket to a device. A response is received from the device and a power setting is identified therefrom. The smart socket regulates electrical current flowing from the smart power socket to the device using the identified setting. In a related approach, the device receives a power down request over a power cord from a smart power socket. The device determines whether power is still needed at the device in order to perform one or more device operations. The device then returns a response to the smart power socket, with the response indicating whether power is still needed at the device. | 05-16-2013 |
20130132740 | Power Control for Memory Devices - A power controller is configured to deliver different power levels to different types of memory devices. The power controller includes a selecting unit to select a control mode that controls power delivered to a memory device. The selecting unit includes a first input configured to receive a mode signal, multiple control inputs configured to receive several control mode signals, and multiple outputs configured to output power to the memory device. The selecting unit selects the control mode according to the received mode signal and outputs power according to the control modes signals. | 05-23-2013 |
20130132741 | POWER SUPPLY APPARATUS OF COMPUTER SYSTEM AND METHOD FOR CONTROLLING POWER SEQUENCE THEREOF - A power supply apparatus of a computer system and a method for controlling a power sequence thereof are provided. The power supply apparatus includes a power sequence module, a voltage supply unit, and a state recording module. The power sequence module provides voltage enable signals in turn according to first power-good signals. The voltage supply unit provides power voltages in turn according to the voltage enable signals and returns second power-good signals. Components in the computer system also provide third power-good signals when the components receive the power voltages. When one of the third power-good signals is converted from enabled to disabled, the state recording module delays a tolerance period according to the component corresponding to the third power-good signal, and converts the first power-good signal corresponding to the third power-good signal from enabled to disabled after the tolerance period is delayed. | 05-23-2013 |
20130132742 | CHARGING CONTROL METHOD FOR A RECHARGEABLE BATTERY AND PORTABLE COMPUTER - Disclosed are charging control methods for a rechargeable battery and portable computers. The charging control method includes acquiring a control parameter for a charge current of the rechargeable battery; modifying, based on the control parameter, the charge current from a first charge current to a second charge current less than the first charge current; and charging the rechargeable battery with the second charge current. Compared with conventional methods of charging the battery always with the maximal charge current, the present disclosure can improve the battery's lifetime. | 05-23-2013 |
20130132743 | INDUCTIVE RECEIVERS FOR ELECTRICAL DEVICES - A power providing system for an electrical device includes a secondary inductor, wired to the electrical device, for inductively coupling with a primary inductor hardwired to a power supply. The secondary inductor is incorporated into an accessory of the electrical device. | 05-23-2013 |
20130132744 | STATE CONTROL SYSTEM AND METHOD - A controlled apparatus periodically transmits state information indicating the state of the apparatus, and when the state has been changed, transmits state information indicating the state after the change. Upon receiving state information from the controlled apparatus, a control apparatus, when not requesting a change of state in the controlled apparatus, returns the state information to the controlled apparatus, and when requesting a change of the state in the controlled apparatus, changes state parameters in the state information that correspond to the state to be changed to required values and transmits the state information after the change to the controlled apparatus as a control command. The controlled apparatus, upon receiving the control command from the control apparatus, changes to a state in accordance with the state parameters that follow the change and transmits the state information indicating the state after the change to the control apparatus. | 05-23-2013 |
20130138976 | PROCESSOR, CONTROLLER, AND INPUT/OUTPUT DEVICE POWER REDUCTION AND OPTIMIZATION - Embodiments of the present invention provide a processor design that enables controller and I/O device power reduction and optimization. In a typical embodiment, a processing core is coupled to a set (e.g., three) of I/O blocks. The processing core provides for selective activation and/or deactivation of any of the I/O blocks. Two of the I/O blocks are coupled to individual voltage I/O components as well as individual external circuits. In one embodiment, the individual external circuits are coupled to individual voltage control components. | 05-30-2013 |
20130138977 | METHOD AND APPARATUS FOR ADJUSTING POWER CONSUMPTION LEVEL OF AN INTEGRATED CIRCUIT - Briefly, a method and apparatus adjusts the power consumption level of an integrated circuit by dynamically scaling the clock frequency based on the real-time determined power consumption level. In one example, the method and apparatus changes an actual clock frequency of the integrated circuit to an effective clock frequency based on the maximum clock frequency and the difference between the threshold power consumption level and the actual power consumption level of the integrated circuit in the previous sampling interval. In one example, an effective clock frequency of the integrated circuit in the current sampling interval is determined. In one example, the difference between the maximum and effective clock frequencies in the current sampling interval is proportional to the difference between the threshold and actual power consumption levels in the previous sampling interval. The actual clock frequency of the integrated circuit is changed to the determined effective clock frequency. | 05-30-2013 |
20130138978 | Charge Recycling Between Power Domains of Integrated Circuits - A mechanism is provided for efficiently recycling a charge from a power domain that is discharging. A side of a discharging power domain normally coupled to a voltage supply is disconnected from the voltage supply. The side of the precharging power domain normally coupled to the voltage supply is currently disconnected from the voltage supply. The side of the discharging power domain normally coupled to the voltage supply is connected to a side of the precharging power domain normally coupled to the voltage supply. A side of the discharging power domain normally coupled to the ground is disconnected from ground. The side of the discharging power domain normally coupled to ground is connected to the voltage supply, thereby precharging the precharging power domain with the charge from the discharging power domain that would normally he lost due to leakage. | 05-30-2013 |
20130138979 | SERVER RACK SYSTEM - A server rack system includes a first network switch, a second network switch, servers, a rack internal device, and an integrated management module (IMM). Management network ports of baseboard management controllers (BMCs) of the servers are connected to the first network switch. A management network port of the rack internal device is connected to the second network switch. A first management network port and a second management network port of the IMM are respectively connected to the first network switch and the second network switch. The IMM communicates with the BMCs of the servers through the first network switch, so as to obtain operation states of the servers, or control operations of the servers. The IMM communicates with the rack internal device through the second network switch, so as to obtain an operation state of the rack internal device, or control an operation of the rack internal device. | 05-30-2013 |
20130138980 | SERVER RACK SYSTEM FOR MANAGING POWER SUPPLY - A server rack system for managing power supply is provided. The system includes: a first LAN switch, a plurality of servers, at least one power supply unit, and an IMM. The first LAN switch is coupled to a management network. Each of the servers has a BMC. The BMC has a management network port connected to the management network. The power supply unit supplies electric power to the server rack system and has a management network port connected to the management network. The IMM has a management network port connected to the management network, visits the BMCs through the management network to acquire a power consumption value of the servers, generates a control command according to the power consumption value of the servers, and transmits the control command through the management network to the power supply unit. The power supply unit adjusts electric power output according to the control command. | 05-30-2013 |
20130138981 | POWER DISTRIBUTION METHOD AND SERVER SYSTEM USING THE SAME - A power distribution method suitable for a server system is provided. In the method, an average power is respectively supplied to activated motherboards, an expected power of each activated motherboard is read, and the expected power and the average power are compared, where if the expected power is greater than the average power, a first state is defined, and if the expected power is less than the average power, a second state is defined. Then, the expected powers of the motherboards defined as the second state and the average power are calculated to obtain a first remaining power. Then the first remaining power is averagely distributed to the motherboards defined as the first state. This method is capable of dynamically distributing power according to the needs of each of the motherboards and providing sufficient powers to the motherboards for operation. | 05-30-2013 |
20130138982 | POWER CONSUMPTION MANAGEMENT IN COMMUNICATION SYSTEM - Representative implementations of devices and techniques provide an efficient communication that enables nodes in a reduced power consumption state to resume a regular power state (e.g., fully operational) or otherwise another power state (e.g., semi-operational) after processing the communication. | 05-30-2013 |
20130145180 | METHOD OF POWER CALCULATION FOR PERFORMANCE OPTIMIZATION - A system and method for efficient management of operating modes within an IC for optimal power and performance targets. On a same die, an SOC includes one or more processing units and a input/output (I/O) controller (IOC). The multiple interfaces within the IOC manage packets and messages according multiple different protocols. The IOC maintains an activity level for each one of the multiple interfaces. This activity level may be based at least on a respective number of transactions executed by a corresponding one of the multiple interfaces. The IOC determines a power estimate for itself based on at least the activity levels. In response to detecting a difference between the power estimate and an assigned I/O power limit for the IOC, a power manager adjusts at least respective power limits for the one or more processing units based on at least the difference. | 06-06-2013 |
20130145181 | System and Method for Long Range Power Over Ethernet Using Integrated Boost Repeaters - A system and method for long range power over Ethernet (PoE) using integrated boost repeaters. The integrated boost repeater includes a boost converter module that boosts a voltage level received by a powered device on a first port of the integrated boost repeater to a second voltage level that is applied by a power source equipment to a second port of the integrated boost repeater. In one embodiment, the boost converter module boosts the voltage to a level that is greater than a voltage level output by an originating power source equipment. | 06-06-2013 |
20130145182 | POWER SUPPLY DEVICE FOR SERVER SYSTEMS - A power supply device for a server system includes a main power supply, a control microchip, a first switch, a trigger, a second switch, and an auxiliary power supply. When a voltage of the main power supply is within a predetermined range, the control microchip keeps the first switch turned off and the trigger keeps the second switch turned on, so that a voltage of the auxiliary power supply is transmitted to the server system via the second switch. When the main power supply is outside the predetermined range, the control microchip turns on the first switch and the trigger turns off the second switch, so that the voltage of the auxiliary power supply is transmitted to ground. | 06-06-2013 |
20130145183 | MANAGEMENT SYSTEM, MANAGEMENT APPARATUS, MANAGEMENT METHOD, AND COMPUTER-READABLE MEDIUM - A management system which includes an image forming apparatus having a plurality of functions, and a management apparatus which manages the image forming apparatus, the management apparatus comprises: a power consumption acquisition unit which acquires information of power consumptions for the respective functions of the image forming apparatus; a supply power acquisition unit which acquires information of a supply power to be supplied to the image forming apparatus; a determination unit which determines a power consumption value to be consumed by the image forming apparatus based on the information of the power consumptions for the acquired respective functions and the information of the acquired supply power; and a setting unit which sets a power consumption of the image forming apparatus to be operated at the determined power consumption value. | 06-06-2013 |
20130145184 | ELECTRONIC APPARATUS AND IMAGE FORMING APPARATUS - An electronic apparatus comprising: a main body including a reception unit and a processing unit; and a power supply device switches between an operation state for supplying power from an external power source to the main body and a standby state for supplying power from a secondary battery to the reception unit without supplying power from the power source to the main body. Charging of the battery with power from the power source is performed during the operation state and is terminated when a value indicating power level of the battery reaches a threshold value Vt satisfying (discharge lower limit VL+Vs)≦Vt06-06-2013 | |
20130145185 | DEMAND BASED POWER ALLOCATION - A demand based power re-allocation system includes one or more subsystems to assign a power allocation level to a plurality of servers, wherein the power allocation level is assigned by priority of the server. The system may throttle power for one or more of the plurality of servers approaching the power allocation level, wherein throttling includes limiting performance of a processor, track server power throttling for the plurality of servers. The method compares power throttling for a first server with power throttling for remaining servers in the plurality of servers and adjusts throttling of the plurality of servers, wherein throttled servers receive excess power from unthrottled servers. | 06-06-2013 |
20130151867 | SYNCHRONIZED COMMAND THROTTLING FOR MULTI-CHANNEL DUTY-CYCLE BASED MEMORY POWER MANAGEMENT - A technique for memory command throttling in a partitioned memory subsystem includes accepting, by a master memory controller included in multiple memory controllers, a synchronization command. The synchronization command includes command data that includes an associated synchronization indication (e.g., a synchronization bit or bits) for each of the multiple memory controllers and each of the multiple memory controllers controls a respective partition of the partitioned memory subsystem. In response to receiving the synchronization command, the master memory controller forwards the synchronization command to the multiple memory controllers. In response to receiving the forwarded synchronization command each of the multiple memory controllers de-asserts an associated status bit. In response to receiving the forwarded synchronization command, each of the multiple memory controllers determines whether the associated synchronization indication is asserted. Each of the multiple memory controllers with the asserted associated synchronization indication then transmits the forwarded synchronization command to associated power control logic. | 06-13-2013 |
20130151868 | COMPUTER POWER SUPPLY WITH LOW STANDBY POWER - An emergency communication and dispatching system has an operating console and a plurality of 2-way radios. 2-way radios are carried separately by the staff on duty and communicated with the operating console or other staff. Of which, the operating console could receive the event information and pictures from the reporting source and transmit them to the 2-way radio of any staff, while a camera could be used by the staff to take the pictures at the scenes. The 2-way radio is used to transmit the pictures and position data to the operating console or the receiver of other staff, so as to improve the communication and execution efficiency of public security or military personnel. | 06-13-2013 |
20130151869 | METHOD FOR SOC PERFORMANCE AND POWER OPTIMIZATION - A system and method for efficient management of resources within a semiconductor chip for an optimal combination of power reduction and high performance. An intergrated circuit, such as a system on a chip (SOC), includes at least two processing units. The second processing unit includes a cache. The SOC includes a power management unit (PMU) that determines whether a first activity level for the first processing unit is above a first threshold and a second activity level for the second processing unit is below a second threshold. If this condition is true, then the PMU places a limit on a highest power-performance state (P-state) used by the second processing unit. The PMU sends an indication to flush the at least one cache within the second processing unit. The PMU changes a P-state used by the first processing unit to a higher performance P-state. | 06-13-2013 |
20130151870 | ELECTRONIC DEVICE, STORAGE MEDIUM AND METHOD FOR PROTECTING THE ELECTRONIC DEVICE - In a method for protecting an electronic device, a voltage threshold value is set for indicating that water has entered the electronic device. A voltage value is detected from each water sensor included in the electronic device at a predetermined time interval. The method determines whether water has entered the electronic device according to the detected voltage value of water sensor and the voltage threshold value. When water has entered the electronic device, the method further prompts a user of the electronic device using a predetermined prompt mode, and controls the electronic device to be powered-off. | 06-13-2013 |
20130151871 | Power Management IC Having a Power Supply PWM that is Controllable Using Either an Analog or a Digital Feedback Path - A Power Management Integrated Circuit (PMIC) includes a pulse width modulator and driver circuit (PWMDC), a processor, and high-side and low-side driver circuitry. The PWMDC, along with components external to the PMIC, forms a switching power supply. A small linear regulator powers the PWMDC from power received via a terminal. The power supply supplies power to other on-chip circuitry, including the driver circuitry and processor. The PWMDC starts an on pulse (of a power supply switching cycle) in response to a clock signal. In a first mode, the PWMDC stops the on pulse based on a signal received from a terminal via an analog feedback signal path. In a second mode, the PWMDC stops the on pulse based on a signal received via a digital feedback signal path. In one example, the digital feedback signal path extends from a terminal, through an ADC, processor, and DAC, to an error node. | 06-13-2013 |
20130151872 | POWER SUPPLY DEVICE AND COMPUTER SERVER USING THE SAME - A power supply device for a server device includes a power supply circuit, a control microchip, and a compensation element. The compensation element is a resistor having a negative temperature coefficient. The control microchip controls the power supply circuit to generate an output voltage to power the server device in response to receiving a voltage of an external power supply. When the power supply device generates excessive heat in use, a resistance of the compensation element decreases to maintain a total resistance of the power supply device at substantially an original value. | 06-13-2013 |
20130151873 | IMAGE PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND COMPUTER-READABLE STORAGE MEDIUM - When an image processing apparatus according to this invention accepts a shutdown instruction, and completes execution of shutdown processing, and switches the operation state of the power source switch to an OFF state using a driving unit of the power source switch if the shutdown instruction is accepted via an external device communicably connected to the image processing apparatus. | 06-13-2013 |
20130151874 | LINKED SHELL - An apparatus and method is provided for controlling a display device for displaying a user interface associated with an application. A processor for controlling peripheral devices and/or the display may be selected based on characteristics of a requested function to be performed. For example, a processor may be selected with a power characteristic corresponding to a power level needed to perform the requested function. Also, an instantiation of a user interface may be switched based on selection of the processor for controlling peripheral devices. In another example, the transition from one instantiation of the user interface to another instantiation of the user interface may be smooth such that a user may be unaware a change has been made. | 06-13-2013 |
20130159734 | Power Management Methods for System on a Chip - Methods for reducing power consumption of a system on a chip (SOC) are disclosed. The system comprises multiple subsystems. Each of the subsystems comprises a first voltage regulator and a second voltage regulator that may be placed closely on a layout. The first voltage regulator is coupled to at least one performance sensor. A controller initiates a power optimization program that determines minimal output voltage of the first voltage regulator for achieving minimal acceptable performances of the performance sensors. Determined output voltage is duplicated to a second voltage regulator to supply power for system components of the subsystem. | 06-20-2013 |
20130159735 | POWER MANAGEMENT SYSTEM AND METHOD - A power management system of a server includes a power supply unit, and a power backup unit connecting to an input terminal and an output terminal of the power supply unit. The power backup unit further includes a charging unit, a power storage unit, and a converting unit. The charging unit uses an output power from the output terminal to charge the power storage unit when an external power source is supplying power to the power supply unit. The converting unit converts a stored power of the power storage unit to provide a backup power to the input terminal when the external power source is shutdown. The disclosure further provides a power management method. | 06-20-2013 |
20130159736 | ELECTRONIC APPARATUS WITH LOW POWER CONSUMPTION, AND CONTROL METHOD AND STORAGE MEDIUM THEREFOR - An electronic apparatus in which an AC power source and a secondary battery are used in combination and which is capable of reducing power consumption. Among plural apparatuses that constitute an image processing apparatus serving as an electronic apparatus, part of the plural apparatuses can be supplied with power from a secondary battery. In a case where power use efficiency attained when required power for all apparatuses to be operated, among the part of the plural apparatuses, is supplied from the secondary battery is higher than conversion efficiency of an AC power unit attained when the required power is supplied from the AC power unit, FETs are controlled such that power is supplied from the secondary battery to the apparatuses to be operated. | 06-20-2013 |
20130159737 | Universal Serial Bus Current Limit - A load device includes a power input having an interface to a power supply; a peripheral power bus including an internal capacitance, and an active switch coupled to the power input and the peripheral power bus for applying power from the power input to the peripheral power bus. The load device also includes a switch controller coupled to the active switch for regulating the in-rush current drawn by the internal capacitance through the active switch while the internal capacitance is being charged. | 06-20-2013 |
20130166924 | METHOD FOR PERFORMING SCENARIO DRIVEN VOLTAGE SCALING, AND ASSOCIATED APPARATUS - A method for performing scenario driven voltage scaling of a system includes: monitoring at least one condition of the system, wherein the at least one condition includes user scenario switching of the system; and based upon at least one predetermined table, determining at least one level of at least one voltage for driving the system according to the at least one condition, where the predetermined table includes a plurality of sets of frequency/voltage information respectively corresponding to a plurality of scenarios. An associated apparatus for performing scenario driven voltage scaling of the system is also provided. The apparatus includes at least one tracking module capable of monitoring the at least one condition of the system, and further includes at least one voltage control module capable of determining the at least one level of the at least one voltage. | 06-27-2013 |
20130166925 | DEVICE FOR ADJUSTING POWER CONSUMPTION AND METHOD THEREOF - A device and a method for adjusting power consumption are provided. The method is adapted for adjusting power consumption of an external graphic processing unit (GPU) according to different voltage sources and includes following steps: determining whether power to the external GPU is supplied by a first voltage source or a second voltage source according to a comparison result of an input voltage of the external GPU and a default reference voltage. If the input voltage is higher than or equal to the default reference voltage, power to the external GPU is supplied by the first voltage source, and the external GPU is controlled to remain in a normal speed operation status. If the input voltage is lower than the default reference voltage, power to the external GPU is supplied by the second voltage source, and the external GPU is controlled to remain in a low speed operation status. | 06-27-2013 |
20130166926 | INFORMATION PROCESSING APPARATUS THAT OFFERS CHANCE OF ELIMINATING HANG-UP STATE, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM - An information processing apparatus which is capable of, when hang-up occurs, eliminating the hang-up state and restoring to a normal state without bothering a user. A first power supply unit supplies power to predetermined devices among a plurality of devices, and a second power supply unit supplies power to the plurality of devices. When startup is done with power being supplied to the predetermined devices, software is started by supplying power to all of the plurality of devices. When the second power supply unit is turned on during the startup, whether or not the software has been normally started is determined. When the software has not been normally started, the software is restarted by carrying out an off-on process in which the plurality of devices are reset, the second power supply unit is turned off, and then the second power supply unit is turned on again. | 06-27-2013 |
20130166927 | POWER CONTROL SYSTEM AND METHOD - The power control system controls a first power unit of an environmental control unit of a server and a second power unit of the server, and includes a receiving unit, a control unit, a warning unit, a determination unit, and a timing unit. When a first operating state of the first power unit is a power-off state and a second operating state of the second power unit is a power-on state, the control unit controls the warning unit to provide a warning signal and controls the timing unit to start a timer. When an elapsed time of the timer reaches a predetermined time, the control unit turns off the server. The disclosure further provides a power control method. | 06-27-2013 |
20130166928 | UNIVERSAL SERIAL BUS HOST AND POWER MANAGEMENT METHOD THEREOF - A universal serial bus (USB) host includes a power unit and a USB interface unit. The power unit includes a battery and a charging module configured to control the battery. The USB interface unit is configured to interface with a first USB device, and is configured to be controlled based on a remaining amount of power of the battery. The USB interface unit is further configured to maintain a data connection between the USB interface unit and the first USB device when a power supply connection between the USB interface unit and the first USB device is disconnected. | 06-27-2013 |
20130166929 | POWER SUPPLY SYSTEM FOR MEMORY MODULES - A power supply system includes a state detection unit, a control unit, a first voltage regulator, a second voltage regulator, a first group of memory slots, and a second group of memory slots. The first voltage regulator supplies power to memory modules connected to the first group of memory slots. The second voltage regulator supplies power to memory modules connected to the second group of memory slots. The state detection unit detects operation states of the memory modules connected to the first and second groups of memory slots. When the state detection unit detects one of the memory modules connected to the first group of memory slots is damaged, the state detection unit outputs a control signal to the control unit. The control unit controls the first voltage regulator not to supply power to the memory modules connected to the first group of memory slots, after receiving the control signal. | 06-27-2013 |
20130173932 | Systems and Methods for Decimation Based Over-Current Control - The present inventions are related to systems and methods for data processing, and more particularly to systems and methods for power governance in a data processing system. In some such systems and methods, the operation of one or more calibration circuits is modified when it is determined that too many data processing circuits are active. | 07-04-2013 |
20130173933 | PERFORMANCE OF A POWER CONSTRAINED PROCESSOR - Provided is a method for improving performance of a processor. The method includes computing utilization values of components within the processor and determining a maximum utilization value based upon the computed utilization values. The method also includes comparing (i) the maximum utilization value with a first threshold and (ii) differences between the computed utilization values and a second threshold. | 07-04-2013 |
20130173934 | MOTHERBOARD - A motherboard includes a central processing unit (CPU), a drive, and a voltage-state display system to display a voltage mode of the CPU. The voltage-state display system includes a power management chip, a first transistor, a second transistor, a first light emitting diode (LED), and a second LED. A first phase output terminal of the power management chip is connected to the first LED through the first transistor. A second phase output terminal of the power management chip is connected to the second LED through the second transistor. The LEDs indicate the voltage mode of the CPU. | 07-04-2013 |
20130173935 | POWER CONTROL METHOD AND APPARATUS FOR ARRAY PROCESSOR - Provided is an apparatus and method for controlling power to a reconfigurable array processor. The method may determine one or more function units (FUs) as activation function units (FUs) and deactivation FUs among a plurality of FUs included in the reconfigurable array processor. The processor may interrupt power supplied to the deactivation FUs. | 07-04-2013 |
20130173936 | POWER DISTRIBUTION INSIDE CABLE - Circuits, methods, and apparatus that provide for the powering of active components in connector inserts at each end of a cable may in various ways. For example, where a host is coupled to a device that is not self-powered, the host may provide power for circuitry at each end of the cable. In various embodiments of the present invention, the device may request higher voltage from the host, such that more power can be delivered. In these cases, the device may regulate the voltage received from the host to a lower voltage, and then provide the lower voltage to circuitry at one or both ends of the cable. Where the host is connected to a device that is self-powered, the host and the self-powered device may power their respective connector insert circuits. | 07-04-2013 |
20130173937 | EXPANDABLE ETHERNET POWER SUPPLY DEVICE - An expandable Ethernet power supply device includes a network line, a power sourcing equipment (PSE), a DC power inputting terminal and a power outputting terminal. A PoE outputting port is inserted into network equipment. An Ethernet connector is connected to a network data source. A DC power is inputted to the DC power inputting terminal and managed by the PSE, and then providing demanded working voltage of the network equipment and transmitting network data. The power outputting terminal is provided for connecting to the DC power inputting terminal of another Ethernet power supply device and demanded working voltage of more network equipment. | 07-04-2013 |
20130173938 | DATA PROCESSING DEVICE AND PORTABLE DEVICE HAVING THE SAME - A data processing device includes a plurality of central processing unit (CPU) cores; a plurality of first switches connected between a power line and each of the plurality of CPU cores, respectively; a power management unit; and a dynamic voltage/frequency scaling control circuit configured to scale at least one of a voltage and a frequency of a clock signal which are supplied to each of the CPU cores according to a control of the power management unit, wherein the power management unit is configured to decrease at least one of the voltage and the frequency which are supplied to each of the CPU cores and generate each of first control signals controlling a switching operation of each of the plurality of first switches, according to a control of one of the CPU cores. | 07-04-2013 |
20130173939 | Modular Combined Optical Data Network and Independent DC Power Distribution System - This invention relates to a modular combined optical data and electrical power distribution network and related system. More particularly the invention relates to a system for bi directional high-speed distribution of data and the universal transmission of significant quantities of electrical power using composite cabling which is adapted for connection to a plurality of peripheral components and devices. Previous data networks, particularly in domestic environments, for example for controlling personal computers, laptops and peripherals such as printers and scanners required dedicated power supplies and resulted in a tangled mass of wires and cabling often seen as clutter and sometimes posing safety hazards. The invention overcomes this prob- lem by providing a relatively low voltage continual power bus, in the foim of a dual or multi-core wire, which typically carries up to 100-200 Watts per node and which is also capable of carrying high volumes of data traffic typically in excess of 1 GBit/sec. The invention comprises: a data bus and an electrical conductor encased within a sleeving, the data bus defining a path for data and the conductor defming an electrical path. The sleeving is shaped and dimensioned so as to be capable of receiving junction connectors which, in use pierce the sleeving, so as to provide simultaneous connection to the data bus and electrical path. This is combined with intelligent power and data circuitry. | 07-04-2013 |
20130179697 | CONTINUOUSLY POWERED FIELD DEVICE - A continuously powered field device for use in a process control system includes a field device housing, a primary power port disposed within or connected to the field device housing, and a power source switching module comprising a first power terminal, a second power terminal, and a third power terminal. The first power terminal is coupled to the primary power port, and the third power terminal is configured to deliver power applied to the third power terminal to at least a portion of the field device. The power source switching module is operable in a first state of operation to couple the first power terminal to the third power terminal, and the power source switching module is operable in a second state of operation to couple the second power terminal to the third power terminal. | 07-11-2013 |
20130179698 | SYSTEMS AND METHODS FOR OPTIONS RELATING TO POWER-ON OF A USER EQUIPMENT DEVICE - Systems and methods for setting up a power-on state of a user equipment device using a media guidance application are provided. A power-on state may specify media content related actions to be performed by the user equipment device when the user equipment device next powers on. In particular, in response to a user request to power off the user equipment device, the user may be presented with multiple power-on state options on a power-off screen. A user selection of a power-on state option may be received and a start-up routine of the user equipment device may be configured such that the user equipment device performs the media content related actions specified by the selected power-on state when it powers on. | 07-11-2013 |
20130179699 | POWER CONTROL APPARATUS - There is provided a power control apparatus including a power supply control unit that executes power supply control with respect to a device connected to a power supply line, a connector that is connected to a cable to perform versatile communication between the power supply control unit and an external device, and a switch that is provided at a predetermined position of a circumferential portion of the connector and physically intercepts the versatile communication between the power supply control unit and the external device. | 07-11-2013 |
20130179700 | COMMUNICATION DEVICE, CONTROL METHOD FOR COMMUNICATION DEVICE, AND STORAGE MEDIUM - A communication device includes a main control part configured to receive and process an image signal; a first network control part configured to convert data input from an external device into the image signal and provide the main control part with the image signal; a sub control part configured to detect a power-on command; and a second network control part configured to control communications with the external device via a network to provide the first network control part with data input from the external device. Power supplies to the main control part, the sub control part, the first network control part and the second network control part are independently controlled. | 07-11-2013 |
20130179701 | SEPARATE DEBUG POWER MANAGEMENT - The power consumption of embedded debug functions in ultra low power SoC sytems is minimized by seggregating the debug logic into separate power domains, and allocating separate power pins to the debug power sources. Debug power may be supplied from an external power source, from the system power source or from a functional communication interface such as USB, JTAG or cJTAG. | 07-11-2013 |
20130179702 | SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - Power supply of ECUs connected to a communication network is optimally controlled so that power consumption is reduced. A transceiver/receiver converts a message of a differential signal received via a CAN bus into a digital signal. A select circuit determines whether the converted message is in a CAN format or a UART format. If it is in the UART format, the select circuit outputs a message to the UART circuit. A UART circuit determines whether the message matches a UART format. If matched, an ID determination circuit determines whether the input message is specifying a CAN ID of its own ECU. If it is the CAN ID of the ECU, the ID determination circuit outputs an enable signal to turn on a regulator and supply power to an MCU and an actuator. | 07-11-2013 |
20130179703 | Increasing Power Efficiency Of Turbo Mode Operation In A Processor - In one embodiment, a processor has multiple cores to execute threads. The processor further includes a power control logic to enable entry into a turbo mode based on a comparison between a threshold and value of a counter that stores a count of core power and performance combinations that identify turbo mode requests of at least one of the threads. In this way, turbo mode may be entered at a utilization level of the processor that provides for high power efficiency. Other embodiments are described and claimed. | 07-11-2013 |
20130179704 | Dynamically Allocating A Power Budget Over Multiple Domains Of A Processor - In one embodiment, the present invention includes a method for determining a power budget for a multi-domain processor for a current time interval, determining a portion of the power budget to be allocated to first and second domains of the processor, and controlling a frequency of the domains based on the allocated portions. Such determinations and allocations can be dynamically performed during runtime of the processor. Other embodiments are described and claimed. | 07-11-2013 |
20130179705 | Controlling A Turbo Mode Frequency Of A Processor - In one embodiment, the present invention includes a multicore processor with a power controller to control a frequency at which the processor operates. More specifically, the power controller can limit a maximum operating frequency of the processor to less than a configured maximum operating frequency to enable a reduction in a number of frequency transitions occurring responsive to power state events, thus avoiding the overhead of operations performed in handling such transitions. Other embodiments are described and claimed. | 07-11-2013 |
20130185570 | Providing Per Core Voltage And Frequency Control - In one embodiment, the present invention includes a processor having a plurality of cores and a control logic to control provision of a voltage/frequency to a first core of the plurality of cores independently of provision of a voltage/frequency to at least a second core of the plurality of cores. In some embodiments, the voltages may be provided from one or more internal voltage regulators of the processor. Other embodiments are described and claimed. | 07-18-2013 |
20130191652 | Security of Cryptographic Devices Against Differential Power Analysis - An embodiment of the invention provides a cryptographic device that draws a substantially constant current from an accessible electrical node that supplies power to the cryptographic device. Keeping the current drawn from the accessible electrical node substantially constant reduces the probability that secure information may be taken by unwanted third parties from the cryptographic device. The cryptographic device includes an active shunt current regulator, a low-pass filter, a linear voltage regulator and an AES (advanced encryption standard) circuit. | 07-25-2013 |
20130191653 | USB HUB FOR SUPPLYING POWER AND METHOD THEREOF - A USB hub and a method thereof. The USB hub supplies power to a USB device, is connected between the USB device and a USB host under a working power state, and comprises an upstream port, a downstream port, a power port, and a controller. The upstream port is coupled to the USB host. The downstream port is coupled to the USB device. The power port is coupled to a power source. The controller is coupled to the upstream port, the downstream port, and the power port, and determines whether the USB host has left the working power state, and determines whether the USB device is electrically chargeable, when the USB host has left the working power state. The downstream port provides power to the USB device from the power source when the USB device is electrically chargeable. | 07-25-2013 |
20130191654 | METHOD AND SYSTEM FOR FURNISHING POWER AND DATA FROM POWER SOURCING EQUIPMENT TO POWERED DEVICE - A power sourcing equipment is connected to a powered device via a cable. The power sourcing equipment is configured to provide power to different types of devices. The power sourcing equipment has a control system for determining the type of powered device that is connected to the cable and furnishes power in response to the determination. Also described is a powered device capable of establishing communication with PSEs of multiple types. | 07-25-2013 |
20130191655 | METHOD AND SYSTEM FOR FURNISHING BACKUP POWER AND DATA FROM POWER SOURCING EQUIPMENT TO POWERED DEVICE - A power sourcing equipment is connected to a powered device via a cable. The power sourcing equipment is configured to provide power to different types of devices and the powered device is configured to receive power from different types of power sourcing equipment. The power sourcing equipment has a control system for determining the type of powered device that is connected to the cable and furnishes power in response to the determination. The powered device has a detection resistance that is detectable by different types of power sourcing equipment. Also described is a powered device capable of establishing communication with PSEs of multiple types. | 07-25-2013 |
20130191656 | POWER DISTRIBUTION FOR MICROPROCESSOR POWER GATES - Embodiments related to controlling power distribution within a microprocessor are provided. In one example, a microprocessor comprising a power supply is provided. The example microprocessor also includes a plurality of power gate zones configured to receive power from the power supply, each power gate zone including a plurality of power gates, where the power gates within any given one of the power gate zones are controlled by the microprocessor independently of its control of power gates within any other of the power gate zones. The example microprocessor is operative to cause power initially to be supplied to a first power gate in a first one of the power gate zones, power then to be supplied to a second power gate in a second one of the power gate zones, and power then to be supplied to a third power gate in the first one of the power gate zones. | 07-25-2013 |
20130191657 | DEBUG SYSTEM, ELECTRONIC CONTROL UNIT, INFORMATION PROCESSING UNIT, SEMICONDUCTOR PACKAGE, AND TRANSCEIVER CIRCUIT - Disclosed is a debug system that suppresses the supply of extra electrical power for functions disused in the future while maintaining the performance of communication between an electronic control unit and an external unit for development. The debug system includes an electronic control unit that has a microcomputer for controlling the operation of a control target, a transceiver circuit that is capable of communicating data with the microcomputer, and an external unit for development that is capable of rapidly communicating data with the transceiver circuit. The electronic control unit includes a power supply unit for supplying electrical power to the microcomputer. The transceiver circuit operates on electrical power supplied from an external power supply unit, which differs from the power supply unit included in the electronic control unit. | 07-25-2013 |
20130191658 | METHODS OF ACHIEVING COGNIZANT POWER MANAGEMENT - A system and method of increasing the efficiency of overall power utilization in data centers by integrating a power management approach based on a comprehensive, dynamic model of the data center created with integrated environmental and computational power monitoring to correlate power usage with different configurations of business services utilization, with the techniques of CPU level power management. | 07-25-2013 |
20130198531 | PACEMAKER EVENT QUEUE TO CONTROL DEVICE PROCESSOR OPERATING POWER - In the present disclosure, conservation of an implantable medical device power supply of is facilitated by controlling the power consumption of the device's processing component. The power supplied to the processing component is controlled to enable processing of received events as a function of predetermined criteria rather than the actual occurrence of the events which is frequent, but irregular. Accordingly, the need for the processing component to start and stop (and thereby be fully powered on each start) with receipt of each event is obviated thereby maintaining the power consumption of the processing component and increasing longevity of the device. Event data associated with received events is stored in an event queue and subsequently retrieved and transmitted for processing based on predetermined criteria. The power supplied during an idle state of the processing component may be reduced in relation to the power supplied during a wake up state. | 08-01-2013 |
20130198532 | SYSTEMS AND METHODS FOR COUPLING AC POWER TO A RACK-LEVEL POWER INFRASTRUCTURE - In accordance with the present disclosure, a detachable power cable interface box (PCIB) for coupling AC power to a rack-level power infrastructure is described. The detachable PCIB includes a body section and a terminal disposed within the body section. The terminal may be coupled to an AC power source. A wiring block may also be disposed within the body, and the modular wiring block may be coupled to the terminal. The wiring block may arrange power input from the AC power source into a pre-determined output configuration corresponding to a detachable interface. The system may also include the detachable interface, and the detachable interface may be configured to couple with an integrated connector of the rack-level power infrastructure. The detachable interface may be common to all types of AC power sources. | 08-01-2013 |
20130198533 | SYSTEMS AND METHODS FOR PROVIDING SCALABLE UNINTERRUPTABLE DC POWER TO A RACK-LEVEL POWER INFRASTRUCTURE - In accordance with the present disclosure, a battery back-up unit (BBU) element for providing uninterruptable direct current (DC) power in a rack-level power infrastructure is describe. The BBU element may include a rack-mountable chassis with a battery drawer. A battery may be disposed within the battery drawer, and at least one power module may be coupled to the battery. The BBU element may also include a power module controller that causes the battery to charge from or discharge to a busbar coupled to the BBU element. The power module controller may also communicate power management information to a power infrastructure controller. | 08-01-2013 |
20130198534 | SYSTEM AND METHOD FOR PROVIDING MODULAR AND SCALABLE POWER INFRASTRUCTURE OUTSIDE OF USABLE IT SPACE - In accordance with the present disclosure, a system and method for providing scalable and modular power infrastructure outside of usable rack space is described. The system may include a chassis configured to mount on the side of a rack. A power cable interface box (PCIB) may be disposed within the chassis, and the PCIB may receive alternating current (AC) power. The system may further include at least one power supply unit disposed within a slot of the chassis, with the at least one power supply unit receiving AC power from the PCIB and outputting direct current (DC) power to a busbar. The system may also include a battery back-up unit (BBU) element disposed within the chassis. The BBU element may charge from and discharge to the busbar. | 08-01-2013 |
20130198535 | ELECTRONIC DEVICE - An electronic device can communicate with an external device according to a predetermined method. The electronic device includes a power switch operable to receive an operation for switching on and off the electronic device, a detector operable to detect a connection between the electronic device and the external device according to the predetermined method, and a requestor operable to request the external device to supply power. When the detector detects the connection between the electronic device and the external device with the power switch being ON, the requestor does not request the external device to supply the power in an establishing process for establishing the communication with the external device. When the detector detects the connection between the electronic device and the external device and the power switch is switched from ON into OFF, the requestor requests the external device to supply the power in the establishing process. | 08-01-2013 |
20130198536 | ELECTRONIC DEVICE - An electronic device includes a power input unit through which power from an external power source can be input, a battery connector that is electrically connectable to a battery, a device connector that is electrically connectable to a removable external device, and a power controller that controls supply of power to the external device from the external power source and the battery. When the external device is connected to the connector, the power controller stops supplying power from the power input unit and supplies power from the battery to the external device, before supplying power from the external power source to the external device. | 08-01-2013 |
20130198537 | UNINTERRUPTIBLE POWER SUPPLY DEVICE AND COMPUTER SYSTEM - An uninterruptible power supply device has a main power source and a backup power source and supplies power to a computer. The uninterruptible power supply device has an output power detection circuit and a control circuit which measures time until a completion of the power-off process of the computer. The control circuit alerts or extends a set time until the power-off according to the measured time. | 08-01-2013 |
20130205140 | ELECTRONIC APPARATUS AND DRIVING CONTROL METHOD THEREOF - An electronic apparatus includes an input unit to receive an input of DC power from an external adaptor, a battery unit to store the received DC power, a first control unit to drive the electronic apparatus using power of the battery unit or power received from the input unit in a first operation mode, and to drive the electronic apparatus using both the power of the battery unit and the power input from the input unit in a second operation mode, and a power control unit to prevent a mode of the electronic apparatus from being changed to the second operation mode according to a state of the battery unit. | 08-08-2013 |
20130205141 | Quality of Service Targets in Multicore Processors - Technologies are described herein for adapting a processor core on a multicore processor to achieve a quality of service target. Some example technologies may identify a target level of a resource on the computer. The technologies may identify a first utilization value and a second utilization value of the resource when the processor core operates at a first frequency and a second frequency. The technologies may generate a linear interpolation between a first point and a second point. Coordinates of the first point may include the first frequency and the first utilization value. Coordinates of the second point may include the second frequency and the second utilization value. The technologies may set the processor core to operate at a third frequency, which can be specified as one of the coordinates in an intersection point between the linear interpolation and the target level. | 08-08-2013 |
20130205142 | FOLDING TYPE APPARATUS AND METHOD OF CONTROLLING THE SAME - A folding type apparatus including a display unit and a body unit, the folding type apparatus includes a first sensor disposed in the display unit to measure a first angular velocity at which the display unit is rotated with respect to a hinge connecting the display unit and the body unit by sensing movement of the display unit, a second sensor disposed in the body unit to measure a second angular velocity at which the body unit is rotated with respect to the hinge by sensing movement of the body unit, and a controller to control the folding type apparatus according to an angle between the display unit and the body unit with respect to the hinge by receiving the first and second angular velocities. | 08-08-2013 |
20130212408 | REGULATING A CLOCK FREQUENCY OF A PERIPHERAL - A method of communicating in an electronic system or apparatus is disclosed. The method includes using a processor to communicate with a peripheral. The processor has a power state. The method also includes regulating a clock frequency of the peripheral, where this regulation is based at least in part on the power state of the processor. | 08-15-2013 |
20130212409 | Surface-mediated cell-powered portable computing devices and methods of operating same - This invention provides a portable computing device powered by a surface-mediated cell (SMC)-based power source, the portable device comprising a computing hardware sub-system and a rechargeable power source electrically connected to the hardware and providing power thereto, wherein the power source contains at least a surface-mediated cell. The portable computing device is selected from a laptop computer, a tablet, an electronic book (e-book), a smart phone, a mobile phone, a digital camera, a hand-held calculator or computer, or a personal digital assistant. | 08-15-2013 |
20130212410 | RENEWABLE ENERGY CONTROL SYSTEMS AND METHODS - Various examples are provided for renewable energy control. In one example, among others, a system includes a PV source, a multi-core processor load, and a solar power manager configured to adjust an operating point of the PV source about a maximum power point by dynamically adjusting a transfer ratio and a load level. In another example, a method includes sensing an output current supplied to a multi-core processor load by a power converter at a first transfer ratio, sensing another output current supplied at a second transfer ratio, and determining an operating point of the PV source with respect to a maximum power point based upon the output currents. In another example, a system includes a power manager configured to switch servers between a renewable energy supply and a utility energy supply based at least in part upon a budget level and the load power consumption. | 08-15-2013 |
20130219194 | TEST APPARATUS AND METHOD FOR TESTING PCIE SLOT - A test apparatus and a method are provided for testing a peripheral component interconnect (PCIE) slot by simulating the disconnection and reconnection of a device in the slot. The test apparatus detects whether a PCIE slot is in fact connected to an external device, and if so simulates an action of removing the external device from the PCIE slot and an action of re-inserting the apparently removed external device back into the PCIE slot to test the slot, thereby replacing any manual disconnection and reconnection. | 08-22-2013 |
20130219195 | DEVICES AND METHODS FOR TRANSMITTING POWER OVER AN ETHERNET LINE - Circuits and methods for providing power from power sourcing equipment to a powered device using an ethernet cable are disclosed herein. An embodiment of the method includes detecting a resistance value in the powered device, wherein the resistance value determines whether the powered device can receive power. A first class event is performed to determine the class of the powered device. A second class event is then performed to determine the class of the powered device. Power is transmitted on all four pairs of the ethernet cable simultaneously when the first and second class events indicate that the class of the powered device conforms to a class that can receive power from the power sourcing equipment on all four pairs. | 08-22-2013 |
20130219196 | POWER MANAGEMENT FOR MULTIPLE PROCESSOR CORES - Methods and apparatus relating to power management for multiple processor cores are described. In one embodiment, one or more techniques may be utilized locally (e.g., on a per core basis) to manage power consumption in a processor. In another embodiment, power may be distributed among different power planes of a processor based on energy-based considerations. Other embodiments are also disclosed and claimed. | 08-22-2013 |
20130219197 | REMOTE POWER MANAGEMENT SYSTEM AND METHOD - The present invention relates to a power management system and method, and more specifically, to a power management system and method, wherein: access information of a termination device, which is coupled with a target terminal together with a sharer, and access information of the target terminal are stored and managed in a relay server; and said relay server provides the access information of the target terminal to said termination device if a client performs an on/off control for the power of said target terminal power from a remote place, so that said termination device is capable of performing the power on/off control for the target terminal. | 08-22-2013 |
20130227306 | POWER SOURCING NETWORK PORT RESET - Example embodiments disclosed herein relate to selectively removing or resetting a restriction from a restricted power sourcing network port. A presence of a computing device coupled to one of a plurality of power sourcing network ports off a network device is determined. A power allocation to the power sourcing network port is determined. The computing device is authenticated to determine whether the computing device has permission to receive power from the network device. The power allocation is restricted. The restriction is selectively reset or removed. | 08-29-2013 |
20130227307 | Port Power Switch Based Lead Compensation - A port power switch (PPS) may be used for lead compensation in systems where power is provided to a connected device by a switch-mode power supply (SMPS). The PPS may be designed to co-operate with the SMPS, providing a mechanism for the feedback reference point of the SMPS to be automatically switched, in the event of system fault or some other condition that might result in the PPS entering an “OFF’ operating mode, from the application point of load (POL) to the voltage input pin of the PPS without loss of power path continuity. The switching mechanism and the PPS may be manufactured to reside on the same integrated circuit. The PPS may include a control block that generates a control signal to couple the feedback port of the SMPS to the POL under normal operation, and to the voltage input port of the PPS during a fault condition. | 08-29-2013 |
20130227308 | SERVER - A server includes an enclosure, a motherboard, and first and second switches. The enclosure includes a base and a cover. The first switch is used to manually turn on or off the motherboard. The second switch is capable of turning off the motherboard and is mounted on a sidewall of the base. A stopping piece extends down from one side of the cover to abut against the second switch. The second switch is connected to a power-on pin of the motherboard. When the cover is not covering the base, the stopping piece fails to abut against the second switch. And the second switch is turned off. The power-on pin receives a power-off signal to power off the server. | 08-29-2013 |
20130227309 | SERVER SYSTEM - A server system is disclosed. The server system comprises a motherboard and a server power system. The server power system comprises a power transmission interface, a power supply, a battery backup unit (BBU) and a signal transmission interface. The power supply converts an AC power into a DC power and then outputs the DC power to the motherboard via the power transmission interface. The BBU provides parallel or redundant power to the motherboard via the power transmission interface. The BBU and the power supply have the same size. The signal transmission interface is electrically connected to the motherboard, the power supply and the BBU. | 08-29-2013 |
20130227310 | SERVER POWER SYSTEM - A power server system is disclosed. The power server system comprises a power transmission interface, a power supply and a battery backup unit (BBU). The power supply converts an AC power into a DC power and outputs the DC power to the power transmission interface. After the AC power is disconnected, the power supply continues outputting the DC power in a holding period having an arising period and a current sharing period. The BBU outputs a redundant power to the power transmission interface before the power supply stop outputting the DC power. The BBU boosts the voltage level of the redundant power in the arising period, and controls the voltage level of the redundant power to be the same with that of the DC power in the current sharing period, so that the battery output inrush current is reduced and the battery life is extended. | 08-29-2013 |
20130227311 | POWER SUPPLY DEVICE FOR COMPUTER SYSTEMS - A power supply device for a computer system with a plurality of servers includes a control unit, a main board, and a connection unit. The control unit includes a plurality of switches. The main board includes a power supply and a strobe circuit. When any one or more of the switches is turned on, each turned-on switch generates a control signal. The control signal causes the power supply to be turned on, and simultaneously causes the strobe circuit to generate a selection signal corresponding to the turned-on switch. The connection unit electrically connects the power supply to a server corresponding to the turned-on switch in response to reception of the selection signal from the strobe circuit by the connection unit. | 08-29-2013 |
20130227312 | MOBILE TERMINAL - An information processing apparatus including an internal battery device including a secondary battery; a load device configured to receive power from the secondary battery; a switch section disposed externally to the battery device and configured to generate a control signal for preventing dark current from flowing from the secondary battery to the load device; and a first switching element configured to cut off a power source path from the secondary battery to the load device based on the control signal generated by the switch section. | 08-29-2013 |
20130227313 | Apparatus and Method for Multipoint Detection in Power-Over-Ethernet Detection Mode - Power over Ethernet (PoE) communication systems provide power and data communications over the same communications link, where a power source device (PSE) provides DC power to a powered device (PD). The DC power is transmitted simultaneously over the same communications medium with the high speed data from one node to the other node. The PSE controller measures the voltage, current, and temperature of the outgoing and incoming DC supply lines to characterize the power requirements of the PD. The PSE controller may detect and validate a compatible PD, determine a power classification signature for the validated PD, supply power to the PD, monitor the power, and reduce or remove the power from the PD when the power is no longer requested or required. If the PSE finds the PD to be non-compatible, the PSE can prevent the application of power to that PD device, protecting the PD from possible damage. | 08-29-2013 |
20130227314 | INTEGRATING ENERGY BUDGETS FOR POWER MANAGEMENT - Power consumption of a device (e.g., flash storage driver, hard drive, etc.) connected to a host computer system is managed to promote efficient power usage and improved service. Communication between a host computer system (e.g., an operating system) and a device is enabled so that the host system can ascertain a power footprint of the device. Taking the power footprint of the device into consideration, along with the power consumption of the system as a whole (e.g., including the power consumption needs of other devices), a power manager can provide a power budget to the device based upon an informed decision. This allows for improved system power management through a coordination of the device's power consumption by the host system, specifically during operation allowing device performance to be enhanced for the task at hand. | 08-29-2013 |
20130227315 | REMOTE ACCESS APPLIANCE WITH BACKUP POWER SYSTEM - A remote access appliance (“appliance”) having a backup power subsystem for powering a digital rack interface pod (“DRIP”) that is interfacing the appliance to a remote device. The backup power subsystem may involve the use of at least one shunt regulator subsystem that is adapted to control backup power to the DRIP in the event the DRIP loses power from a USB port of the remote device while a session is in progress with the remote device. The backup power subsystem automatically applies and regulates the power available to the power pins on the RJ-45 port of the appliance to power the DRIP. In the event the DRIP is unplugged form the RJ-45 port of the appliance while backup power is being drawn by the DRIP, the backup power subsystem virtually immediately removes power being applied to the predetermined pins of the RJ-45 port of the appliance. The backup power sub system further will not make power available to the power pins of the RJ-45 port on the appliance unless predetermined operating conditions are present with the DRIP. | 08-29-2013 |
20130227316 | BATTERY MODULE SYSTEM AND METHOD FOR INITIALIZING BATTERY MODULES - Battery system comprising a plurality of control nodes, where each control node comprises an electronic circuitry containing control information adapted to control and monitor a battery assembly and further comprising circuitry for serial communication with other control nodes, where each control node is mounted to a battery assembly, and where each control node is adapted to receive a unique node number and to store the node number in a memory, where the assignment of a node number is performed when the control node is connected to a serial bus communication line for the first time. The advantage of the invention is that a battery module can easily be initiated by the battery system when it is connected to the system for the first time. There is thus no need to run a specific initiation process in the production of the module. The software version of a battery module is also checked during the initiation, which will prevent battery modules with outdated software to be used. | 08-29-2013 |
20130232346 | METHOD AND APPARATUS WITH STOCHASTIC CONTROL BASED POWER SAVING OPERATION - A method and apparatus controls power consumption of at least one processor core by generating dynamic voltage and clock frequency scaling control information based on stochastic controller control parameters and workload performance error data. A processor core's voltage and clock frequency is varied based on the generated dynamic voltage and clock frequency scaling control information. In one example, a stochastic controller, is coupled to a dynamic voltage and clock frequency scaling (DVFS) circuit. The stochastic controller uses the stochastic controller control parameters and workload performance error data and generates the dynamic voltage and clock frequency scaling control information to control the DVFS circuit. Related methods are also disclosed. | 09-05-2013 |
20130232347 | METHOD AND APPARATUS FOR DYNAMIC POWER MANAGEMENT - An integrated circuit (IC) includes a first power supply node that is arranged to receive a first power supply signal. The IC also includes process detection circuits. Each process detection circuit provides a process detection output signal such that a value associated with the process detection output signal is a function of process variation at a location of the process detection circuit outputting the process detection signal. The IC also includes a processing unit that executes the processor-executable instructions to provide at least one voltage control signal, based, at least in part, on the process detection signals. The voltage control signal(s) include a first voltage control signal is associated with a target voltage for the first power supply signal. | 09-05-2013 |
20130232348 | Multi-Stage Power Adapter - Multi-stage power adapter techniques are described in which a power adapter for a device is configured to selectively switch between a relatively low power supply and a relatively high power supply. The low power supply may be employed upon initial connection of the adapter to a host device to ensure that the adapter is safe when disconnected and does not supply full power before the device is ready to receive the high power supply. The low power supply may supply enough power for the host device to detect the connection of the adapter and establish initial communication with the adapter. A switch to the high power supply by the adapter may then occur in response to a notification from the host device that indicates the host device is ready for the high power supply. The switch to high power supply enables normal operation of the host device. | 09-05-2013 |
20130232349 | Multi-Stage Power Adapter - Multi-stage power adapter techniques are described in which a power adapter for a device is configured to selectively switch between a relatively low power supply and a relatively high power supply. The low power supply may be employed upon initial connection of the adapter to a host device to ensure that the adapter is safe when disconnected and does not supply full power before the device is ready to receive the high power supply. The low power supply may supply enough power for the host device to detect the connection of the adapter and establish initial communication with the adapter. A switch to the high power supply by the adapter may then occur in response to a notification from the host device that indicates the host device is ready for the high power supply. The switch to high power supply enables normal operation of the host device. | 09-05-2013 |
20130232350 | Accessory Device Authentication - Accessory device authentication techniques are described. In one or more embodiments, connection of an accessory device to a host computing device is detected. Responsive to the detection, an authentication sequence may occur to verify an identity and/or capabilities of the accessory device. Upon successful authentication of the accessory device, the host device may authorize the accessory device for power exchange interactions with the host device. The host device may then draw supplemental power from a power source associated with the authorized accessory device, such as a battery or power adapter. The host device may also enable the accessory device to obtain and use power supplied by the host device in some scenarios. Power exchange between a host device and an authorized accessory may be managed in accordance with capabilities of the accessory device that are identified during authentication. | 09-05-2013 |
20130232351 | DYNAMIC VOLTAGE TRANSITIONS - The operating voltage of an integrated circuit (e.g., a processor) is changed in response to one or more conditions (e.g., a laptop computer is connected to an AC power source). Both the operating frequency and the operating voltage of the integrated circuit are changed. The voltage regulator providing the operating voltage to the integrated circuit is caused to transition between voltage levels using one or more intermediate steps. The integrated circuit continues to operate in the normal manner both at the new voltage and throughout the voltage transition. | 09-05-2013 |
20130238909 | POWER ENABLING CONTROL CIRCUIT AND ELECTRONIC DEVICE USING THE SAME - A power enabling control circuit is arranged in an electronic device. The electronic device includes a processor, a power integrated circuit, and a Moving Pictures Experts Group chip. The power enabling control circuit is connected to the processor and the Moving Pictures Experts Group chip for receiving a high level voltage from the processor or the Moving Pictures Experts Group chip. The power enabling control circuit is further connected to a power enabling port of the power integrated circuit to provide a high level voltage to the power enabling port based on the received high level voltage, causing the power integrated circuit in a working state to supply power to internal components of the electronic device. | 09-12-2013 |
20130238910 | MEMORY CARD WITH SMART CARD FUNCTION AND POWER CONTROL METHOD AND POWER CONTROL CIRCUIT THEREOF - A memory card with a smart card function including a flash memory unit, a data processing control unit, and a power control unit is provided. The data processing control unit is coupled to the flash memory unit. The data processing control unit controls the flash memory unit and encrypts, decrypts and stores smart card security data. The power control unit receives at least one of a first power input and a second power input. The power control unit selects the first power input or the second power input and provides the selected one to the data processing control unit according to at least one control signal. An output terminal of the power control unit is coupled to the first power input. Furthermore, a power control method and a power control circuit of the forgoing memory card are also provided. | 09-12-2013 |
20130238911 | POWER SUPPLY DEVICE FOR COMPUTER SYSTEMS AND COMPUTER SYSTEM USING THE POWER SUPPLY DEVICE - A power supply device for a computer system with a plurality of servers includes a power supply, a switch circuit, and a control unit. Each of the plurality of servers are electrically connected to the power supply via the switch circuit. The control unit is electrically connected to the power supply, the switch circuit, and each of the plurality of servers. Each of the plurality of servers is configured to send a selection signal to the control unit. Upon receiving the selection signal from one or more of the plurality of servers, the control unit turns on the power supply and controls the switch circuit to electrically connect the power supply with the one or more of the plurality of servers sending the selection signal. | 09-12-2013 |
20130238912 | METHOD AND APPARATUS FOR MANAGING POWER IN A MULTI-CORE PROCESSOR - There is provided a method of managing power in a multi-core data processing system having two or more processing cores, comprising determining usage characteristics for the two or more processing cores within the multi-core processing unit, and dependent on the determined usage characteristics, adapting a frequency or voltage supplied to each of the two or more processing cores, and/or adapting enablement signals provided to each of the two or more processing cores. There is also provided an apparatus for carrying out the disclosed method. | 09-12-2013 |
20130246814 | UNINTERRUPTIBLE POWER SUPPLY AND METHOD FOR CONTROLLING POWER DISTRIBUTION UNIT BY THE SAME - A method for controlling power distribution unit (PDU) by an uninterruptible power supply (UPS) has steps of allowing logging in the UPS through a network, providing a web-based user interface and allowing adding an identification of at least one PDU to use sockets on the added PDU to simulate additional sockets on the UPS, performing a handshaking task with the added PDU, receiving information from the added PDU during performing of the handshaking task, displaying the received information of the added PDU on the web-based user interface and allowing either operating the UPS or controlling the added PDU. The method allows the UPS to be capable of communicating with all connected PDUs so the user can merely login the UPS to control and manage all PDUs connected to the UPS. | 09-19-2013 |
20130246815 | BACKUP POWER SUPPLY DEVICE, POWER SUPPLY SYSTEM, COMPUTER SYSTEM, METHOD FOR CONTROLLING POWER SUPPLY OF COMPUTER SYSTEM, AND RECORDING MEDIUM - A backup power supply device that is used as a backup for a normal power supply device that includes a first converter configured to convert a first alternating-current voltage into a first direct-current voltage and a second converter configured to convert the first direct-current voltage into a second direct-current voltage includes a first detector that is coupled to an output of the first converter and outputs a first detection signal when the first direct-current voltage is lower than a first predetermined value; a third converter that converts a second alternating-current voltage into a third direct-current voltage; a battery that is charged by the third direct-current voltage; and a first switch that connects an output of the battery or an output of the third converter to an input of the second converter based on the output of the first detection signal. | 09-19-2013 |
20130254560 | POWER WIFI DEVICE - A power WiFi device includes an AC sharing module, including a plurality of AC outlets and being configured to receive an AC input from an AC power supply and distribute the power through the AC outlets; an AC/DC converter being connected to the AC sharing module and configured to convert the AC input to an DC voltage; a DC voltage regulator being connected to the AC/DC converter and an external DC power supply, and being configured to regulate the DC voltage from the AC/DC converter and an external DC input; a cellular network interface being connected to the DC voltage regulator and configured to establish a network connection to a cellular network; an Ethernet interface being connected to the cellular network interface and configured to share the network connection; and a wireless network being connected to the cellular network interface and configured to share the network connection. | 09-26-2013 |
20130254561 | POWER SUPPLY DEVICE - A power supply device used to provide electric power to a number of servers includes a first power supply and a selection circuit. The selection circuit includes a number of NOT gates, a number of controllers, and a number of transistors. An input terminal of each NOT gate is electrically connected to one of the corresponding servers. Each controller is electrically connected an output terminal of one corresponding NOT gate. A gate of each transistor is electrically connected to one of the corresponding controller, a drain of each transistor is electrically connected to the first power supply, and a source of each transistor is electrically connected to one of the corresponding server. Wherein when some of the server is powered on, the powered on servers generate power on signals which are transmitted to the corresponding controllers, the controllers generates corresponding turn on signals to turn on the corresponding transistors. | 09-26-2013 |
20130254562 | POWER ARBITRATION FOR STORAGE DEVICES - Aspects of the subject disclosure relate to a storage device including a flash memory, a controller coupled to the flash memory, wherein the controller is configured to store data to the flash memory and a power arbiter unit coupled to the controller and to the flash memory via a plurality of flash channels, wherein the power arbiter unit is configured to receive a plurality of power requests via one or more of the plurality of flash channels and process the plurality of power requests based on a respective priority identifier associated with each of the plurality of power requests. Additionally, a computer-implemented method and power arbiter unit (PAB) are provided. | 09-26-2013 |
20130254563 | METHODS AND APPARATUSES FOR OPERATING A DATA PROCESSING SYSTEM - Methods and apparatuses to manage working states of a data processing system. At least one embodiment of the present invention includes a data processing system with one or more sensors (e.g., physical sensors such as tachometer and thermistors, and logical sensors such as CPU load) for fine grain control of one or more components (e.g., processor, fan, hard drive, optical drive) of the system for working conditions that balance various goals (e.g., user preferences, performance, power consumption, thermal constraints, acoustic noise). In one example, the clock frequency and core voltage for a processor are actively managed to balance performance and power consumption (heat generation) without a significant latency. In one example, the speed of a cooling fan is actively managed to balance cooling effort and noise (and/or power consumption). | 09-26-2013 |
20130254564 | BATTERY LIFE EXTENDING POWER SUPPLY SYSTEM - A power supply system includes a battery and a power management system. The power management system is coupled to the battery and to an external power source that is operable to charge the battery using a first charge level. The power management system is operable to determine that a battery power level of the battery is greater than a first predetermined level that depends on a battery storage option and, in response, disable power from being supplied from the external power source such that power is supplied from the battery until the battery power level is below the first predetermined level. The power management system is also operable to determine that the battery power level of the battery is less than the first predetermined level and, in response, charge the battery with a second charge level that is less than the first charge level until the battery power level is above a second predetermined level that depends on the battery storage option. | 09-26-2013 |
20130254565 | AC Disconnect of Power Over Ethernet Devices - Embodiments of power sourcing equipment (PSE) utilizing AC disconnect are provided herein. In one embodiment, a PSE is provided that includes a DC supply configured to provide a DC voltage over a data communications medium, a controller configured to provide an AC disconnect signal over the data communications medium, and a parallel inductor-capacitor (LC) circuit coupled between the DC supply and the data communications medium. The parallel LC circuit is configured to isolate the DC supply from the AC disconnect signal. In another embodiment, a PSE is provided that includes a DC supply configured to provide a DC voltage at an output, an inductor coupled between the output of the DC supply and a data communications medium, and a capacitor coupled between the data communications medium and ground. The inductor and capacitor form a series LC circuit configured to generate an AC disconnect signal. | 09-26-2013 |
20130262883 | LINK POWER MANAGEMENT IN AN I/O INTERCONNECT - Described are embodiments of methods, apparatuses, and systems for link power management in an I/O interconnect. An apparatus for link power management in an I/O interconnect of a computer apparatus may include a switching fabric having a first switch and a second switch, configured to simultaneously transport first data packets over a first path of a link between a port of the first switch and a port of the second switch and second data packets over a second path of the link. The apparatus may include a power management unit configured to modify a power state of the port of the first switch based at least in part on relative power states of the first path and the second path. Other embodiments may be described and claimed. | 10-03-2013 |
20130262884 | Low-Frequency Noise Interference Prevention in Power Over Ethernet Systems - Low-frequency noise interference prevention in power over Ethernet (PoE) systems. A PoE device such as a power sourcing equipment (PSE) and/or a powered device (PD) is coupled to center taps of data transformers on multiple wire pairs used for high-data rate transmission via series high-impedance inductive devices. | 10-03-2013 |
20130262885 | POWER SUPPLY DEVICE FOR SOLID STATE DRIVE - A power supply device for a solid state drive (SSD) inserted into a slot of a computer includes a number of voltage input contacts, a diode, a first voltage regulating module and a second voltage regulating module connected in series. The slot includes a number of voltage output contacts and a number of signal transmitting contacts. The voltage input contacts are connected to the voltage output contacts and selectively connected to the signal transmitting contacts. The voltage input contacts obtains an initial voltage from the slot from the voltage output contacts or the signal transmitting contacts. The first voltage regulating module boosts the initial voltage. The second voltage regulating module regulates the boosted voltage to a preset voltage. The diode prevents a voltage outputting from the voltage input contacts to the signal transmitting contacts. | 10-03-2013 |
20130262886 | POWER SUPPLY CONTROL DEVICE - A power supply control device includes an always powered section and a selectively powered section. The always powered section includes a switch determining whether or not to supply external power to the selectively powered section, a first memory circuit storing information for turning on/off the switch, and a second memory circuit storing information on a change in a main power supply switch. The selectively powered section includes a power supply circuit supplying power to the device body, a non-volatile memory configured to storing information on an on/off-state of the device body, and a control section changing the information in the first memory circuit in accordance with the information in the non-volatile memory and the second memory circuit. | 10-03-2013 |
20130268779 | SYSTEMS AND METHODS FOR DYNAMIC POWER MANAGEMENT IN A BLADE SERVER - A system and method of dynamically managing a power supply allocation for each one of the server blades in a blade server includes a blade server system having a blade chassis, multiple server blades coupled to the blade chassis, a power supply system coupled to the blade chassis, a chassis management module coupled to the blade chassis, wherein the blade chassis includes electrical and data communication interconnections between the server blades, the redundant power supply system and the chassis management module. The chassis management module includes computer readable media having program instructions for dynamically managing a power supply allocation for each one of the server blades. | 10-10-2013 |
20130268780 | PORTABLE ACCESS AND POWER SUPPLY APPARATUS - A portable access and power supply apparatus includes a main body having at least one personal server device, a power supply unit and a communication interface device. The personal server device and the power supply unit are electrically connected to the communication interface device. Via the communication interface device, the data in the personal server device can be accessed and transmitted to an external device and the data of the external device can be received by the personal server device. Via a local area network or a wireless network, the data of the portable access and power supply apparatus can be readily accessed. Also, the portable access and power supply apparatus is able to supply power for other electronic products. | 10-10-2013 |
20130268781 | STATE CONTROL DEVICE, INFORMATION PROCESSING DEVICE, COMPUTER PROGRAM PRODUCT, AND SEMICONDUCTOR DEVICE - According to an embodiment, a state control device controls a state transition of an information processing device. The information processing device includes a processor; a power supply unit; and an electric storage unit. The state control device includes a controller to, when the power amount accumulated in the electric storage unit is decreased to a first power amount while the information processing device is in a first state, cause the information processing device to transit from the first state to a second state in which power consumption of the processor is lower than that in the first state, and to, when the power amount accumulated in the electric storage unit is increased to a second power amount larger than the first power amount while the information processing device is in the second state, cause the information processing device to transit from the second state to the first state. | 10-10-2013 |
20130275777 | POWER ENABLING CIRCUT FOR UNINTERRUPTED POWER SUPPLIES - A power enabling circuit for uninterrupted power supplies includes a main power supply system, a backup power supply system and a power enabling control circuit. The main power supply system is electrically connected to an external power source to convert and output a conversion power, and generates a first power good signal when the conversion power is output normally. The backup power supply system outputs a backup power when the main power supply system cannot output the conversion power. The power enabling control circuit receives the first power good signal output from the main power supply system and simulates to generate a corresponding second power good signal, and also includes a normal power supply state in which the second power good signal is sent to a motherboard and a backup power supply state to receive the backup power and continuously output the second power good signal to the motherboard. | 10-17-2013 |
20130275778 | PROCESSOR BRIDGE POWER MANAGEMENT - A power controller can set the power state of a processor bridge based on which processor modules are in a communicative state. In addition, for a power state where selected processor modules are expected to be non-communicative, the power controller can set the supplied voltage to have a reduced voltage guard band as compared to other power states. These power management techniques can reduce the power consumed by the processor. | 10-17-2013 |
20130275779 | TERMINAL DEVICE AND POWER SUPPLY METHOD FOR TERMINAL DEVICE - The present invention is applicable to the interface field, and in particular, relates to a power supply method for a terminal device, where the terminal device is communicatively connected to an accessory device. The method includes: detecting, by the terminal device, an ID resistance value of the accessory device; identifying a type of the accessory device according to the detected ID resistance value; selecting a power supply mode of the terminal device according to the identified type of the accessory device; and supplying power according to the selected power supply mode. In this way, the power input or output of the terminal device is free from the restriction of an OTG working mode of the terminal device. | 10-17-2013 |
20130275780 | SYSTEMS, METHODS AND DEVICES FOR CONTROL OF THE OPERATION OF DATA STORAGE DEVICES USING SOLID-STATE MEMORY - A variety of data storage devices, methods and systems are implemented for control of memory associated with backup functionality. One such data storage device includes a power circuit that provides main power. The data storage device has a first solid-state memory circuit that maintains data in the absence of electrical power. A second memory circuit is subject to data loss in the absence of electrical power. A storage circuit stores energy and provides the stored energy to the second memory circuit in response to a loss of main power. A test circuit discharges a portion of the stored energy to provide output data indicative of power-providing capabilities of the storage circuit. A memory controller controls data transfers to the data storage device by temporarily storing data destined for the first solid-state memory circuit and setting the amount of memory available for temporary storage in response to the output data. | 10-17-2013 |
20130275781 | MECHANISM FOR FACILITATING POWER AND PERFORMANCE MANAGEMENT OF NON-VOLATILE MEMORY IN COMPUTING DEVICES - A mechanism is described for facilitating power governance of non-volatile memory devices using a power governing mechanism employed at a computing device according to one embodiment of the invention. A method of embodiments of the invention includes maintaining a credit pool having a plurality of credits to be released to a plurality of memory channels associated with a plurality of non-volatile memory devices. The plurality of credits may be used to provide sufficient power to perform memory operations associated with a computing device. The method may further include receiving a credit request having a petition to obtain one or more credits for a memory channel of the plurality of memory channels to facilitate performance of a memory operation, determining whether the one or more credits are available in the credit pool, and retrieving the one or more credits from the credit pool, if the one or more credits are available in the credit pool. The method may further include releasing the one or more credits to the memory channel. The one or more released credits are used to perform the memory operation. | 10-17-2013 |
20130275782 | CONTROLLING POWER GATE CIRCUITRY BASED ON DYNAMIC CAPACITANCE OF A CIRCUIT - In one embodiment, the present invention includes an apparatus having an estimation logic to estimate a dynamic capacitance of a processor circuit of a processor during a plurality of processor cycles, a power gate calculator to calculate a control value for a power gate circuit coupled to a load line and between a voltage regulator and the processor circuit based on the dynamic capacitance estimate, and a controller to control an impedance of the power gate circuit based on the control value. Other embodiments are described and claimed. | 10-17-2013 |
20130283066 | TEST SYSTEM FOR RESET AND POWER ON OR OFF OF COMPUTER - When a reset switch is pressed, a reset circuit controls a motherboard to reset and controls a controller to output a finish signal to a counting module. The counting module adds one and outputs the counting value to a display unit. When a power on/off switch is pressed, a power on/off circuit controls the motherboard to power on and controls the controller to output a finish signal to the counting module. The counting module adds one and outputs the counting value to the display unit. The power on/off circuit controls the motherboard to power off after one power on cycle is completed and controls the controller to output a finish signal to the counting module. The counting module adds one and outputs a counting value to the display unit. | 10-24-2013 |
20130283067 | 3-D STACKED MULTIPROCESSOR STRUCTURES AND METHODS FOR MULTIMODAL OPERATION OF SAME - Three-dimensional (3-D) processor devices are provided, which are constructed by connecting processors in a stacked configuration. For instance, a semiconductor device includes a first processor chip comprising one or more processors, a second processor chip comprising one or more processors, and a plurality of input/output ports. The first and second processor chips are connected in a stacked configuration and commonly share the plurality of input/output ports. Methods are also provided to selectively operate the semiconductor device in one of a plurality of operating modes to control power of the semiconductor device. | 10-24-2013 |
20130283068 | METHOD AND APPARATUS FOR DYNAMICALLY ALLOCATING POWER IN A DATA CENTER - Embodiments of the invention relate generally to the field of power management of computer systems, and more particularly to a method and apparatus for dynamically allocating power to servers in a server rack. The method comprises: measuring power consumption of a computer system having one or more servers; estimating probability distribution of power demand for each of the one or more servers, the estimation based on the measured power consumption; estimating performance loss via the estimated probability distribution; computing power capping limits for each of the one or more servers, the computation based on the estimated probability distribution and the performance loss; and dynamically allocating the power capping limits to each of the one or more servers by modifying previous power capping limits of each of the one or more servers. | 10-24-2013 |
20130283069 | STORAGE APPARATUS, CONTROL APPARATUS AND CONTROL METHOD - A storage apparatus that includes a power supply unit that supplies power to a controller when power supply from the outside to a storage apparatus stops, a surplus power determination unit that determines surplus power that is power, which is to be supplied by the power supply unit and by which power for the saving of data into a nonvolatile memory is exceeded, a target voltage determination unit that determines a first target voltage based on the surplus power, and a charging processing unit that carries out a charging process for the power supply unit with a first current value until the first target voltage reached and that carries out a charging process for the power supply unit with a second current value lower than the first current value until a second target voltage higher than the first target voltage is reached from the first target voltage. | 10-24-2013 |
20130283070 | HOST CONTROLLED IO POWER MANAGEMENT - Systems and methods of interconnecting devices may include an input/output (IO) connector having a buffer with an integrated voltage regulator. The integrated voltage regulator may include a first supply output and a second supply output, wherein the IO connector includes an IO power contact coupled to the first supply output. The IO connector may also include a logic power contact coupled to the second supply output. In one example, a host device may issue power management commands to the buffer in order to scale the second supply output independently of the first supply output. | 10-24-2013 |
20130290739 | SHARING POWER BETWEEN NETWORK DEVICES - Power is shared between network devices through a power cable having a first connector at a first end thereof and a second connector at a second end thereof. The first connector comprises a first connection portion for connection with a power sharing connector of a network device and a second connection portion for connection with a connector of another power cable. The second connector comprises a first connection portion for connection with a power sharing connector of a network device and a second connection portion for connection with a connector of another power cable. | 10-31-2013 |
20130290740 | SETTINGS BASED ON OUTPUT POWERED BY LOW POWER STATE POWER RAIL - Example embodiments disclosed herein relate to determining a setting at a component. An output to be powered by a low power state power rail can output a value. The component is to be powered by an auxiliary power rail and determines the setting based on the value at auxiliary power good. | 10-31-2013 |
20130290741 | PORTABLE POWER BANK WITH CARD READING FUNCTION - A portable power bank with card reading function includes a battery unit, a charging unit, a control unit, a voltage converting unit, a first card reading unit, and a connection interface unit. The battery unit is coupled with the charging unit and the voltage converting unit. The control unit is coupled with the charging unit, the voltage converting unit and the first card reading unit. The connection interface unit is coupled with the voltage converting unit and the control unit. The first card reading unit is configured for a first removable electronic card to insert thereinto. An electronic device is removably connected to the connection interface unit. | 10-31-2013 |
20130290742 | DRIVING SYSTEM AND METHOD FOR A PORTABLE 3.5 INCH EXTERNAL HARD DISK WITHOUT USING AN EXTERNAL POWER - A driving system and a driving method for a portable 3.5 inch external hard disk are disclosed. The driving system includes a first power supply module, a second power supply module and the portable 3.5 inch external hard disk. The first power supply module installed in the portable 3.5 inch external hard disk includes a first voltage source having a first output voltage supplies power to a main circuit. The second power supply module includes a second voltage source outputting a first voltage and a second voltage through a first interface output port and a second interface output port, respectively, which are combined to form a second output voltage to supply power to a boost circuit. The boost circuit then outputs a working current to provide to a start circuit, which replaces the first power supply module and hence maintains operation of the external hard disk. | 10-31-2013 |
20130290743 | POWER MANAGEMENT SYSTEMS FOR ACCEPTING ADAPTER AND SOLAR POWER IN ELECTRONIC DEVICES - The disclosed embodiments provide a power management system that supplies power to components in an electronic device. The power management system includes a system microcontroller (SMC) and a charger. During operation, the power management system accepts power from at least one of a power adapter and a solar panel. Next, the power management system supplies the power to components in the electronic device without using a converter circuit between the solar panel and the power management system. | 10-31-2013 |
20130290744 | METHOD AND APPARATUS FOR CONTROLLING TERMINAL POWER - The present invention provides a method and an apparatus for controlling terminal power. The method includes the following steps of: determining whether a power control level set in the terminal is reached or not when controlling the terminal power; if yes, then adjusting a power of the power control level based on a calibration parameter configured in the terminal within a rated output power range corresponding to the power control level; wherein the calibration parameter is 1 dBm; controlling an output power of the terminal according to the adjusted power. The present invention can further perform an accurate terminal power control for reducing power consumption, conserving resources, and increasing system capacity based on a compatibility with conventional power control. | 10-31-2013 |
20130290745 | SYSTEM AND METHOD FOR MANAGING POWER SUPPLY UNITS - A power supply management system includes a power supply backplane, a plurality of power supply units connected to the power supply backplane, and a power controller chip. The power controller chip sends a plurality of power controlling signals to the power supply backplane. The power supply backplane transmits the plurality of power controlling signals to the plurality of power supply units, transition the plurality of power supply units into specific working states according to the plurality of power controlling signals, collect present working states of the plurality of power supply units, and sends the present working states of the plurality of power supply units to the power controller chip. A method for managing power supply units is also provided. | 10-31-2013 |
20130290746 | SYSTEM, APPARATUS, AND METHOD OF PERFORMING CHARGING OPERATION IN HOST MODE - A system, an apparatus, and a method of performing a charging operation in a host mode, which can perform a charging operation in a host mode of a terminal includes a terminal for simultaneously receiving a function of performing communication with a peripheral device and a charging function from an external accessory connected in the host mode. The external accessory simultaneously provides the charging function while performing the communication with the peripheral device to the terminal in a client mode. | 10-31-2013 |
20130290747 | POWER RECEPTION CONTROL DEVICE, POWER RECEPTION DEVICE, POWER TRANSMISSION AND RECEPTION SYSTEM, AND ELECTRONIC DEVICE - Provided is a power reception device in which power consumption at the time of wireless power supply is reduced. A power reception device is provided with a power reception control device capable of temporarily stopping supply of power supply voltage to a communication control unit for controlling communication in a break period of communication intermittently performed between a power transmission device and a power reception device. In the structure, a clock signal is generated on the basis of a power receiving signal transmitted from the power transmission device, and a period of communication intermittently performed can be measured using the clock signal. Further, a structure may be employed in which supply of power supply voltage to the communication unit in the power reception control device can be stopped in the break period of the communication. | 10-31-2013 |
20130290748 | METHOD AND APPARATUS FOR POWER SUPPLY PROTOCOL MANAGEMENT, AND POWER SUPPLY SYSTEM APPLYING THEM - A power supply system includes a PWM power supply connected to and supplying power to a power-consuming unit and a power supply protocol management apparatus connected to the power-consuming unit through a transmission bus for acquiring a VID protocol of the power-consuming unit and acquiring a VID code conversion table matching the VID protocol. The power supply protocol management apparatus converts a VID code output by the power-consuming unit (on the basis of the VID protocol) into a required voltage of the power-consuming unit according to the VID code conversion table, compares the required voltage with a voltage at an output end of the PWM power supply to generate a feedback voltage value, and transmits the generated feedback voltage value to the PWM power supply which adjusts its output voltage according to the feedback voltage value. | 10-31-2013 |
20130290749 | METHOD OF MANAGING THE ENERGY CONSUMPTION OF AN APPLICATION EXECUTABLE IN VARIOUS ENVIRONMENTS AND SOFTWARE ARCHITECTURE IMPLEMENTING SUCH A METHOD - The application being executable by hardware comprising a set of processors using an operating system, a method comprises at least the following steps: a first step of identifying the time constraints of the application; a second step of annotating code sections of the application that are subject to a time constraint, said annotating being performed by means of software markers, the software markers delimiting code segments; a third step of determining the worst case execution times of the segments in a given set of execution modes; a fourth step of memorizing the execution times of the segments in an execution library for each execution mode, said library being able to be interfaced with said hardware, said operating system and said application and to execute an energy management strategy by controlling the state of the processors. | 10-31-2013 |
20130297949 | HYBRID BLADE WEBSERVER WITH SOLAR AS PRIMARY POWER SOURCE - An apparatus and method for green hybrid blade webserver that directly uses solar PV as primary power source at ultimate efficiency, with grid utility power (wall power) as backup source, is disclosed. In one embodiment, the invention can be retrofitted or mounted easily into a data center rack, plug in solar lines from PV panel on roof and run. | 11-07-2013 |
20130297950 | VOLTAGE ADJUSTMENT BASED ON LOAD LINE AND POWER ESTIMATES - A method and system for determining voltage supplied to a processor from a voltage regulator when the voltage cannot be directly measured. | 11-07-2013 |
20130297951 | OPERATION SYSTEM AND CONTROL METHOD THEREOF - An operation system including a chipset and a detection unit is disclosed. The chipset includes a first circuit group receiving a plurality of operation voltages. The detection unit generates a control signal to control the first circuit group to stop accessing a memory device when an external power is abnormal. A level of the control signal switches before variation in a level of a first operation voltage among the operation voltages. The variation is induced when the external power is abnormal. | 11-07-2013 |
20130297952 | PROCESSOR AND DRIVING METHOD THEREOF - To provide a processor with low power consumption, particularly a processor in which low power consumption is achieved by reducing leakage current from a high potential power supply line. A circuit of the processor used for a power gating driving method is divided into a first circuit block including a logic circuit and the like and used only in an arithmetic processing period, a second circuit block including a volatile memory element and the like and used in the arithmetic processing period, a data storage period, and a data restorage period, and a third circuit block including a nonvolatile memory element and the like and used in the data storage period and the data restorage period. The first to third circuit blocks are connected to first to third high potential power supply lines, respectively, and these lines are electrically connected to a fourth high potential power supply line which supplies power to the processor, through switches. | 11-07-2013 |
20130297953 | CONTROL SYSTEM - If switching function occurs in such a manner that the frequency of a clock signal supplied from clock supply section ( | 11-07-2013 |
20130305063 | UPS DEVICE AND UPS STRUCTURE WITH PROLONGED POWER SUPPLY - An uninterruptible power supply (UPS) device for providing a DC operating power to operate a motherboard is disclosed. The UPS device includes a main power supply system, a backup power supply system and a disable control unit. In a normal condition, the main power supply system converts and outputs a first DC standby power to the motherboard. The backup power supply system outputs a second DC standby power to the motherboard when the main power supply system is incapable of normally outputting the first DC standby power. The disable control unit receives a power supply-off signal outputted by the motherboard in a power-off state to generate a disable signal to the backup power supply system. In response to the disable signal, the backup power supply system stops outputting the second DC standby power to the motherboard. | 11-14-2013 |
20130305064 | ALLOCATING AND DISTRIBUTING POWER - Example apparatus and methods to allocate and distribute power are disclosed. An example apparatus includes an availability calculator to determine first and second power allocations based on an available power. The example apparatus includes a distributer to supply a first power to a first device and to supply a second power to a second device. The example apparatus includes a power identifier provider to send an identifier of the second power allocation to the second device. | 11-14-2013 |
20130305065 | CONTROLLER AND SEMICONDUCTOR SYSTEM - A controller is formed as one chip, and controls a voltage regulator that supplies a power supply voltage to a CPU. The controller includes: an input unit for receiving a monitor voltage for monitoring the power supply voltage applied to the CPU; a control unit for detecting that the power supply voltage is decreased to a target voltage by the monitor voltage with the voltage regulator being in OFF state in a discharge mode; and an output unit for outputting a result signal indicating to make transition to a normal mode, when the power supply voltage has reached the target voltage. The control unit includes a calculation circuit, which is operated in accordance with a program. The calculation circuit is provided between the input unit and the output unit. | 11-14-2013 |
20130311792 | VOLTAGE SCALING ARCHITECTURE ON SYSTEM-ON-CHIP PLATFORM - The subject matter of this application is embodied in an apparatus that includes a data processor, and at least one hardware monitor to measure circuit delays associated with the data processor and a power supply to provide power to the data processor. The apparatus also includes a voltage regulator to regulate a voltage level provided by the power supply, and a look-up table having target voltage values and target circuit delay values each corresponding to one or more conditions. The apparatus further includes a controller to control the voltage regulator. The controller at various time points controls the voltage regulator based on target voltage values obtained from the look-up table. In between the time points, the controller controls the voltage regulator based on differences between target circuit delay values and measured circuit delay values. | 11-21-2013 |
20130311793 | DATA SWITCH WITH POWER BUDGETING - A controller of a data switch determines a power budget based upon respective capacities of power supplies coupled thereto. Operating power is allocated to requesting network devices in accordance with the power budget. The power budget can be re-determined periodically, in response to changes in operating temperature, or in accord with other factors and the power allocation adjusted accordingly. Operating power provision to network devices can be managed so as to maintain a greatest allowable level of system operation while protecting power supplies against thermally-related or overload damage. | 11-21-2013 |
20130311794 | SYSTEM AND METHOD FOR DYNAMIC BATTERY CURRENT LOAD MANAGEMENT IN A PORTABLE COMPUTING DEVICE - Various embodiments of methods and systems for managing battery capacity in a portable computing device (“PCD”) are disclosed. One such method includes leveraging a request/grant algorithm that receives a request from an offline component to come online. If battery capacity is available to accommodate the offline component, the request is granted. If battery capacity is not available to accommodate the offline component, the request is authorized at a reduced power level or capacity is created by reducing power to online components. Another method polls a battery to monitor demand on its capacity by active components. Offline components likely to come online concurrently with the active components are identified and ranked based on power consumption. A target current margin is adjusted based on the highest power consumption associated with an identified block of offline components. | 11-21-2013 |
20130311795 | POWER SUPPLY MANAGEMENT SYSTEM AND METHOD FOR SERVER - A management system includes two motherboards assigned with different identities, a complex programmable logic device (CPLD), and a switch unit. Each motherboard includes a baseboard management controller (BMC) employed to receive a control signal from a client. The BMC outputs an operation signal corresponding to the control signal and an identity of the motherboard. The CPLD is configured to store the control signal and the identity as a record in a priority list, and determine whether the priority list is a void list. The CPLD outputs a switch signal according to the identity of the record obtained from the priority list in response to the priority list not being a void list. The switch unit is configured to receive the switch signal from the CPLD, and enable a power supply unit to power the corresponding motherboard. | 11-21-2013 |
20130311796 | INTELLIGENT POWER CONTROLLER - A method, apparatus, and system in which an interconnect for an integrated circuit communicates transactions between one or more initiator Intellectual Property (IP) cores and one or more target IP cores coupled to the interconnect, including a power manager having a hierarchy of two or more layers including a hardware logic portion to control a power consumption of two or more domains in the integrated circuit, where each layer of the power manager performs its own function; wherein the power manager has its own dedicated CPU or dedicated state machine to execute power management instructions; and wherein the power manager controls the power consumption of two or more domains without using a CPU IP core utilized by other IP cores on the integrated circuit to execute power management instructions. | 11-21-2013 |
20130318364 | PROCESSOR NOISE MITIGATION USING DIFFERENTIAL CRITICAL PATH MONITORING - An approach for power supply noise mitigation on a processor is provided. In one aspect, the approach comprises a central computing unit operatively coupled to the processor to execute program operations. The approach further comprises a calibration circuit adapted to determine a first threshold on the processor to be used for comparison performed dynamically through the use of a detection circuit. A detection circuit adapted to dynamically monitor system operation of the processor and indicate if the first threshold is violated and a counting circuit adapted to prevent voltage from drooping if one or more voltage sensing measurements violates the first threshold are also provided. | 11-28-2013 |
20130318365 | CLOUD SERVER SYSTEM - A cloud server system may include a power module, a plurality of first connectors, at least one computer module including a second connector detachably electrically plugged into one of the each first connectors for receiving operational power, and a detection circuit configured to detect whether the first connector is electrically plugged into the second connector or not electrically plugged into the second connector to obtain the connection status. The cloud server system may further include a control module configured to act as a docking station interfacing with the internet for the at least one computer module so that the at least one computer module is hot swappable, and a plurality of fans. The control module may be configured to activate/deactivate and/or alter rotational speed of at least one of the plurality of fans according to the connection status. | 11-28-2013 |
20130318366 | DUAL FREQUENCY CONTROL OF BUCK-BOOST REGULATOR WITH A PASS THROUGH BAND - Dual frequency control of first and second pairs of switches of a buck-boost regulator with pass through band is disclosed. In buck and boost modes respectively a first pair of the switches is operated at high frequency and a second pair of the switches is operated at low frequency. In pass through mode, both pairs of switches are operated at low frequency. Dual frequency control and operation of the pairs of switches enables current sharing between positive and negative power leads in buck, boost and pass-through modes. | 11-28-2013 |
20130318367 | METHOD AND APPARATUS FOR THERMAL SENSITIVITY BASED DYNAMIC POWER CONTROL - A method and system provides dynamic power control based on thermal sensitivity of a processor system. The method and system includes a circuit that reduces the clock frequency for the processor system in response, to thermal characteristics satisfying a pre-determined threshold that allows maximal thermal temperature limit utilization without substantially degrading processor performance. | 11-28-2013 |
20130318368 | POWER MANAGEMENT SYSTEM AND METHOD FOR SERVER - A power management system includes a number of motherboards, a power supply unit, a number of first electronic switches coupled to the motherboards respectively, and a processor. The power supply unit includes first and second power units. First terminals of the first electronic switches are coupled to the second power unit, second terminals of the first electronic switches are coupled to the corresponding motherboards, and third terminals of the first electronic switches are coupled to the processor. The processor obtains statuses of the motherboards to determine whether there exists at least one of the motherboards needing to bootstrap, the processor outputs a switch signal to the third terminal of the electronic switch coupled to the motherboard that needs to bootstrap, in response to there existing at least one of the motherboards needing to bootstrap. | 11-28-2013 |
20130318369 | INFORMATION PROCESSING APPARATUS, POWER SUPPLY CONTROL METHOD, PROGRAM AND POWER SUPPLY CONTROL SYSTEM - There is provided an information processing apparatus including a first connection unit with power supply type information, a second connection unit with power supply type information, a connection state determination unit for determining whether the connection states of the first and second connection units have changed, a power supply identification information acquisition unit for selectively acquiring the power supply type information from the first power supply apparatus and from the second power supply apparatus if the connection state determination unit determines that the connection states have changed, a power supply identification information management unit for managing connected-power-supply identification information, and a power supply control unit for controlling a feed from the power supply apparatuses connected to the first and second connection units and a charge on the first power supply apparatus connected to the first connection unit. | 11-28-2013 |
20130318370 | MIDDLEWARE POWER MANAGEMENT - A system can include multiple devices each configured to run an application at an application level and each having varying performance, power, and other capabilities. A middleware power management facility spanning each of the devices can transfer applications from one device to another responsive to a determination based on capabilities of the devices, with the goal of optimizing the individual power consumption or collective energy efficiency of the devices, within application quality of service constraints. | 11-28-2013 |
20130326237 | UNINTERRUPTABLE PC POWER UNIT FOR USE IN PERSONAL COMPUTER AND SERVERS - Uninterruptable PC Power Unit (UPCPU) for a personal computer (PC) replaces the power supply of a PC. The UPCPU comprises an internal battery to be used during AC power interruption. The UPCPU may be connected to external batteries installed in the PC's 5.25″ or 3.5″ drive bays. The UPCPU may supply 12V, uninterrupted power to power external devices such as a display or modem. The UPCPU is capable of safely saving work in progress and force shutdown or hibernation state of the PC. | 12-05-2013 |
20130326238 | SHARED ACCESS SYSTEM - A shared access system is for a plurality of shared access devices to share data and power, and one of the shared access devices includes an instruction unit, a connecting unit, a storage unit, a power unit and a processing unit. The instruction unit is for storing a plurality of application modes related to a plurality of multifunctional shared applications respectively; the connecting unit is for receiving and transmitting the data and transmitting the power; the storage unit has a storage space for storing the data; the power unit stores the power; and the processing unit is coupled to the instruction unit, the connecting unit, the storage unit and the power unit, and the processing unit determines sharing the data and the power according to the execution of one of the application modes. | 12-05-2013 |
20130326239 | POWER MANAGEMENT WITH THERMAL CREDITS - A power management system, in one embodiment, determines a thermal status (e.g. a temperature or a calculation of power consumption) of at least a portion of a data processing system, and based on that status, thermal credits are calculated and then used to determine a voltage dithering pattern and a voltage boost pattern. | 12-05-2013 |
20130326240 | HOST DEVICE WITH INSTALLABLE POWER SUPPLY - A host device with an installable power supply comprises: a casing which is a case with an installation space enclosed inside, and the installation space is partitioned into at least a power supply installation space and a plurality of uninterruptible power supply (UPS) installation spaces disposed adjacent to the power supply installation space; a power distribution board installed in the casing, and having an end extended to a junction of the power supply installation space and the UPS installation space, and the power distribution board further has a plurality of charging circuit boards; a power supply detachably coupled to the power distribution board; and a plurality of uninterruptible power supplies detachably coupled to the charging circuit board on the power distribution board. | 12-05-2013 |
20130326241 | POWER SUPPLY CIRCUIT TO SIMULATE BATTERY POWER - A power supply circuit includes a power output port, an operational amplifier, a voltage adjusting circuit, a feedback circuit, and a current controlling circuit. The power output port connects with an electronic device under test and provides a power supply which in all respects simulates the behavior of a battery being discharged as it supplies working power, the circuit also mimics the behavior of a battery in testing the battery-recharging abilities of the electronic device. | 12-05-2013 |
20130326242 | POWER SUPPLY APPARATUS FOR DATACENTER - A power supply apparatus for a datacenter includes a carrier to carry the datacenter on rails of a rail transport system, a number of pantographs each including a main body with first and second terminals and a contact plate connected to the first terminal of the main body, and a number of power supply systems. The contact plate of each pantograph is electrically in contact with a cable of the rail transport system. The power supply systems are coupled to the second terminals of the pantographs, and each of the power supply systems acquires power from the cable through the corresponding pantograph, and provides power for the datacenter. | 12-05-2013 |
20130326243 | SEMICONDUCTOR DEVICE HAVING IDENTIFICATION INFORMATION GENERATING FUNCTION AND IDENTIFICATION INFORMATION GENERATION METHOD FOR SEMICONDUCTOR DEVICE - A semiconductor device includes an identification information generation circuit having a power supply control circuit whose output voltage is controlled by a control signal, and a memory array having a first cell power line and a second cell power line. The power supply control circuit outputs a first supply voltage and a second supply voltage to a first cell power line and a second power line, respectively, when the control signal is in a first state, and outputs an intermediate voltage to the first cell power line and the second cell power line when the control signal is in a second state. | 12-05-2013 |
20130326244 | SEMICONDUCTOR DEVICE AND ALARM DEVICE - In the microcomputer in the alarm device, supply of power to a sensor portion or a CPU in a sensor is allowed or stopped by a power gate controlled by a power gate controller. In addition, a volatile memory portion and a nonvolatile memory portion are provided in the CPU, data of the volatile memory portion is stored in the nonvolatile memory portion before supply of power to the CPU is stopped, and the data of the nonvolatile memory portion is restored to the volatile memory portion after the supply of power to the CPU is resumed. Thus, during an interval between measurement periods, supply of power to the sensor portion and the CPU can be stopped, so that low power consumption can be achieved compared with the case where power is continuously supplied. | 12-05-2013 |
20130326245 | DYNAMIC ENERGY MANAGEMENT - A method of dynamic energy management that includes loading an energy budget configuration stream for an instruction of a thread, loading characterization data for the thread, computing energy management settings for the instruction based on the characterization data and the budget configuration stream, and driving control signals indicative of the computed energy management settings. | 12-05-2013 |
20130332748 | Bi-Modal Power Delivery Scheme for Integrated Circuits that Enables Fine Grain Power Management for Multiple Functional Blocks on a Single Die - Systems and methods for bi-modal and fine grained power delivery to an integrated circuit comprising functional blocks. A first power source is coupled to a functional block of the integrated circuit for supporting a first operating mode of the functional block. A second power source is coupled to the functional block for supporting a second operating mode of the functional block. The first and second operating modes can be high and low frequency modes respectively. The second power source can be derived from the first power source using on-die regulators or provided independently. A desired average throughput of the functional block can be achieved by controlling duty cycles of the first and second power sources. | 12-12-2013 |
20130332749 | ELECTRONIC APPARATUS, CALCULATION METHOD, PROGRAM, AND INFORMATION PROCESSING APPARATUS - An electronic apparatus that includes a CPU and at least one device and that is identical to or different from an electronic apparatus in which the CPU is capable of simultaneously executing multiple applications. The electronic apparatus includes: a determiner that determines usage rates of the CPU and the device for each application being executed, on the basis of at least one of statistical information and log information of the CPU and the device; a divider that determines proportions of power consumptions of the CPU and the device relative to a power consumption of the entire electronic apparatus; and an estimator that estimates a proportion of a power consumption for each application relative to the power consumption of the entire electronic apparatus, on the basis of the determined usage rates and the determined proportions. | 12-12-2013 |
20130332750 | SEMICONDUCTOR DEVICE - A first overcurrent detection unit detects whether a drain-source voltage of an output transistor is greater than or equal to a first reference value and outputs a first detection signal. A second overcurrent detection unit detects whether an output current passing through the output transistor is greater than or equal to a second reference value and outputs a second detection signal. When receiving the first detection signal indicating that the drain-source voltage is greater than or equal to the first reference value, a latch circuit latches the second detection signal; when receiving the first detection signal indicating that the drain-source voltage is smaller than the first reference value, the latch circuit outputs the second detection signal without latching it. Based on the output of the latch circuit, the drive circuit controls the output transistor to either turn it off or turn it on and off alternately. | 12-12-2013 |
20130332751 | POWER SUPPLY AND PROGRAM - A power supply includes a storage device and a virtual machine power data transfer unit. The storage device is configured to store virtual machine power management data in which an identifier of a virtual machine run in emulation by a virtual host computer fed with power from the power supply, and power information on the virtual machine are associated with each other. The virtual machine power data transfer unit is configured to, when a virtualization management server migrates the virtual machine run in emulation by the virtual host computer fed with power from the power supply to a migration destination virtual host computer fed with power from a different power supply, extract the power information on the migrated virtual machine out of the virtual machine power management data, and transfer the power information to the different power supply. | 12-12-2013 |
20130332752 | POWER SUPPLY AND PROGRAM - A power supply includes a virtual machine state acquisition unit and a virtual machine management unit. The virtual machine state acquisition unit is configured to: send each of the virtual host computers in virtual host list data a request to acquire information on a virtual machine run in emulation by the virtual host computer; acquire, from each of the virtual host computers, the information on the virtual machine run in emulation by the virtual host computer; and create virtual machine management data in which an identifier of the virtual host computer, an identifier of the virtual machine thereof, and the acquired information on the virtual machine are associated with each other. The virtual machine management unit is configured to read the virtual machine management data and input a command related to a virtual power source of the virtual machine. | 12-12-2013 |
20130332753 | DYNAMIC POWER LIMIT SHARING IN A PLATFORM - A method and apparatus for dynamic power limit sharing among the modules in the platform. In one embodiment of the invention, the platform comprises a processor and memory modules. By expanding the power domain to include the processor and the memory modules, dynamic sharing of the power budget of the platform between the processor and the memory modules is enabled. For low-bandwidth workloads, the dynamic sharing of the power budget offers significant opportunity for the processor to increase its frequency by using the headroom in the memory power and vice versa. This enables higher peak performance for the same total platform power budget in one embodiment of the invention. | 12-12-2013 |
20130339757 | SYSTEMS AND METHODS FOR PROVIDING SUPPLEMENTAL POWER TO BATTERY POWERED INFORMATION HANDLING SYSTEMS - Systems and methods are disclosed for providing supplemental power to a battery powered information handling systems. The disclosed systems and methods may be implemented to intelligently control the selected use of supplemental power so as to reduce or substantially prevent an increase in battery usage cycle count by only allowing use of supplemental power above a given minimum supplemental battery charge level threshold. Battery cycle count may be further enhanced by only again allowing recharging of the system battery pack when its charge level drops below the minimum supplemental battery charge level threshold, and then recharging to a maximum recharge battery charge level threshold which also may be selectable by a user and/or provider of the information handling system. | 12-19-2013 |
20130339758 | UTILIZATION OF SHARED WAKE PINS IN COMPUTING DEVICES - Embodiments of computer-implemented methods, systems, computing devices, and computer-readable media are described herein for transitioning a computing device between a first state in which the computing device uses a first amount of power and a second state in which the computing device uses a second, greater amount of power. The computing device may include a shared wake pin to which a first external device and a second external device may be operably coupled, and a communication bus to which the first external device is connected and the second external device is not. Responsive to receipt of a wake signal at the wake pin, the computing device may transition between states, send an instruction to the first external device over the communication bus, and determine whether the first or second external device initiated the wake signal based on a response at the wake pin. | 12-19-2013 |
20130339759 | METHOD AND SYSTEM FOR AUTOMATED APPLICATION LAYER POWER MANAGEMENT SOLUTION FOR SERVERSIDE APPLICATIONS - According to the one aspect of the present disclosure, a method for automated datacenter power management comprises, monitoring a metrics of an entity such as a virtual machine, an application level, a host level and an application platform. The method further comprises forecasting an application power usage by using monitored information from the entity. The monitored information can be but not restricted to a forecasted data, a historical data or a real-time data. Furthermore, the method also comprises the step of applying at least one control to the entity to manage the application power usage. The at least one control can be but not restricted to changing resource pool size at application platform level, changing resource allocations the virtual machine level and changing a processor clock speed at the host level to manage application power usage. | 12-19-2013 |
20130339760 | INTELLIGENT MIDSPAN POE INJECTOR - Adding a separate communication link between an intelligent midspan Power Source Equipment (PSE) device and a network device (or between the midspan PSE device and a powered device (PD)) enables data communication from the network device to the intelligent midspan PSE device. The communication link provides a communication channel that the intelligent midspan PSE device may use to perform additional functions such as reallocating power, budgeting power, enabling or disabling Power over Ethernet (PoE) for a particular PD, prioritizing PoE management, and the like. | 12-19-2013 |
20130339761 | POWER MANAGEMENT SYSTEM FOR ELECTRONIC CIRCUIT - A power management circuit for managing power supplied to an electronic circuit by a core power supply. The electronic circuit includes digital and analog circuit domains and operates in POWER-ON, RUN and STANDBY modes. The power management circuit includes a master state machine that exchanges a handshake signal with the analog circuit domain to monitor the modes of operation and generates first and second configuration signals. The power management circuit enables and disables the analog circuit domain based on the first and second configuration signals. A switch connected to the core power supply and the digital circuit module enables and disables the digital circuit domain based on the second configuration signal. | 12-19-2013 |
20130339762 | ADAPTIVE WORKLOAD BASED OPTIMIZATIONS TO MITIGATE CURRENT DELIVERY LIMITATIONS IN INTEGRATED CIRCUITS - A dynamic system coupled with “pre-Silicon” design methodologies and “post-Silicon” current optimizing programming methodologies to improve and optimize current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The mechanism consists of measuring or estimating power consumption at a certain granularity within a chip, converting the power information into C4 current information using a method, and triggering throttling mechanisms (including token based throttling) where applicable to limit the current delivery per C4 beyond pre-established limits or periods. Design aids are used to allocate C4s throughout the chip based on the current delivery requirements. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack. | 12-19-2013 |
20130339763 | CONTRTOL APPARATUS OF AN ELECTRONIC SYSTEM AND THE METHOD THEREIN - The invention relates to a control apparatus of an electronic system comprising a setting module, an input module, a judging module, a comparing module, a control module and a power module. The setting module is used for setting a first predetermined condition and a second predetermined condition. When at least one input information executed via the input module by the user matches the second predetermined condition, the power module provides a second power mode corresponding to the second predetermined condition, if not, the power module provides a first power mode corresponding to the first predetermined module. | 12-19-2013 |
20130339764 | METHOD AND APPARATUS FOR POWER MANAGEMENT - The disclosure discloses a power management method, for setting a power supply arrangement of an electronic device intelligently, comprising providing at least two sensors, corresponding to at least one threshold respectively; detecting a state of the electronic device for generating a detecting signal respectively; comparing the at least two detecting signals with the at least one threshold corresponding to the at least two sensors respectively; generating at least two situation signals when the at least two detecting signals meet the at least one threshold corresponding to the at least two sensors respectively; looking up a look-up table according to the at least two detecting signals for generating a control command; and writing in at least one independent bit of a register according to the control command for changing or maintaining a power supply arrangement of at least one peripheral component. | 12-19-2013 |
20130339765 | Physical Layer Device Auto-Adjustment Based on Power Over Ethernet Magnetic Heating - Physical layer device auto-adjustment based on power over Ethernet (PoE) magnetic heating. In one embodiment, information generated by a PoE module that is indicative of the PoE operation over the network cable (e.g., level of current, heating, etc.) is made available to the physical layer device (PHY). This information enables the PHY to infer a change in the level of inductance on the line. In response, the PHY can then adjust a characteristic of transmission by the PHY. | 12-19-2013 |
20130339766 | POWER SUPPLY DOCK WITH WIRELESS NETWORK AND POWER MANAGEMENT FUNCTIONS - A power supply dock with wireless network and power management functions, comprising an enclosure, a wireless base station module and a control unit disposed in the enclosure, and a plurality of power supply sockets disposed on the enclosure. The wireless base station module communicates with an external first electric appliance via a wireless network. The power supply sockets are electrically connected to and supply power to the first electric appliance or an external second electric appliance. The power supply dock is connected with the utility power to power the wireless base station module so that the wireless network can be deployed quickly, and the coverage of the wireless network can be quickly enlarged through disposition of a plurality of such power supply docks. | 12-19-2013 |
20130339767 | VARIABLE POWER OVER ETHERNET BASED ON LINK DELAY MEASUREMENT - According to example implementations, a power source device provides power to a powered device over a network link. The power source device may include a controller and a transceiver configured to transmit and receive data via a network link with the powered device. The controller may be configured to receive one or more network data packets from the powered device indicating a network delay associated with the network link, determine an amount of power to be supplied to the powered device based on the network delay indicated by the one or more network data packets, and control a power supply to supply the determined amount of power to the powered device through the network link. | 12-19-2013 |
20130346761 | Power Control Module, Flash Drive, and Method of Determining Operating Voltage of Flash Drive - A power strategy selector queries a lookup table using a current flash status of a flash drive, and determines a burst operating voltage for operating the flash drive. Therefore, precise buffering of parameters or data can be ensured when the flash drive meets an unexpected power failure or malfunctions, or precise operations of the flash drive can be guaranteed. | 12-26-2013 |
20130346762 | OPTIMIZING BATTERY USE FOR KNOWN FUTURE LOAD - Methods for optimizing battery use for a known future load are described. In an embodiment, one or more battery cells are selected from a set of battery cells to provide power to a computing-based device. The battery cells are selected based on discharge profile data for each battery cell and both the current power requirement and a known future power requirement of the computing-based device. The known future power requirement is calculated based on information available to the operating system running on the computing-based device. In some examples, one or more battery cells may also be selected for charging when a power source is available and these cells may be selected based on charge profile data and the known future power requirement. The selection of the battery cells may also be made in order to satisfy a battery optimization goal, which may be defined by a user. | 12-26-2013 |
20130346763 | Increasing Data Transmission Rate In An Inter-Integrated Circuit ('I2C') System - Increasing data transmission rate in an I | 12-26-2013 |
20130346764 | Acoustic Noise Mitigation Using Periodicity Disruption - In one or more embodiments, a fixed time interval for a system is determined. The fixed time interval corresponds to time between clock ticks. A random time interval is determined based on the fixed time interval and an offset. One or more electronic components affixed to a motherboard are transitioned to a new power state when the random time interval has elapsed. By introducing a randomization to the timing element to a control signal that drives the power state transition, a periodicity for the system is disrupted. The disruption in periodicity mitigates acoustic noise generated by vibrations in electronic components and motherboards affected by current and/or voltage transitions. | 12-26-2013 |
20130346765 | SYSTEM FOR SUPPLYING OPERATING VOLTAGE TO CENTRAL PROCESSING UNIT - A central processing unit (CPU) power controller includes a power supply unit, a CPU power controller, a detecting circuit, a temperature compensation circuit, an inductor, a thermistor, first and second switches, and first and second capacitors. The detecting circuit outputs a detected voltage of an inductor to the CPU power controller. The temperature compensation circuit outputs a detected voltage of the thermistor to the CPU power controller, to compensate for changes in the voltage of the inductor. If the detected voltage is greater than the rated voltage, the first switch is opened and the second switch is closed. The inductor discharges. If the detected voltage of the inductor is less than the rated voltage, the first switch is closed and the second switch is opened, the power supply unit charges the inductor to increase the voltage of the CPU. | 12-26-2013 |
20130346766 | POWER CONTROL DEVICE AND POWER CONTROL METHOD - Logical processors are grouped into logical processor groups. A power control device includes: a power state information determining unit which, when one of the logical processors assigned to the physical processor is replaced with another one of the logical processors, and based on power state information indicating power consumption of another physical processor to which the logical processors that belong to a target logical processor group are assigned, determines power state information to be used when the replacing logical processor is assigned to the physical processor, the target logical processor group being one of the logical processor groups and including the replacing logical processor; and a power state changing unit which changes power to be supplied to the physical processor based on the power state information determined by the power state information determining unit. | 12-26-2013 |
20140006807 | APPARATUS AND METHOD FOR MANAGING POWER IN AN ELECTRONIC SYSTEM | 01-02-2014 |
20140006808 | EFFICIENT INTEGRATED SWITCHING VOLTAGE REGULATOR | 01-02-2014 |
20140006809 | SYSTEM AND METHOD FOR MANAGING BATTERY USAGE OF A MOBILE DEVICE | 01-02-2014 |
20140006810 | POWER SUPPLY CIRCUIT FOR HARD DISK DRIVE | 01-02-2014 |
20140006811 | POWER SUPPLY CIRCUIT FOR HARD DISK BACKPLANE AND SERVER SYSTEM USING SAME | 01-02-2014 |
20140006812 | DATA AND DIGITAL CONTROL COMMUNICATION OVER POWER | 01-02-2014 |
20140006813 | System for Combined Input Output Module and Zero Power Optical Disk Drive with Advanced Integration and Power | 01-02-2014 |
20140006814 | CONTROL DEVICE | 01-02-2014 |
20140013125 | GEOSPATIAL OPTIMIZATION FOR RESILIENT POWER MANAGEMENT EQUIPMENT - Methods and apparatus are provided for geospatial optimization for resilient power management equipment. A method includes predicting a power outage duration based on at least one of geographical data, location data, and historical data. The method further includes rendering a power management decision based on the predicted power outage duration and a remaining backup power duration. | 01-09-2014 |
20140013126 | BRANCH CIRCUIT DETERMINATION WITHOUT EXTERNAL SYNCHRONIZATION - A method, system, and computer program product for relating a data processing system with a power branch circuit are provided in the illustrative embodiments. Each signal in a set of signals is combined with a power signal to form a set of combination signals, the power signal including a first power usage by the data processing system and a second power usage by a modulating signal. An amplitude of a corresponding signal in each combined signal in the set of combined signals is determined over a period. Using a discriminating logic, a determination is made whether the modulating signal is present in the power signal. Responsive to the discriminating logic producing an affirmative result, the data processing system is related with the power branch circuit. | 01-09-2014 |
20140013127 | ADAPTIVE CONTROL OF OPERATING AND BODY BIAS VOLTAGES - Adaptive control of operating and body bias voltages. In accordance with a first embodiment of the present invention, a desirable operating frequency for the microprocessor is determined. Information stored within and specific to the microprocessor is accessed. The information can comprise coefficients of a quadratic approximation of a frequency-voltage characteristic of the microprocessor for a set of body biasing conditions. An efficient voltage for operating the microprocessor at the desirable operating frequency is computed. The microprocessor is operated at the efficient voltage and the set of body biasing conditions. | 01-09-2014 |
20140013128 | Portable Power Bank Device with Projecting Function - A portable power bank device with a projecting function comprises a battery unit, a control unit, and a micro projector unit. The battery unit is electrically coupled to a charge unit and restores power from an external power source. The control unit is electrically coupled to the charge unit and a connection interface unit, respectively. The control unit comprises a charge module, a voltage conversion module, and a video codec. A battery power source of the battery unit is outputted through the voltage conversion module. The micro projector unit is electrically coupled to the control unit and projects and displays video signals of the video codec. | 01-09-2014 |
20140013129 | HYBRID COMPUTING MODULE - A hybrid system-on-chip provides a plurality of memory and processor die mounted on a semiconductor carrier chip that contains a fully integrated power management system that switches DC power at speeds that match or approach processor core clock speeds, thereby allowing the efficient transfer of data between off-chip physical memory and processor die | 01-09-2014 |
20140013130 | EXPANSION CIRCUIT FOR SERVER SYSTEM AND SERVER SYSTEM USING SAME - An expansion circuit for a server system includes a power input terminal, a first output terminal and a second output terminal. The power input terminal is configured to receive a first voltage. The first output terminal is configured to receive the first voltage from the power input terminal and provide the first voltage to a first hard disk drive group of the server system. The second output terminal is configured to receive the first voltage from the power input terminal and provide the first voltage to a second hard disk drive group of the server system when the first hard disk drive group and the second hard disk drive group are controlled by a same server. | 01-09-2014 |
20140019777 | POWER DATA COMMUNICATION ARCHITECTURE - A power data communication architecture located in an electronic apparatus includes at least a power supply unit, a data communication control unit and a motherboard. The power supply unit includes a power source management unit to generate at least one corresponding working parameter based on operating states of the power supply unit. The data communication control unit includes at least one power source management connection port to get the working parameter of the power supply unit and a buffer memory unit to store the working parameter. The motherboard is electrically connected to the buffer memory unit to read the working parameter saved therein. | 01-16-2014 |
20140019778 | HUB DEVICE - A hub device for overcoming limits to charging and data transmission functions thereof includes a switching unit having a switch and a switching circuit; a first electrical connection port electrically connected to the switching circuit and for an electronic computing device to electrically connect thereto; a plurality of second electrical connection ports electrically connected to the switching circuit and for portable electronic devices to electrically connect thereto to get power supply therefrom; and a power supply connection unit electrically connected to the switching circuit. Through operation of the switch, the switching circuit can switch at least one of the second electrical connection ports from a charging downstream port to a dedicated charging port while all other second electrical connection ports are maintained as charging downstream ports for data transmission or charging function. Thus, the hub device is more convenient and practical for use. | 01-16-2014 |
20140019779 | SYSTEM AND METHOD FOR AUTOMATICALLY DETERMINING AN OPTIMAL POWER CAP VALUE - Generating an optimal power cap value includes steps of: analyzing power usage of a system for a specified period of time; computing a power consumption value for the system for the specified period of time; and generating the optimal power cap value for the system, using the computed power consumption value. The system should be coupled with a power meter and should support power regulation technology. | 01-16-2014 |
20140019780 | ACTIVE POWER DISSIPATION DETECTION BASED ON ERRONOUS CLOCK GATING EQUATIONS - A method detects active power dissipation in an integrated circuit. The method includes receiving a hardware design for the integrated circuit having one or more clock domains, wherein the hardware design comprises a local clock buffer for a clock domain, wherein the local clock buffer is configured to receive a clock signal and an actuation signal. The method includes adding instrumentation logic to the design for the clock domain, wherein the instrumentation logic is configured to compare a first value of the actuation signal determined at a beginning point of a test period to a second value of the actuation signal determined at a time when the clock domain is in an idle condition. The method includes detecting the clock domain includes unintended active power dissipation, in response to the first value of the actuation signal not being equal to the second value of the actuation signal. | 01-16-2014 |
20140019781 | SYSTEM FOR PROTECTING POWER SUPPLY CIRCUIT OF CENTRAL PROCESSING UNIT - A system includes a power supply unit, a central processing unit (CPU) power controller, a detecting circuit, an inductor, a thermal resistor, first and second field effect transistors (FETs), and first to third capacitors. The CPU power controller detects a voltage of the thermal resistor and compares the detected voltage with first and second preset values. If the detected voltage is greater than the first preset value and less than the second preset value, the CPU power controller outputs a first control signal to a base management controller (BMC) chip for signaling the BMC chip to control the fan to increase a speed. If the detected voltage is greater than the second preset value, the CPU controller outputs a second control signal to the CPU for signaling the CPU to regulate a working frequency or reduce a number of loads. | 01-16-2014 |
20140019782 | APPARATUS AND METHOD FOR MANAGING POWER BASED ON DATA - Provided is an apparatus and method for managing power based on data. The apparatus may include a code segment searching unit configured to search for at least one code segment in which a power type is inserted, a block determining unit configured to determine at least one block based on the at least one found code segment, and a power mode control unit configured to control the at least one determined block to operate in a power mode corresponding to the power type. | 01-16-2014 |
20140019783 | IMAGE PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM - To allow an information processing apparatus to further accurately calculate power consumption of an image processing apparatus, the image processing apparatus includes a communication unit configured to communicate with a control apparatus configured to transfer power supply state information indicating a power supply state to the information processing apparatus configured to calculate a power consumption amount based on the power supply state information, and a control unit configured to control the communication unit so as to transmit information for calculating a power consumption amount of the image processing apparatus during a period from detection about the shift to the state prohibiting a transfer to the information processing apparatus to detection about the shift to the state allowing the transfer to the information processing apparatus, to the control apparatus together with the power supply state information. | 01-16-2014 |
20140019784 | COOLING APPLIANCE RATING AWARE DATA PLACEMENT - A dataset is identified as a heat-intensive dataset based, at least in part, on the dataset being related to heat generation at a source storage device exceeding a heat rise limit. The source storage device hosts the heat-intensive dataset and the heat-intensive dataset comprises non-executable data. A first cooling area of a plurality of cooling areas is selected to accommodate the heat generation based, at least in part, on cooling characteristics of a plurality of cooling appliances of the plurality of cooling areas. The source storage device is associated with a second cooling area. A target storage device associated with the first cooling area is determined. The heat-intensive dataset is moved from the source storage device to the target storage device. | 01-16-2014 |
20140019785 | ELECTRIC DEVICE, AND METHOD AND COMPUTER PROGRAM PRODUCT FOR CONTROLLING POWER SUPPLY IN ELECTRIC DEVICE - An electric device includes at least one or more processing units that perform a predetermined process; a power-supply control unit that controls supply of electric power from a power source to the processing units and shutoff of the supply; a main control unit that performs a start-up process if the main control unit is supplied with power from the power source; and a sub control unit that controls the power-supply control unit so as not to supply the electric power to all or some of the processing units after the start-up process. | 01-16-2014 |
20140025965 | POWER DATA SWITCH COMMUNICATION ARCHITECTURE - A power data switch communication architecture includes a first power supply unit, a second power supply unit, a motherboard and a data communication switch unit. The first power supply unit and the second power supply unit include respectively a first power source management unit and a second power source management unit that generate respectively corresponding first and second working parameters based on operating states of the first and second power supply units. The motherboard includes a first data communication circuit connected to the first power source management unit and a second data communication circuit connected to the second power source management unit. The data communication switch unit is electrically connected to the first data communication circuit and the second data communication circuit to receive a switch signal to determine whether to set on the first data communication circuit or the second data communication circuit. | 01-23-2014 |
20140025966 | INFORMATION PROCESSING SYSTEM, SYSTEM MANAGEMENT APPARATUS, AND INTEGRATED CIRCUIT - An information processing system including: a plurality of information processing apparatuses including a system board provided with an integrated circuit and a power-supply circuit that supplies electricity to the integrated circuit; and a system management apparatus that transmits a power-on instruction to the plurality of information processing apparatuses, wherein the integrated circuits of the plurality of information processing apparatuses each include a plurality of power-supply domains, and, upon receipt of the power-on instruction, the integrated circuits instruct the power-supply circuit to adjust a voltage and supply electricity sequentially to the plurality of power-supply domains. | 01-23-2014 |
20140032938 | Power Management - A system includes a power supply system, a power management system, and a module. The module communicates to the power management system a variable parameter indicating power usage by the module and the power management system changes an operating range of the power supply system in response to the communication from the module. | 01-30-2014 |
20140032939 | APPARATUS POWER CONTROL - The present disclosure includes apparatuses and methods for apparatus power control. A number of embodiments include determining a power profile for each of a number of commands in a command queue that are ready for execution and selecting a portion of the number of commands in the command queue for execution based on the power profiles of the number of commands to control power consumption in the apparatus. | 01-30-2014 |
20140032940 | DISTRIBUTED FILE SYSTEM AT NETWORK SWITCH - Embodiments herein relate to running a distributed file system on a network switch. The distributed file system is to manage access to a plurality of storage drives that store data and are connected to the network switch. | 01-30-2014 |
20140032941 | SEMICONDUCTOR DEVICE EMPLOYING DVFS FUNCTION - Disclosed herein is a device that includes: a memory cell array including a plurality of memory cells, the memory cell array operates on a first internal voltage; a peripheral circuit accessing selected one or ones of the memory cells, the peripheral circuit operates on a second internal voltage; a first internal voltage generation circuit that supplies the first internal voltage to the memory cell array; and a second internal voltage generation circuit that supplies the second internal voltage to the peripheral circuit. The second internal voltage generation circuit sets the second internal voltage to a first voltage value in a first mode, and to a second voltage value that is different from the first voltage value in a second mode. The first internal voltage generation circuit sets the first internal voltage to a third voltage value in both the first and second modes. | 01-30-2014 |
20140032942 | VOLTAGE REGULATOR, SEMICONDUCTOR DEVICE, AND DATA PROCESSING SYSTEM - A voltage regulator has a voltage converter circuit and a control unit. The control unit controls the voltage converter circuit so that an output voltage attains a target voltage when the voltage regulator is in a no-load condition so as to have a transition characteristic in which the output voltage decreases with increase in the load current. The control unit calculates deviation between the output voltage and an ideal value thereof when a load condition of the voltage regulator is a first load condition, and corrects the target voltage by the output voltage adjustment unit. so The control unit also calculates deviation between rate of change of the output voltage with respect to the load current and an ideal value thereof, and corrects the transition characteristic so that the deviation becomes small to minimize deviation. | 01-30-2014 |
20140032943 | Cable with Memory - A cable for providing electric power from a power source to a mobile device, the cable having a first connector at a first end of the cable for connecting the cable to a mobile device and with a second connector at a second end for connecting the cable to the power source, wherein the cable comprises a memory module for backup and bidirectional transfer of data to and from the mobile device. | 01-30-2014 |
20140032944 | Early Detection of Overheating Devices - A method is provided that monitors the odor within the physical enclosure of a computing device that includes one or more components. The method includes determining whether the odor within the physical enclosure is indicative of an overheating component that is overheating within the physical enclosure of the computing device. Determining whether the odor within the physical enclosure may include an artificial neural network (“ANN”) to determine whether the odor is indicative of an overheating component. The method includes initiating an overheating protocol in response to determining that the odor within the physical enclosure is indicative of an overheating component. The method may, for example, alert the user and/or applications that a component is overheating. | 01-30-2014 |
20140032945 | Packet-Based Digital Display Interface Signal Mapping to Micro Serial Interface - A passive cable adaptor for connecting a data source device with a display device is described. The adaptor has a packet-based interface connector at one end, the connector having a positive main link pin, a negative main link pin, a positive auxiliary channel pin, and a negative auxiliary channel pin. At the other end is a micro serial interface connector, wherein multimedia content is transmitted over the cable adaptor and electrical power is supplied over the cable adaptor simultaneously. The cable adaptor has an auxiliary and hot plug detect (HPD) controller utilized to map the auxiliary channel and HPD signals of the packet-based digital display to the micro serial interface ID signal. | 01-30-2014 |
20140040640 | SAS Power Management - A method is provided for a SAS (Serial Attached SCSI (Small Computer System Interface)) expander to manage power consumption of a wide port that includes multiple expander phys each operable in at least three power conditions. The method includes monitoring number of AIP primitives for the wide port over time, changing at least one expander phy to a lower power condition when the number of AIP primitives total less than a first threshold over a first period of time, and changing at least one expander phy to a higher power condition when the number of AIP primitives total more than a second threshold over a second period of time. | 02-06-2014 |
20140040641 | Cable Imbalance Diagnostics Between Channels That Include Wire Pairs for Power Over Ethernet Transmission - Cable imbalance diagnostics between channels that include wire pairs for power over Ethernet transmission. In one embodiment, measurements of one or more characteristics of a first channel that includes a first of four twisted wire pairs in a network cable are performed along with measurements of one or more characteristics of a second channel that includes a second of the four twisted wire pairs in the network cable. A determination is then made as to whether the measured one or more characteristics of the first channel and the measured one or more characteristics of the second channel indicate an imbalance between the first channel and the second channel. Adjustments such as isolation, reporting or compensation can then be made in response to the determination | 02-06-2014 |
20140047247 | Microprocessor Unit Capable of Multiple Power Modes - A power mode control system for microprocessors offers an unlimited variety of hardware-supported power modes that may satisfy any operating scenario. The microprocessor unit comprises a register that contains particular bit fields for defining selectable power modes. The particular bit fields in the register define pointers to a power mode defining register. Each pointer selects a corresponding bit field in the power mode defining register. The bits in the bit fields of the power mode defining register either directly control a power mode of at least one functional or peripheral blocks of the unit; or they are pointers to a further power mode defining register and the bits in the bit fields of the further power mode defining register directly control a power mode of at least one functional or peripheral blocks of the unit. | 02-13-2014 |
20140047248 | METHOD OF CONTROLLING A LOAD CURRENT, LOAD CURRENT CONTROL DEVICE, AND MOBILE DEVICE HAVING THE SAME - A method of controlling a load current is provided. By the method, a battery voltage control operation is begun when a battery voltage becomes lower than a first threshold value, whether a gradient of the battery voltage is a positive gradient or a negative gradient is determined at an interval of a reference or, alternatively, predetermined control time, the load current is controlled based on the gradient of the battery voltage at an interval of the reference or, alternatively, predetermined control time, and the battery voltage control operation is finished when the battery voltage becomes higher than a second threshold value. | 02-13-2014 |
20140053003 | RANDOM TIMESLOT CONTROLLER FOR ENABLING BUILT-IN SELF TEST MODULE - A data processing system having a first processor, a second processor, a local memory of the second processor, and a built-in self-test (BIST) controller of the second processor which can be randomly enabled to perform memory accesses on the local memory of the second processor and which includes a random value generator is provided. The system can perform a method including executing a secure code sequence by the first processor and performing, by the BIST controller of the second processor, BIST memory accesses to the local memory of the second processor in response to the random value generator. Performing the BIST memory accesses is performed concurrently with executing the secure code sequence. | 02-20-2014 |
20140053004 | SLAB INDUCTOR DEVICE PROVIDING EFFICIENT ON-CHIP SUPPLY VOLTAGE CONVERSION AND REGULATION - A method is disclosed to operate a voltage conversion circuit such as a buck regulator circuit that has a plurality of switches coupled to a voltage source; a slab inductor having a length, a width and a thickness, where the slab inductor is coupled between the plurality of switches and a load and carries a load current during operation of the plurality of switches; and a means to reduce or cancel the detrimental effect of other wires on same chip, such as a power grid, potentially conducting return current and thereby degrading the functionality of this slab inductor. In one embodiment the wires can be moved further away from the slab inductor and in another embodiment magnetic materials can be used to shield the slab inductor from at least one such interfering conductor. | 02-20-2014 |
20140053005 | STORAGE DEVICE AND DATA STORAGE SYSTEM - A mobile storage device is powered without using an external power supply when the mobile storage device is connected to a computing device. The mobile storage device includes a voltage regulator to receive a first voltage from a data transmission interface (e.g., USB interface) of the computing device. The voltage regulator converts the first voltage into several other voltages suitable for all other electronic components of the storage device, to provide full power to the mobile storage device. | 02-20-2014 |
20140059359 | POWER MANAGEMENT - The present disclosure includes methods and apparatuses for power management. One method includes transferring data between a memory and a controller via an input/output (I/O) bus, and adjusting an amount of power consumed in association with transferring the data by throttling the I/O bus. | 02-27-2014 |
20140059360 | POWER SUPPLIES MANAGEMENT IN AN ANALYTE DEVICE HAVING PRIMARY AND SECONDARY BATTERIES - Described herein are systems and methods (including system and method) to allow users of analyte monitors to continue to perform analyte measurement tests by using a secondary battery when the main battery is exhausted. By using applicants' technique, the monitor can be used for twice as long as compared to a monitor that only relies on a single battery as the only power supply. Moreover, applicants have devised systems and methods to indicate to the user which of the primary and secondary batteries should be replaced without disrupting the utilization of the monitor. | 02-27-2014 |
20140059361 | INFORMATION PROCESSING SYSTEM, EXTERNAL APPARATUS, AND METHOD FOR SUPPLYING POWER FROM HOST APPARATUS TO EXTERNAL APPARATUS - An information processing apparatus including a first external interface configured to be connected to a host apparatus, a first power supply section configured to be connected to an external power supply and a second power supply section configured to receive power via the first external interface. The information processing apparatus configured to detect whether power is received at the first power supply section; and declare, to the host apparatus, a maximum current value equal to or smaller than a prescribed maximum current value defined by the host apparatus when the information processing apparatus is connected to the host apparatus via the first external interface and it is detected that power is received at the first power supply section. | 02-27-2014 |
20140059362 | APPARATUS, METHOD, AND SYSTEM FOR IMPROVED POWER DELIVERY PERFORMANCE WITH A DYNAMIC VOLTAGE PULSE SCHEME - An apparatus and method is described herein for providing a dynamic pulse scheme for a voltage supply. A load (current) demand event of a processor is either predicted and/or detected. In response to the current demand event, such as a change in the current demand; a temporary, transient voltage pulse is generated by a voltage supply to compensate for the current transient demand. As result, dynamic voltage supply pulses generated based on the load current or the prediction of the load current demand increases performance, decreases power consumption, and saves expensive addition of compensation components, such as capacitors to a processor package. | 02-27-2014 |
20140059363 | Electronic device having USB interface and method for starting USB communication with such device - The present disclosure provides an electronic device having a USB interface and a method for starting USB communication with such an electronic device, so as to solve the problem of a risk of damaging a mobile phone terminal device arising from sharing of an interface by a charger and a USB communication cable in the related art. In the electronic device, a port GPIO of a baseband chip is connected to a pin USB_VBUS of a USB interface of the baseband chip; based on this circuit, a power management chip detects a state of plugging-in-or-pulling-out of the charger and generates a corresponding interrupt request; and the baseband chip controls the GPIO to output a corresponding level according to the interrupt request. By connecting the port GPIO and the pin USB_VBUS and controlling an output level of GPIO with a software, a high level or a low level (as a triggering signal for starting or terminating the USB communication) is input to the pin USB_VBUS, thus avoiding damage to the device. | 02-27-2014 |
20140068281 | MECHANISM FOR FACILITATING EFFICENT OPERATIONS PATHS FOR STORAGE DEVICES IN COMPUTING SYSTEMS - A mechanism is described for facilitating efficient operations paths for storage devices in computing systems according to one embodiment of the invention. A method of embodiments of the invention includes identifying a request for power mode change at a storage device at a computing system. The request for power mode change indicates potential reduced power state of the storage device. The method may further include transferring context information at the storage device to a host memory at the computing system, in response to the first command, and saving the context information at the host memory, wherein the storage device is at reduced power state. | 03-06-2014 |
20140068282 | INFORMATION HANDLING SYSTEM CONFIGURATION FOR POWER SYSTEM OUTPUT CAPABILITY - An IHS configuration system includes a plurality of IHS components including a processor system having a first maximum load current. A power system controller is coupled to the plurality of IHS components and operable to couple to a power supply. The power system controller is operable to retrieve a power output limit of the power system and determine a first system power budget for the plurality of IHS components using the first maximum load current of the processor system. The power system controller then determines whether the first system power budget exceeds the power output limit and, in response to the first system power budget exceeding the power output limit, the power system controller provides a second maximum load current for the processor system to create a second system power budget that does not exceed the power output limit. | 03-06-2014 |
20140068283 | SYSTEMS AND METHODS FOR SYSTEM POWER ESTIMATION - Methods and systems for system power estimation are provided. A method implemented in a computer infrastructure includes separating products into different segments. The method also includes calculating a power estimation for each segment based on operating conditions of each respective segment. The method further includes calculating an average system power estimation. At least one of the separating, calculating the power estimation, and calculating the average system power estimation is performed using a processor. | 03-06-2014 |
20140068284 | Configuring Power Management Functionality In A Processor - In one embodiment, a multicore processor includes cores that can independently execute instructions, each at an independent voltage and frequency. The processor may include a power controller having logic to provide for configurability of power management features of the processor. One such feature enables at least one core to operate at an independent performance state based on a state of a single power domain indicator present in a control register. Other embodiments are described and claimed. | 03-06-2014 |
20140068285 | DYNAMIC VOLTAGE FREQUENCY SCALING METHOD AND APPARATUS - System-on-a-chip (SOC) includes a dynamic voltage frequency scaling (DVFS) control unit; and a central processing unit (CPU) to operate the DVFS control unit. By using the DVFS control unit, a DVFS table may be selectively used according to any of various scenarios or modes of operation, thereby performing DVFS control. | 03-06-2014 |
20140068286 | ADAPTIVE COMPUTING SYSTEM WITH MODULAR CONTROL, SWITCHING, AND POWER SUPPLY ARCHITECTURE - The adaptive computing system described herein may generally include a modular control, switching, and power supply architecture. In particular, the adaptive computing system may provide a platform supporting multiple independent desktop computer modules that occupy less physical space than a standalone commercial computer, provide performance density comparable to current server solutions, and address concerns relating to stability, safety, productivity, performance, assembly, service, and other factors important to diverse desktop computer user communities. Moreover, mechanical, electrical, and functional components associated with the adaptive computing system may have various certifications or otherwise be relied upon to demonstrate compliance with criteria in regulatory, environmental, consumer safety, and other contexts. | 03-06-2014 |
20140075210 | ADAPTER FOR USE WITH A PORTABLE ELECTRONIC DEVICE - An adapter for enabling connection of a portable electronic device with an accessory includes two connectors, an identification device, a power control device, and power clamping circuitry. All of these components may be included in a single integrated housing. Another adapter may have a cable with two connectors connected to either end of the cable. One of the connectors may house the identification device and the power control device. Optionally, the adapter may also house the power clamp circuitry. | 03-13-2014 |
20140075211 | CASCADING POWER CONSUMPTION - A method and system for cascading power consumption is described herein. The method may include providing power to a first sensor and a second sensor, wherein the first sensor consumes more power than the second sensor. The method may also include detecting the first sensor does not capture a sample of data. In addition, the method may include stopping the flow of power to the first sensor. Furthermore, the method may include monitoring an operating environment with the second sensor. The method may also include providing power to the first sensor in response to the second sensor detecting a sample of data. | 03-13-2014 |
20140075212 | MANAGING AND REVOKING POWER ALLOCATED THROUGH BUS INTERFACES - The disclosed embodiments provide a system that manages power allocated through a set of bus interfaces on a computer system. During operation, the system obtains a first request for revocable current beyond a reserved current for a first bus interface from the set of bus interfaces, wherein the request is associated with a first device connected to the first bus interface. Next, the system allocates the revocable current to the first bus interface from an extra-current budget for the set of bus interfaces. Upon detecting a connection of a second device that requires non-revocable current over the extra-current budget to a second bus interface from the set of bus interfaces, the system transmits a first notification to the first device to relinquish the revocable current. Finally, the system allocates the non-revocable current to the second device from the relinquished revocable current. | 03-13-2014 |
20140075213 | MANAGED CENTRALIZED POWER SUPPLY AND FIBER SPLITTER FOR FTTP DEPLOYMENT - A network connection apparatus and system are described. The network connection apparatus includes a network interface for connection to a communication network, at least one power interface for connection to a powered network device, and at least one communication interface for connection to the powered network device. The communication interface is communicatively coupled to the network interface through a splitter. The network connection apparatus includes a bus connected to the at least one power interface, and a power supply electrically connected to the bus to supply power to the at least one power interface. The network connection apparatus may also include a communication terminal connected to the bus and to the splitter. | 03-13-2014 |
20140075214 | CONFIGURATION DETECTION FOR AN INPUT DEVICE - In certain embodiments, a protective cover includes a housing having a surface, and a latch mechanism hingeably coupled to the housing. The latch can include a top side and a bottom side, the bottom side configured to couple to and secure an input device to the surface of the housing. The latch can be configured to rotate, via the hinge coupling, between a first configuration and second configuration. In the first configuration, the top side of the latch can be flush with the surface of the housing and the bottom side embedded within the housing such that the latch does not protrude from the housing. In the second configuration, the latch can be rotated relative to the first configuration and protrude from the housing. The latch can be operable to be rotated open from the first configuration to the second configuration via the hinge coupling. | 03-13-2014 |
20140075215 | LAUNDRY TREATING APPARATUS - The present invention provides a laundry treating apparatus including a cabinet, a control panel having a power key and a display panel provided to one side of the cabinet, a display MICOM for controlling a frame to be displayed on a display of the display panel, a main MICOM for putting a load into operation according to a washing stroke applied thereto, and a standby power MICOM for generating a power supply signal to supply power to the display MICOM and the main MICOM in response to a power key applied signal generated as the power key is operated. | 03-13-2014 |
20140082377 | Providing Additional Current Capacity To A Processor For A Turbo Mode - In one embodiment, the present invention includes a primary voltage regulator to couple a regulated voltage to a processor via a supply line. This regulator includes a multi-phase controller to provide the regulated voltage in multiple phases and to provide a maximum current output sufficient to meet a thermal design power (TDP) of the processor. In addition, an auxiliary voltage regulator may be configured to provide an excess current to the processor via the supply line for a time limited duration, e.g., based on the supply line state. Other embodiments are described and claimed. | 03-20-2014 |
20140082378 | Distributing Power To Heterogeneous Compute Elements Of A Processor - In one embodiment, the present invention includes a processor having a first domain with a first compute engine and a second domain with a second compute engine, where each of these domains can operate at an independent voltage and frequency. A first logic may be present to update a power bias value used to control dynamic allocation of power between the first and second domains based at least in part on a busyness of the second domain. In turn, a second logic may dynamically allocate at least a portion of a power budget for the processor between the domains based at least in part on this power bias value. Other embodiments are described and claimed. | 03-20-2014 |
20140082379 | POWERING A DISPLAY CONTROLLER - A method and system are described for powering a display controller in an electronic device. In the described embodiments, the display controller includes a display controller power management circuit directly connected to a battery. | 03-20-2014 |
20140082380 | Distributing Power To Heterogeneous Compute Elements Of A Processor - In one embodiment, the present invention includes a processor having a first domain with a first compute engine and a second domain with a second compute engine, where each of these domains can operate at an independent voltage and frequency. A first logic may be present to update a power bias value used to control dynamic allocation of power between the first and second domains based at least in part on a busyness of the second domain. In turn, a second logic may dynamically allocate at least a portion of a power budget for the processor between the domains based at least in part on this power bias value. Other embodiments are described and claimed. | 03-20-2014 |
20140082381 | PROVIDING ADDITIONAL CURRENT CAPACITY TO A PROCESSOR FOR A TURBO MODE - In one embodiment, the present invention includes a primary voltage regulator to couple a regulated voltage to a processor via a supply line. This regulator includes a multi-phase controller to provide the regulated voltage in multiple phases and to provide a maximum current output sufficient to meet a thermal design power (TDP) of the processor. In addition, an auxiliary voltage regulator may be configured to provide an excess current to the processor via the supply line for a time limited duration, e.g., based on the supply line state. Other embodiments are described and claimed. | 03-20-2014 |
20140082382 | METHOD AND SYSTEM FOR PROVIDING BACKUP POWER FOR MEMORY DEVICES - According to exemplary embodiments, a computer program product for providing voltage to a memory device includes a tangible storage medium readable by a processing circuit and storing instructions for execution by the processing circuit for performing a method. The method performed includes storing data on the memory device, providing a voltage from a finite energy storage medium to the memory device when power is not provided by an associated computing system and measuring the voltage provided. The method also includes boosting the voltage provided by the finite energy storage medium via a switching voltage regulator responsive to the voltage being below a threshold, wherein the switching voltage regulator is coupled to the finite energy storage medium. | 03-20-2014 |
20140089686 | BUS PIN REDUCTION AND POWER MANAGEMENT - A reduced-pin bus system includes a bus having one or more signal lines that are coupled to a bus power supply through a current limiting device. A master unit is coupled to the bus and is arranged to transmit communications across the bus during an active period of the bus and to initiate communications during (and/or at the end of) a quiescent period of the bus. A slave unit is coupled to the bus and is arranged to couple power from the one or more signal lines to a capacitor during the quiescent period of the bus and to consume power from the capacitor during the active period of the bus. | 03-27-2014 |
20140089687 | POWER MANAGEMENT INTEGRATED CIRCUIT - An integrated circuit (IC) package is disclosed. The IC package includes a first die; and a second die bonded to the CPU die in a three dimensional packaging layout. | 03-27-2014 |
20140089688 | Sharing Power Between Domains In A Processor Package - In an embodiment, the present invention includes a processor having a first domain with at least one core to execute instructions, a second domain coupled to the first domain and having at least one non-core circuit, and a power control unit (PCU) coupled to the first and second domains. The PCU may include a power sharing logic to receive encoded power consumption information from the second domain and to calculate an available power budget for the first domain based at least in part on the encoded power consumption information. Other embodiments are described and claimed. | 03-27-2014 |
20140089689 | COMPUTER WITH FORCE SENSING RESISTOR - A computer includes a motherboard with a signal receiving port and a switch circuit. The switch circuit includes a force sensing module and a transistor. The transistor includes a first terminal connected to the force sensing module, a second terminal connected to a power source, and a third terminal connected to the signal receiving port. When there is a pressure applied to the force sensing module, the force sensing module outputs a first driving signal to turn on the transistor and enables the computer to maintain its current power on or off state. When there is no pressure applied to the force sensing module, the force sensing module outputs a second driving signal to turn off the transistor and switches on or off the computer. | 03-27-2014 |
20140089690 | CONTROLLING POWER SUPPLY IN ARITHMETIC PROCESSING CIRCUIT - An arithmetic processing circuit includes a plurality of arithmetic processing units, a plurality of selector circuits each configured to select one of a plurality of power supplies that are fewer than the arithmetic processing units and to connect the selected power supply to a corresponding one of the arithmetic processing units, and a power supply control circuit configured to variably control an output voltage of at least one of the plurality of power supplies. | 03-27-2014 |
20140095896 | EXPOSING CONTROL OF POWER AND CLOCK GATING FOR SOFTWARE - A processor includes at least one power domain, each power domain including at least one core that switchably receives power supply from a voltage regulator and switchably receives a clock signal from a clock source, a cache, and at least one control registers having stored thereon data indicating power management states of the at least one power domain and the cache. | 04-03-2014 |
20140095897 | ELECTRONIC DEVICE AND METHOD TO EXTEND BATTERY LIFE - An electronic apparatus is provided that includes a processor, a voltage regulator, a battery controller and an embedded controller. The voltage regulator to receive an input voltage and to provide an output voltage to the processor. The battery controller to store electronic device information and to receive battery information related to a current battery power. The embedded controller to receive the electronic device information and the battery information from the battery controller, and the embedded controller to provide power information to the processor based on the received information. | 04-03-2014 |
20140095898 | FUEL CELL FOR POWERING COMPUTER APPARATUS - A computer peripheral device incorporates a fuel cell that may be used to supply power to a computer device coupled to the peripheral device. The peripheral device comprises a housing and circuitry within the housing to provide at least one computer peripheral function. A data interface provides for data transfer to and/or from a computer device. A fuel cell power source is incorporated into the peripheral device. A power interface provides power transfer to the computer device when connected thereto. A power controller is configured to supply power from the fuel cell power source to the power interface for supplying said power to said computer device when connected thereto. | 04-03-2014 |
20140095899 | INFORMATION HANDLING SYSTEM ADAPTIVE HIGH PERFORMANCE POWER DELIVERY - An information handling system provides power to a peripheral though a peripheral interface, such as a USB interface, by communicating power availability with a primary set of power parameters through a data link and communicating power availability with a secondary set of power parameters through a power link. If a peripheral device has the capability to draw power at the second power parameters, it does so while monitoring for a fold back of host power that indicates power is not available at the second power parameters. | 04-03-2014 |
20140095900 | POWER SUPPLY SYSTEM - A power supply system for an information handling system is provided. The information processing system is electrically coupled to an AC power source and includes at least one computer unit. The power supply system includes: at least one AC power supply unit electrically coupled to the at least one computer unit and the AC power source; at least one DC power supply unit electrically coupled to the at least one computer unit; and a backup power unit electrically coupled to the at least one DC power supply unit. In response to the at least one AC power supply unit not supplying power, the at least one DC power supply unit supplies power to the at least one computer unit. A data center having the power supply system is further provided. | 04-03-2014 |
20140101462 | ENERGY-EFFICIENT UNINTERRUPTIBLE ELECTRICAL DISTRIBUTION SYSTEMS AND METHODS - A power distribution system for data center systems (and corresponding method) feeds DC power directly to a first AC-DC power supply of a computer system in the data center system and feeds AC power to a second AC-DC power supply of the computer system to efficiently and reliably provide an uninterruptible supply of power to the computer system. The power distribution system includes an energy storage unit for supplying the DC power, a charger for charging the energy storage unit, and an inverter through which the energy storage unit provides energy to an electrical substation of an electrical grid. The charger is configured to receive energy from a renewable energy source and the electrical substation. The inverter may also be configured to receive renewable energy from the renewable energy source and supply that energy to the electrical substation. An uninterruptible power supply may be coupled between the electrical substation and the AC power feed. The power distribution system further includes a monitor for monitoring the flow of current to and/or from the electrical substation, a communications interface for receiving messages or requests from a utility company associated with the electrical substation, and a controller for controlling the components of the power distribution system based on requests from the utility company and the information gathered by the monitor. | 04-10-2014 |
20140101463 | Current Distribution System, Current Distribution Method, and Computer System Thereof - A current distribution system, a current distribution method, and a computer system thereof are disclosed. The current distribution system includes a main control unit, a first power supply device, and a second power supply device. The main control unit is used for generating a first control command and a second control command. The first and the second power supply devices are used for receiving a first and a second power signals from a first and a second power input ends. The first and the second power supply devices adjust the first and the second power signals to a first and a second power shunt signals base on the first and the second control command and output to a load device, then the main control unit distributes a proportion of the first power shunt signal to the second power shunt signal accordingly. | 04-10-2014 |
20140101464 | Uninterruptible Power System and Power Control System Thereof - An uninterruptible power system and a power control system thereof are disclosed. The power control system includes the uninterruptible power system and a computer system. The uninterruptible power system supplies power to the computer system and includes an external power adaptor, a battery module, a first switch module, a second switch module, and a switching control module. When external power is input, the external power is transmitted to the computer system via the external power adaptor. When the external power is not input, the first switch. module switches automatically to supply a backup power signal from the battery module to the computer system. When the external power is not input and a control signal is not received from the computer system, the switching control module controls the second switch module to electrically disconnect a connection between the computer system and the battery module. | 04-10-2014 |
20140101465 | EXTENDING THE CAPABILITIES OF EXISTING DEVICES WITHOUT MAKING MODIFICATIONS TO THE EXISTING DEVICES - A system of extending functionalities of a host device using a smart flash storage device comprises the host device having a host interface and configured to perform a specific function to generate a first set of data. The host device is coupled with a flash storage device. The flash storage device is configured to conform to a flash memory interface. A set of data generated by the host device is to be stored in flash memory storage of the flash storage device. A processor of the flash storage device is configured to run one or more user applications to process the set of data. The processor is to operate using power supplied by the host device. | 04-10-2014 |
20140101466 | INFORMATION PROCESSING DEVICE AND METHOD, RECORD MEDIUM AND PROGRAM - The present technique relates to an information processing device, an information processing method, a record medium and a program which can prevent hot insertion and extraction of an SIM card. A removing unit removes a tray storing a storage member. A removal detector detects an operation of starting removal of the tray before the removing unit removes the tray. A power controller stopping power supplying to the storage member when the removal detector detects the operation of starting the removal of the tray may be employed. The present technique may be applied, e.g., to a personal computer. | 04-10-2014 |
20140108826 | COMPACT LOW POWER LEVEL SHIFTER FOR DUAL RAIL - The described apparatus and methods may include a first shifting stage configured to receive a signal having an upper power rail at a first voltage level and a lower power rail at a second voltage level, the first shifting stage configured to shift the upper power rail from the first voltage level to a third voltage level while maintaining the lower power rail at the second voltage level. The apparatus and methods may also include a second shifting stage coupled to the first shifting stage and configured to shift the lower power rail from the second voltage level to a fourth voltage level while maintaining the upper power rail at the third voltage level, the second shifting stage further configured to transmit the signal having the upper power rail at the third voltage level and the lower power rail at the fourth voltage level. | 04-17-2014 |
20140108827 | System and Method for Power Flow Mapping in a Datacenter - A method of power flow mapping in a datacenter includes encoding, by a power supply unit of the datacenter, identification information that uniquely identifies the power supply unit, and modulating the encoded identification information onto a power cable, wherein the power supply unit receives operating power via the power cable. Modulating the encoded information onto the power cable further includes changing a voltage internal to the power supply unit to create a current change in the power cable. | 04-17-2014 |
20140108828 | SEMI-STATIC POWER AND PERFORMANCE OPTIMIZATION OF DATA CENTERS - A device may receive information that identifies a first task to be processed, may determine a performance metric value indicative of a behavior of a processor while processing a second task, and may assign, based on the performance metric value, the first task to a bin for processing the first task, the bin including a set of processors that operate based on a power characteristic. | 04-17-2014 |
20140108829 | EXTERNAL STORAGE DEVICE AND DRIVING METHOD THEREOF - An external storage device comprises a plurality of hard disks, a control unit, a bridging unit, a connecting port and a voltage converter circuit. The control unit is coupled to the hard disks and ingrates the hard disks into a redundant array of inexpensive disks. The bridging unit is coupled to the control unit. The connecting port is coupled to the hard disks. The voltage converter circuit is coupled to the control unit and the bridging unit. The external storage device receives a power supplied from an electronic device through a transmission line. The power through the connecting port is transmitted directly to the hard disks in order to drive the hard disks. The voltage converter circuit converts the power and supplies the power to the control unit and the bridging unit. It is convenient for user to disconnect an extra power supply apparatus and a voltage transformer. | 04-17-2014 |
20140108830 | POWER MANAGEMENT IN ELECTRONIC SYSTEMS - In one embodiment, an electronic apparatus comprises at least one processor and a computer readable medium coupled to the processor and comprising logic instructions encoded in the computer readable medium, wherein the instructions, when executed in a processing system, cause the processing system to perform operations comprising initializing a direct memory access profiler in an electronic system, wherein the direct memory access is coupled to a policy manager in the electronic system, measuring at least one memory consumption characteristic of the electronic system, communicating the at least one memory consumption characteristic to a policy manager of the electronic system, and using the at least one memory consumption characteristic to adjust a power state of the electronic system. | 04-17-2014 |
20140115348 | SERVER SYSTEM AND HEAT DISSIPATION CONTROL METHOD THEREOF - A server system and a heat dissipation control method thereof are provided. A main power supply and a standby power supply respectively supplying a main voltage and a standby voltage of the server system are provided. An extension card module including at least one extension card slot allowing at least one extension card to insert into, is provided. A fan module including at least one fan used for dissipating heat from the extension card module is provided. When the main voltage is applied to the server system, the main voltage is applied to the fan to drive the fan. When the standby voltage is applied to the server system, the extension card module is determined whether to be inserted in any extension card. If yes, the standby voltage is applied to the fan to drive the fan; otherwise, the standby voltage is interrupted to stop the fan. | 04-24-2014 |
20140115349 | COMPUTER APPARATUS AND WAKE-UP METHOD THEREOF - The disclosure provides a computer apparatus including a peripheral device, a hub unit and a control unit, and a wake-up method thereof. The peripheral device is configured to generate an input signal. The hub unit is coupled to the peripheral device. When the computer apparatus is in a power-saving state, the hub unit is configured to receive the input signal to generate a wake-up event (WUE). The control unit is coupled to the hub unit. When the computer apparatus is in the power-saving state, the control unit is configured to detect whether the WUE is generated, so as to wake up the computer apparatus, so that the computer apparatus is returned to a normal operating state from the power-saving state. | 04-24-2014 |
20140115350 | POWER CONTROL DEVICE FOR PROCESSOR - A processor has: a power table including a plurality of power control registers each rewritably storing power control information; a condition determiner for rewritably storing a plurality of operating conditions (e.g., a comparison address to be compared with the program counter) and determining which one of the plurality of operating conditions is satisfied by a current operation of the processor so as to supply an index signal to select one of the plurality of power control registers based on the determination; and a voltage/clock controller for controlling the power consumption in a control object circuit block according to the power control information in one of the power control registers that is selected by the index signal. | 04-24-2014 |
20140115351 | DYNAMICALLY ALLOCATING A POWER BUDGET OVER MULTIPLE DOMAINS OF A PROCESSOR - In one embodiment, the present invention includes a method for determining a power budget for a multi-domain processor for a current time interval, determining a portion of the power budget to be allocated to first and second domains of the processor, and controlling a frequency of the domains based on the allocated portions. Such determinations and allocations can be dynamically performed during runtime of the processor. Other embodiments are described and claimed. | 04-24-2014 |
20140115352 | ASYNCHRONOUS MANAGEMENT OF ACCESS REQUESTS TO CONTROL POWER CONSUMPTION - Systems and methods are disclosed for asynchronous management of access requests to control power consumption. In some cases, by asynchronously managing power within a system, multiple dies of a NVM can simultaneously draw current in order to match the power demand. In particular, an arbiter of the system can receive multiple requests to draw current, where each request may be associated with a different die of the NVM. In some embodiments, the arbiter can determine the servicing order using the time of arrival of the request (e.g., a first-in, first-out scheme). In other embodiments, the arbiter can simultaneously service multiple requests so long as the servicing of the multiple requests does not exceed a power budget. | 04-24-2014 |
20140122904 | DYNAMICALLY SCALING VOLTAGE/FREQUENCY - Disclosed are various embodiments providing processing circuitry that generates an output for each clock cycle of a clock signal using a logic block, the logic block being powered by a supply voltage. The processing circuitry detects whether the output has stabilized at a point in time before the end of a clock cycle of the clock signal, the point in time being based at least upon a delay line. In response to detecting whether the output has stabilized at the point in time, the processing circuitry dynamically adjusts at least one or the supply voltage or a frequency of the clock signal. | 05-01-2014 |
20140122905 | POWER START-UP DEVICE AND POWER START-UP METHOD - A power start-up device and a power start-up method are provided, wherein the power start-up device comprises a detecting module, a status control module, a prompt module and a power management module. The detecting module is used for detecting a power actuating signal caused by a power switch. The status control module is coupled to the detecting module, for receiving the power actuating signal, and determining a number of times of pressing the power switch and a period of pressing the power switch, in order to generate a prompt adjustment signal and a power control signal. The prompt module is coupled to the status control module, for receiving the prompt adjustment signal in order to send out and transform a user prompt signal. The power management module is coupled to the status control module, for controlling a power supply of a computer system according to the power control signal. | 05-01-2014 |
20140122906 | Data Center Uninterruptible Power Distribution Architecture - Apparatus and associated method and computer program products involve a highly efficient uninterruptible power distribution architecture to support modular processing units. As an illustrative example, a modular processing unit includes an corresponding uninterruptible power system in which only one AC-to-DC rectification occurs between the utility AC grid and the processing circuit (e.g., microprocessor) loads. In an illustrative data center facility, a power distribution architecture includes a modular array of rack-mountable processing units, each of which has processing circuitry to handle network-related processing tasks. Associated with each modular processing unit is an uninterruptible power supply (UPS) to supply operating power to the network processing circuitry. Each UPS includes a battery selectively connectable across a DC bus, and a AC-to-DC rectifier that converts an AC input voltage to a single output voltage on the DC bus. The regulated DC bus voltage may be close to the battery's fully charged voltage. | 05-01-2014 |
20140122907 | DEVICE WITH POWER CONTROL FEATURE INVOLVING BACKUP POWER RESERVOIR CIRCUIT - Power-backup capabilities are provided by implementing a variety of different methods, systems and devices. According to one such implementation, an energy storage device such as a capacitive storage circuit is powered relative to the powering of one or more additional circuits from a common power supply to limit the draw upon the power supply. Certain applications involve delaying or otherwise modifying the powering of the capacitive storage circuit, which may involve an initial startup of the capacitive storage circuit. | 05-01-2014 |
20140122908 | Hardware Automatic Performance State Transitions in System on Processor Sleep and Wake Events - In an embodiment, a power management unit (PMU) may automatically transition (in hardware) the performance states of one or more performance domains in a system. The target performance states to which the performance domains are to transition may be programmable in the PMU by software, and software may signal the PMU that a processor in the system is to enter the sleep state. The PMU may control the transition of the performance domains to the target performance states, and may cause the processor to enter the sleep state. In an embodiment, the PMU may be programmable with a second set of target performance states to which the performance domains are to transition when the processor exits the sleep state. The PMU may control the transition of the performance domains to the second targeted performance states and cause the processor to exit the sleep state. | 05-01-2014 |
20140129850 | POLARITY CORRECTION BRIDGE CONTROLLER FOR COMBINED POWER OVER ETHERNET SYSTEM - A system for combining power to a load in a Powered Device (PD) using Power Over Ethernet (PoE) receives power from a first channel and power from a second channel, via four pairs of wires. A MOSFET bridge for each channel is initially disabled. A bridge controller IC simultaneously senses all the voltages and controls the bridge MOSFETs. The bridge controller IC also contains a first PoE handshaking circuit. A second PoE handshaking circuit is external to the bridge controller IC and operates independently. The body diodes in the MOSFET bridge initially couple the first channel to the second PoE handshaking circuit while isolating the second channel. The second handshaking circuit then couples the first channel to the load. The first handshaking circuit then carries out a PoE handshaking routine for the second channel. Ultimately, the bridge controller controls the bridge MOSFETs to couple both channels to the load. | 05-08-2014 |
20140129851 | VOLTAGE IDENTIFICATION DEFINITION REFERENCE VOLTAGE GENERATION CIRCUIT AND BOOT VOLTAGE GENERATING METHOD THEREOF - A voltage identification definition (VID) reference voltage generation circuit and a boot voltage generating method thereof are provided. In the boot voltage generating method, a VID reference voltage generation circuit is provided. The VID reference voltage generation circuit includes a preset voltage providing unit, a switch and a VID input signal detection unit. When the VID input signal detection unit detects no input of a VID signal, a control signal is generated to control the switch, such that the preset voltage providing unit provides an adjustable preset voltage. | 05-08-2014 |
20140129852 | Dynamic Voltage Dithering - A request for a high voltage mode is received and a high voltage timer is started in response to determining that a remaining amount of high voltage credits exceeds a voltage switch threshold value. A switch to the high voltage mode is made in response to the request. A low voltage mode is switched to in response to an indication. The request may be received from an application running on a data processing system. If the indication is that the high voltage timer has expired, a low voltage timer is started in response to switching to low voltage mode. If the high voltage request is still active when the low voltage timer expires, a switch back to high voltage mode occurs and a new high voltage timer is started. | 05-08-2014 |
20140136857 | POWER-CONSTRAINED COMPILER CODE GENERATION AND SCHEDULING OF WORK IN A HETEROGENEOUS PROCESSING SYSTEM - A heterogeneous processing system includes a compiler for performing power-constrained code generation and scheduling of work in the heterogeneous processing system. The compiler produces source code that is executable by a computer. The compiler performs a method. The method includes dividing a power budget for the heterogeneous processing system into a discrete number of power tokens. Each of the power tokens has an equal value of units of power. The method also includes determining a power requirement for executing a code segment on a processing element of the heterogeneous processing system. The determining is based on characteristics of the processing element and the code segment. The method further includes allocating, to the processing element at runtime, at least one of the power tokens to satisfy the power requirement. | 05-15-2014 |
20140136858 | POWER-CONSTRAINED COMPILER CODE GENERATION AND SCHEDULING OF WORK IN A HETEROGENEOUS PROCESSING SYSTEM - An active memory system includes a computer and an active memory device including layers of memory forming a three-dimensional memory device and individual columns of chips forming vaults in communication with a processing element and logic. The processing element is configured to communicate to the chips and other processing elements. The active memory system also includes a compiler configured to implement a method. The method includes dividing a power budget for the active memory device into a discrete number of power tokens, each of the power tokens having an equal value of units of power. The method also includes determining a power requirement for executing a code segment on the processing element of the active memory device based on characteristics of the processing element and the code segment. The method further includes allocating, to the processing element at runtime, one or more power tokens to satisfy the power requirement. | 05-15-2014 |
20140136859 | HUMIDITY MEASURING CIRCUIT - A humidity measuring circuit includes a comparing circuit and a switch circuit. The comparing circuit measures humidity and compares the measured humidity with a preset value, to output a first control signal or a second control signal. The switch circuit receives the first or second control signal and controls a computer to be powered on or not. When humidity measured by the comparing circuit is greater than the preset value, the comparing circuit outputs the first control signal to the switch circuit. The switch circuit is turned on and controls the computer not to be powered on. When humidity measured by the comparing circuit is equal to or less than the preset value, the comparing circuit outputs the second control signal to the switch circuit. The switch circuit is turned off and controls the computer to be powered on. | 05-15-2014 |
20140136860 | APPARATUS AND SYSTEM FOR GENERATING A SIGNAL WITH PHASE ANGLE CONFIGURATION - Described herein is an apparatus and system for generating a signal with phase angle configuration. The apparatus comprises an array of switch-resistors, each switch resistor to receive a control signal, wherein the array of switch-resistors to generate an output signal; and a circuit to configure phase angle of the output signal. The apparatus can be used for different package and inductor configurations. The apparatus provides flexibility to mitigate switching noise by adjusting phase angles, and provides the ability to enable and disable switch-resistors on the fly without ripples. The apparatus also saves power consumption by selectively turning off switch-resistors when phases are disabled. The output signal of the apparatus has smooth triangular waveforms for improving the quality of power supply generated using the output signal. Overall, the apparatus exhibits reduced sensitivity to process variations compared to traditional signal generators. | 05-15-2014 |
20140136861 | DATA REQUEST PATTERN GENERATING DEVICE AND ELECTRONIC DEVICE HAVING THE SAME - A data request pattern generating device may include a sequence detector configured to generate data request sequence information based on a plurality of data request signals. The data request signals may be output from a plurality of function blocks. The device may include a time detector configured to generate data request time information based on the data request signals. The device may include a pattern generator configured to generate a data request pattern based on the data request sequence information and the data request time information. | 05-15-2014 |
20140143557 | DISTRIBUTED CHIP LEVEL POWER SYSTEM - A method, circuit arrangement, and program product for dynamically reallocating power consumption at a component level of a processor. Power tokens representative of a power consumption metric are allocated to interconnected IP blocks of the processor, and as additional power is required by an IP block to perform assigned operations, the IP block may communicate a request for additional power tokens to one or more interconnected IP blocks. The interconnected IP blocks may grant power tokens for the request based on a priority, availability, and/or power consumption target. The requesting IP block may modify power consumption based on power tokens granted by interconnected IP blocks for the request. | 05-22-2014 |
20140143558 | DISTRIBUTED CHIP LEVEL MANAGED POWER SYSTEM - A method, circuit arrangement, and program product for dynamically reallocating power consumption at a component level of a processor. Power tokens representative of a power consumption metric are allocated to interconnected IP blocks of the processor, and as additional power is required by an IP block to perform assigned operations, the IP block may communicate a request for additional power tokens to one or more interconnected IP blocks. The interconnected IP blocks may grant power tokens for the request based on a priority, availability, and/or power consumption target. The requesting IP block may modify power consumption based on power tokens granted by interconnected IP blocks for the request. A power management block may adjust power token allocation of one or more IP blocks by communicating a command to one or more IP blocks and/or by adjusting a power token request. | 05-22-2014 |
20140143559 | WEAK POWER SUPPLY OPERATION AND CONTROL - Power monitoring circuitry is provided, comprising a capacitor configured to receive a current, so as to charge the capacitor and a switching device, connected to the capacitor. The switching device is configured to periodically discharge the capacitor in response to receipt of a clock signal from a circuit being monitored. The power monitoring circuitry also comprises a comparator, configured to perform a comparison of a voltage developed by the capacitor with a threshold voltage, and to output an indication of a change in power supplied to the circuit in response to the comparison. Other embodiments are also described. | 05-22-2014 |
20140143560 | POWER CONTROL DEVICE AND DISPLAY DEVICE INCLUDING THE SAME - A power controller includes an inductor coupled to an input terminal to which an input voltage is input, a first switch coupled between the inductor and a first power source voltage output terminal, a second switch coupled between the inductor and a ground, a switch controller controlling a voltage output to the first power source voltage output terminal by controlling duties of the first and second switches according to a feedback voltage input to a feedback terminal corresponding to a voltage output to the first power source voltage output terminal, and a diode coupled between the first power source voltage output terminal and the feedback terminal, and preventing a voltage of the first power source voltage output terminal from increasing higher than a breakdown voltage of the first and second switches. | 05-22-2014 |
20140143561 | POWER ON CIRCUIT - A power on circuit is connected between a video graphics array (VGA) connector of a display and a super input output (SIO) chip of a motherboard. The power on circuit includes first to fourth electronic switches and a timer. When a power button of the display is pressed, a serial data line pin of the VGA connector outputs a high level signal to turn on the first electronic switch, the second electronic switch is turned on, the fourth electronic switch is turned on, and the timer outputs a periodic pulse signal. When the pulse signal outputted from the timer is at a high level, the third electronic switch is turned on, the SIO chip receives a low level signal from the fourth and third electronic switches, and the motherboard is turned on. | 05-22-2014 |
20140143562 | INFORMATION PROCESSING APPARATUS - An information processing apparatus includes a first control unit configured to control a processing unit; a first power supply that supplies power to the first control unit; a switching unit configured to switch on or off the first power supply; a second control unit configured to operate according to a setting and control the switching unit; a second power supply that supplies power to the second control unit; and a setting change unit configured to perform the setting of the second control unit so that the switching unit switches off the first power supply when a voltage of the second power supply is lower than a preset voltage, and perform the setting of the second control unit so that the switching unit switches on the first power supply when the voltage of the second power supply is equal to or higher than the preset voltage. | 05-22-2014 |
20140149750 | COMPUTING SYSTEM VOLTAGE CONTROL - An apparatus including a voltage safety verification unit (VSVU) configured to receive an indication of a first performance state, the first performance state being associated with a first voltage. The first performance state applies to at least one computing system component and the indication is received by a computing system component distinct from the requesting computing system component. The VSVU is configured to receive an indication of a second performance state. The second performance state is associated with a second voltage that is not equal to the first voltage. The VSVU is configured to determine whether the second performance state is within a range defined by a minimum and maximum performance state. Responsive to a determination that the second performance state is within the, the VSVU is configured to set the voltage of the at least one computing system component equal to the voltage associated with the second performance state. | 05-29-2014 |
20140149751 | SCALABLE DATA COLLECTION FOR SYSTEM MANAGEMENT - A system with scalable data collection for system management comprises a plurality of local data collectors and a system collector. Each of the local data collectors is coupled with a corresponding subsystem of the system. Each of the local data collectors is configured to periodically collect power management related data from the corresponding subsystem, and to format the collected power management related data for conveyance along any one of a plurality of channels between the local data collector and the system collector. The system collector is coupled with the plurality of local data collectors via the plurality of channels. The system collector selects from the channels between the system collector and each of the local data collectors based, at least in part, on channel states, and retrieves the power management related data collected by each of the local data collectors along a selected channel for the local data collector. | 05-29-2014 |
20140149752 | ASSOCIATING ENERGY CONSUMPTION WITH A VIRTUAL MACHINE - Associating processor and processor core energy consumption with a task such as a virtual machine is disclosed. Various events cause a trace record to be written to a trace buffer for a processor. An identifier associated with a task using a processor core of the processor is read. In addition, one or more values associated with an energy consumption of the processor core are read. In response to the event, the one or more values associated with the energy consumption of the processor core and the identifier are written to the trace buffer memory. | 05-29-2014 |
20140149753 | Thermal Power Budget Allocation for Maximum User Experience - A method, an apparatus, and a computer program product for allocating a total power budget among a plurality of components of a user device are provided. The apparatus prioritizes the plurality of components based on a user experience model (performance/power model) for each of the plurality of components. The user experience model (performance/power model) of a component includes a measure of component attribute as a function of component power consumption. The apparatus allocates portions of the total power budget among the user-device components based on priority established by the user experience model. The apparatus may further prioritize the components based on weights assigned to the components. | 05-29-2014 |
20140149754 | GESTURE DETECTION MANAGEMENT FOR AN ELECTRONIC DEVICE - The amount of power and processing capacity needed to process gesture input for a computing device is reduced by splitting the management of sensors, used to detect the input, among different processing components. A relatively high power processor system can monitor the sensors and determine the presence of gestures when the device is in an active state. When the device, or at least the processor system, enters a sleep mode, sensor management is transferred to a microcontroller connected to at least a portion of the sensors, such that the microcontroller can analyze the sensor data to determine the likelihood of a wake action being performed. In response to detecting a wake action, the microcontroller can contact a component such as a power management component to place the processor system in an active state, and enable the processor system to resume management of the sensors. | 05-29-2014 |
20140149755 | DECOUPLED POWER AND PERFORMANCE ALLOCATION IN A MULTIPROCESSING SYSTEM - A performance supervisor computer program product is configured to set a maximum and a minimum performance operating limit for a plurality of processing units in accordance with a set of one or more rules enforced by the performance supervisor. Each of the plurality of processing units has logic configured to ensure a request for an operational setting complies with the maximum and minimum operating limits. Each of the plurality of processing units is configured to output a request for a limit compliant operational setting to a performance controller. The performance controller is configured to actuate the operational request. | 05-29-2014 |
20140149756 | POWER SAVING DURING A CONNECTION DETECTION - In a particular embodiment, an electronic device includes a direct current (DC) voltage source coupled to a DC interface. The electronic device includes a receiver sense circuit configured to detect a connection of the electronic device to a sink device via a connector without consuming power from the DC voltage source. The electronic device further includes a controller coupled to a hot plug detect (HPD) interface. The controller is configured to receive a detection signal from the receiver sense circuit, selectively control a switch to enable and disable the DC voltage source based on the detection signal, detect an HPD signal at the HPD interface after enabling the DC voltage source, and disable the receiver sense circuit in response to detecting the HPD signal. | 05-29-2014 |
20140149757 | METHOD AND APPARATUS FOR MANAGING POWER IN COMPUTER SYSTEMS - The invention is directed towards minimizing power consumption in computer systems. One embodiment of the invention is a power management system that is used for a computer system that has at least one device and one power domain. This embodiment uses two different power managers to manage the power consumption of the device and the power domain. Specifically, this embodiment has (1) a first power manager that determines when to change power state of the device, and (2) a second power manager that determines when to change power state of the power domain. Each of these power managers decides to change the power state of its corresponding device or domain based on information from several different sources. These sources can include power-management clients and power managers of related domains or devices. | 05-29-2014 |
20140157007 | SYSTEM AND METHOD OF ADAPTIVE VOLTAGE SCALING - A particular method includes, prior to issuing a recommendation by an adaptive voltage scaling (AVS) system, performing a first iteration of an AVS operation to sample characteristics of a semiconductor device to determine a first adjustment recommendation. The method further includes performing at least one additional iteration of the AVS operation to determine at least one additional adjustment iteration. When a threshold number of consecutive adjustment recommendations are consistent, the method includes issuing the recommendation by the AVS system. | 06-05-2014 |
20140157008 | BACKUP POWER ARCHITECTURE FOR RACK SYSTEM - A computing device is associated with a circuit for sharing and distributing backup power. During normal operating conditions, a main bus bar provides power to each computing device in a rack via a main power bus of the corresponding circuit. In the event of an AC power outage, the main power bus is deactivated and a backup power path of the circuit is activated. Backup power is provided to the device from a battery of the circuit via the backup power path. A shared power path is also activated in the circuit such that backup power may be provided from the battery to the main bus bar. By providing backup power to the main bus bar, the other computing devices in the rack that do not have sufficient backup power may receive backup power from the main bus bar until AC power is restored. | 06-05-2014 |
20140157009 | Opportunistic Modem Wakeup - Embodiments provide methods and systems for enabling opportunistic wakeup of a device from a sleep mode. Specifically, embodiments recognize that often incoming packets into a module in a low power mode are not time-critical and can be deferred for processing by the module after a scheduled wakeup time of the module. As such, embodiments provide methods and systems for identifying an incoming packet into a module and determining whether or not to cause the module to exit the low power mode based on characteristics of the incoming packet. Embodiments may be applied to a modem in a wired or wireless device but are not limited as such, and extend to any device which may benefit from the opportunistic wakeup embodiments described herein. | 06-05-2014 |
20140157010 | POWER ON AND OFF TEST CIRCUIT - A power on and off (on/off) test circuit includes a charging and discharging circuit, a control circuit, and a power circuit. The power circuit provides a voltage to the charging and discharging circuit and the control circuit. When a charging voltage of the charging and discharging circuit is greater than or equal to a preset voltage, the charging and discharging circuit discharges and outputs a first control signal to the control circuit, the control circuit controls the computer to be powered on according to the first control signal; when the charging voltage of the charging and discharging circuit is less than the preset voltage, the charging and discharging circuit is charged and outputs a second control signal to the control circuit, the control circuit controls the computer to be powered off according to the second control signal. | 06-05-2014 |
20140157011 | LOW-IMPEDANCE REFERENCE VOLTAGE GENERATOR - Described herein is an apparatus and system of a low-impedance reference voltage generator. The apparatus comprises: a voltage-control loop including a first transistor to provide an output voltage; and a current-control loop to sense current through the first transistor, relative to a reference current. The node having the output voltage is a low-impedance node. | 06-05-2014 |
20140157012 | INFORMATION PROCESSING APPARATUS AND POWER SUPPLYING METHOD - According to one embodiment, an information processing apparatus including a power source configured to supply power to an external device, including: a detector configured to detect a type of the external device; and a power supply configured to temporarily shut off supply of power to the external device from a first power supply mode and to supply power from a second power supply mode, when the detected type of the external device satisfies a first condition. | 06-05-2014 |
20140157013 | DATA CENTER POWER CONVERSION EFFICIENCY MANAGEMENT - A data center energy management (DCEM) server configures a power supply in the data center. The DCEM server sums input alternating current (AC) power of the power supply to a total AC power of the data center, wherein the total AC power of the data center is a sum of AC power of a plurality of power supplies. The DCEM server sums output direct current (DC) power of the power supply to a total DC power of the data center and reports a ratio of total AC power to total DC power as data center power conversion efficiency. The DCEM server sets a preset power supply efficiency threshold. The DCEM server determines that a real-time power efficiency level is below the power supply efficiency threshold. The DCEM server, responsive to a determination that real-time power efficiency level is below the power supply efficiency threshold, may remedy the power supply. | 06-05-2014 |
20140164794 | SEQUENTIAL POWER UP OF DEVICES IN A COMPUTING CLUSTER BASED ON RELATIVE COMMONALITY - A computer program product includes computer usable program code for: identifying a plurality of power distribution units (PDUs) disposed in a rack, wherein each PDU receives power from a main power source and includes a circuit breaker; identifying a plurality of devices disposed in the rack, wherein each device receives power from one of the PDUs, and wherein the plurality of devices are selected from server nodes, network switches and external data storage devices; obtaining vital product data from a service processor in each device, wherein the vital product data identifies the device by a model identification code; and powering on, for each of the PDUs, the plurality of devices that are connected to the PDU in a sequence to prevent an inrush current from tripping the circuit breaker within the PDU, wherein the sequence powers on devices in order of ascending commonality of the model identification code. | 06-12-2014 |
20140164795 | BRIDGE CIRCUIT FOR ETHERNET POWERED DEVICE - A network powered device includes field effect transistors connected as bridge circuit. The bridge circuit includes control circuitry to enable the FETs based on completion of a powered device detection sequence performed by power sourcing equipment coupled to the device via an Ethernet link. | 06-12-2014 |
20140164796 | SYSTEMS AND METHODS FOR PROVIDING IMPROVED POWER BACKUP FOR DESKTOP COMPUTERS - The present disclosure relates to systems and methods for providing power from a secondary power source upon an interruption of a primary power source. In certain embodiments, the secondary power source may supply power without use of a power inverter that converts direct current into alternating current or a current rectifier that provides a second conversion of alternating current into a direct current. Embodiments in accordance with the present disclosure may also employ a time delay to improve operation of the secondary power source. | 06-12-2014 |
20140164797 | PORTABLE ELECTRICAL DEVICE CHARGING SYSTEM AND METHOD USING THERMAL ENERGY - A portable electrical device charging system and method for using thermal energy, especially for use in the field or as part of military operations, is disclosed. The inventive electrical device charging system has one or more thermal electric generators attached, physically connected to, or incorporated within a container or pouch that is used to heat packaged meals-ready-to-eat (“MRE”). With the generation of heat to cook the MRE, the heat transfer through the container or pouch activates the thermal electric generators which generates electricity, in the form of an electric current, that can be used to charge any electrical device including an electrical storage device. More particularly, the generated electrical current may be used to charge radios, batteries, cell phones, personal data assistant devices, tablets, cameras, flashlights, or any other similar device. | 06-12-2014 |
20140173296 | CHIP LEVEL POWER REDUCTION USING ENCODED COMMUNICATIONS - A circuit arrangement, method, and program product communicate data over a communication bus by selectively encoding data values queued for communication over the communication bus based at least in part on at least one data value queued to be communicated thereafter and at least one previously communicated encoded data value to reduce bit transitions for communication of the encoded data values. By reducing bit transitions in the data communicated over the communication bus, power consumption by the communication bus is likewise reduced. | 06-19-2014 |
20140173297 | Performing Frequency Coordination In A Multiprocessor System - In an embodiment, a processor includes a core to execute instructions, uncore logic coupled to the core, and a power controller to control a power consumption level. The power controller is configured to determine an activity level of the processor and responsive to this level, to generate a request for communication to a second processor coupled to the processor to request frequency coordination between the processors. Other embodiments are described and claimed. | 06-19-2014 |
20140173298 | PERFORMANCE AWARE IDLE POWER MANAGEMENT - Methods, systems, and media are provided for power management. The power management includes, but is not limited to storing at a computer system a history of canceled entries into a low power state that interrupted a transition of the unit from an active mode to the low power state and disallowing transition of the unit into the low power state when a number of canceled entries indicated by the history of canceled entries exceeds a canceled entry threshold. | 06-19-2014 |
20140173299 | SERVER RACK FUEL CELL - Computing devices receive power from multiple fuel cells, consuming natural gas and outputting electrical energy natively consumable by the computing devices. The fuel cells are sized to provide power to a set of computing devices, such as a rack thereof. The computing devices of a failed fuel cell can receive power from adjacent fuel cells. Additionally, the fuel cells and computing devices are positioned to realize thermal symbiotic efficiencies. Controllers instruct the computing devices to deactivate or throttle down power consuming functions during instances where the power consumption demand is increasing faster than the power being sourced by fuel cells, and instruct the computing devices to activate or throttle up power consuming functions during instances where the power consumption demand is decreasing faster than the power being sourced by the fuel cells. Supplemental power sources, supplementing the fuel cells' inability to quickly change power output, are not required. | 06-19-2014 |
20140173300 | POWER STORAGE SYSTEM AND POWER STORAGE DEVICE - The versatility of a power feeding device is improved. A power storage system includes a power storage device and a power feeding device. The power storage device includes data for identifying the power storage device. The power storage device includes a power storage unit, a switch that controls whether power from the power feeding device is supplied to the power storage unit, and a control circuit having a function of controlling a conduction state of the switch in accordance with a control signal input from the power feeding device. The power feeding device includes a signal generation circuit having a function of identifying the power storage device by the data input from the power storage device, generating the control signal corresponding to the identified power storage device, and outputting the generated control signal to the power storage device. | 06-19-2014 |
20140173301 | POWER STATE SYNCHRONIZATION IN A MULTI-CORE PROCESSOR - A multi-core processor includes microcode distributed in each core enabling each core to participate in a de-centralized inter-core state discovery process. In a related microcode-implemented method, states of a multi-core processor are discovered by at least two cores participating in a de-centralized inter-core state discovery process. The inter-core state discovery process is carried out through a combination of microcode executing on each participating core and signals exchanged between the cores through sideband non-system-bus communication wires. The discovery process is unmediated by any centralized non-core logic. Applicable discoverable states include target and composite power states, whether and how many cores are enabled, the availability and distribution of various resources, and hierarchical structures and coordination systems for the cores. The inter-core state discovery process may be carried out in accordance with various hierarchical coordination systems involving chained inter-core communications. | 06-19-2014 |
20140173302 | POWER SUPPLY DEVICE - Miniaturization of a multiphase type power supply device can be achieved. A power supply control unit in which, for example, a microcontroller unit, a memory unit and an analog controller unit are formed over a single chip, a plurality of PWM-equipped drive units, and a plurality of inductors configure a multiphase power supply. The microcontroller unit outputs clock signals each having a frequency and a phase defined based on a program on the memory unit to the respective PWM-equipped drive units. The analog controller unit detects a difference between a voltage value of a load and a target voltage value acquired via a serial interface and outputs an error amp signal therefrom. Each of the PWM-equipped drive units drives each inductor by a peak current control system using the clock signal and the error amp signal. | 06-19-2014 |
20140181535 | TAP-TO-WAKE AND TAP-TO-LOGIN NEAR FIELD COMMUNICATION (NFC) DEVICE - Described herein are techniques related to a tap-to-wake and tap-to-login system. This Abstract is submitted with the understanding that it will not be used to interpret or limit the scope and meaning of the claims. A tap-to-wake and tap-to-login system allows a user of a near field device to wake up a computing platform from a deep sleep state using a bump/tap without having to move a mouse or enter a keyboard stroke. | 06-26-2014 |
20140181536 | USING TEMPERATURE MARGIN TO BALANCE PERFORMANCE WITH POWER ALLOCATION - A method and apparatus using temperature margin to balance performance with power allocation. Nominal, middle and high power levels are determined for compute elements. A set of temperature thresholds are determined that drive the power allocation of the compute elements towards a balanced temperature profile. For a given workload, temperature differentials are determined for each of the compute elements relative the other compute elements, where the temperature differentials correspond to workload utilization of the compute element. If temperature overhead is available, and a compute element is below a temperature threshold, then particular compute elements are allocated power to match or drive toward the balanced temperature profile. | 06-26-2014 |
20140181537 | GUARDBAND REDUCTION FOR MULTI-CORE DATA PROCESSOR - A multi-core data processor includes multiple data processor cores and a power controller. Each data processor core has a first input for receiving a clock signal, a second input for receiving a power supply voltage, and an output for providing an idle signal. The power controller is coupled to each of the data processor cores for providing the clock signal and the power supply voltage to each of the data processor cores. The power controller provides at least one of the clock signal and the power supply voltage to an active one of the data processor cores in dependence on a number of idle signals received from the data processor cores. | 06-26-2014 |
20140181538 | Controlling Configurable Peak Performance Limits Of A Processor - In one embodiment, the present invention includes a processor having a plurality of cores each to execute instructions, a non-volatile storage to store maximum peak operating frequency values each a function of a given number of active cores, a configuration storage to store frequency limits each corresponding to one of the maximum peak operating frequency values or a configurable clip frequency value less than the maximum peak operating frequency value. In turn, a power controller is configured to limit operating frequency of the cores to a corresponding frequency limit obtained from the configuration storage. Other embodiments are described and claimed. | 06-26-2014 |
20140181539 | SYSTEM FOR ADAPTIVE -POWER CONSUMPTION DESIGN IN ULTRATHIN COMPUTING DEVICES - A system and method for adaptive power consumption in a computing device having a chassis forming an enclosure for a chamber. The computing device further includes, in the chamber, a heterogeneous processing unit that includes a CPU operatively coupled with a GPU and that generates thermal and performance information for the CPU and GPU, a memory, and a memory controller that connects the memory to the heterogeneous processing unit. A passive cooling subsystem and an active cooling subsystem cools off the chamber. A plurality of thermal sensors are positioned to monitor temperatures within the chamber. A thermal detection and control unit receives thermal and performance information from the heterogeneous processing unit and the plurality of thermal sensors and responsively adjusts overall power consumption of the heterogeneous processing unit, the memory controller, the memory and the active cooling subsystem to maintain performance of the heterogeneous processing unit while minimizing thermal heating. | 06-26-2014 |
20140181540 | HYBRID BATTERY PACK - A power source for supplying power to a mobile computing system comprising a Li polymer battery coupled in parallel with a supercapacitor cell battery. The Li polymer battery supplies substantially all the continuous currents demanded by the system load. The supercapacitor cell battery supplies substantially all the transient current demanded by the system load. The Li polymer battery may charge the supercapacitor cell battery when the voltage difference is larger than the difference caused by internal impedance difference. | 06-26-2014 |
20140181541 | INFORMATION EQUIPMENT AND BATTERY CHARGE CIRCUIT - To protect a battery when an anomaly of a charge circuit occurs. Information equipment includes: a charge circuit for controlling an output voltage of a DC power supply and applying the controlled voltage to a battery; a battery protection circuit for interrupting a first power supply path connecting the battery and the charge circuit when an anomaly of the battery occurs; an input voltage detection circuit for detecting an input voltage which is input from the DC power supply to the charge circuit; and an interruption circuit for determining that an anomaly of the charge circuit has occurred, and interrupting a second power supply path connecting the first power supply path or the DC power supply and the charge circuit when the input voltage detected by the input voltage detection circuit fluctuates and indicates an abnormal value. | 06-26-2014 |
20140181542 | System and Method For Dynamically Controlling A Plurality Of Cores In A Multicore Central Processing Unit Based On Tempature - A method of controlling power within a multicore central processing unit (CPU) is disclosed. The method may include monitoring a die temperature, determining a degree of parallelism within a workload of the CPU, and powering one or more cores of the CPU up or down based on the degree of parallelism, the die temperature, or a combination thereof. | 06-26-2014 |
20140181543 | PORTABLE TERMINAL, RECORDING MEDIUM - A portable terminal supplies power to a chip card therein with host power off. A power controller supplies power from a battery to a host, the chip card, and a CLF; a first switch opens/closes a power supply channel to the chip card, branching from a power supply channel from the power controller to the host; a second switch opens/closes a power supply channel to the chip card, branching from a power supply channel from the power controller to the CLF; a switch controller opens the first switch and closes the second switch if the CLF detects a contactless RF signal, if the host is off or if the portable terminal is in a low battery mode, and if the contactless RF signal conforms to the communication method of the chip card, and the switch controller closes the first switch and opens the second switch if the host is on. | 06-26-2014 |
20140189375 | DISTRIBUTED POWER DELIVERY TO A PROCESSING UNIT - Technology is provided for distributed power delivery to a processing unit on a printed circuit board. In one example, a printed circuit board includes a processing unit coupled to multiple power channels, including first channels on a first side of the processing unit, and second channels on a second side of the processing unit. The printed circuit board further includes a first power supply coupled to the processing unit via the first channels, and a second power supply coupled to the processing unit via the second channels. The processing unit is configured to receive a total current, including currents drawn substantially simultaneously from the first power supply and the second power supply. The total current is about equivalent to a current the processing unit would draw from a single power supply. | 07-03-2014 |
20140189376 | TOTAL PLATFORM POWER CONTROL - Methods and apparatus relating to total platform power control are described. In one embodiment, power consumption by one or more processor cores of a processor and one or more components coupled to the processor are modified based on a total platform power consumption value. The platform, in turn, includes the processor and the one or more components. Other embodiments are also disclosed and claimed. | 07-03-2014 |
20140189377 | APPARATUS AND METHOD FOR INTELLIGENTLY POWERING HETERGENEOU PROCESSOR COMPONENTS - An intelligent power allocation architecture for a processor. For example, one embodiment of a processor comprises: a plurality of processor components for performing a corresponding plurality of processor functions; a plurality of power planes, each power plane associated with one of the processor components; and a power control unit (PCU) to dynamically adjust power to each of the power planes based on user experience metrics, workload characteristics, and power constraints for a current use of the processor. | 07-03-2014 |
20140189378 | TABLE DRIVEN MULTIPLE PASSIVE TRIP PLATFORM PASSIVE THERMAL MANAGEMENT - Methods and apparatus relating to table driven multiple passive trip, platform passive thermal management are described. In one embodiment, the power consumption limit of one or more components of a platform is modified based on one or more thermal relationships between one or more power consuming components of the platform and one or more heat generating components of the platform. Furthermore, a first relationship of the one or more thermal relationships indicates a mapping between a plurality of temperature thresholds and a corresponding plurality of performance limits. Other embodiments are also claimed and disclosed. | 07-03-2014 |
20140189379 | Power Supply Unit with Configurable Output Rails - A power supply unit includes two or more power converters. Each power converter provides power at a corresponding output terminal of the power supply unit. The power supply unit also includes a controller to determine an operating mode of the power supply unit. When the power supply unit is operating in one mode, the controller disables transmission of power at one output teiniinal in response to detecting a fault associated with another output terminal. | 07-03-2014 |
20140189380 | CONTROLLING PARTNER PARTITIONS IN A CLUSTERED STORAGE SYSTEM - A rack-power control module (RPC) module is used for allowing a local storage partition, located on a local server, for controlling a destination storage partition, located on a destination server, by piggybacking commands on power alerts issued by the RPC module in a clustered storage system. | 07-03-2014 |
20140189381 | SEMICONDUCTOR DEVICE HAVING ACTIVE MODE AND STANDBY MODE - In an active mode, a VDD line receives an internal power supply voltage from an external regulator. A VDD_RAM line receives an internal power supply voltage from an internal regulator. A PMOS switch includes a first PMOS transistor having a source and an N-type well connected to the VDD line, and a second PMOS transistor having a source and an N-type well connected to the VDD_RAM line and a drain connected to a drain of the first PMOS transistor. The first PMOS transistor is controlled based on a control command from a system control circuit and a voltage of the VDD_RAM line. The second PMOS transistor is controlled based on the control command and a voltage of the VDD line. | 07-03-2014 |
20140195826 | ELECTRONIC DEVICE CASE - A case for an electronic device is provided. The case includes a battery and electrical circuitry. The electrical circuitry is configured to receive electrical power from a power source connected to the case, supply the electrical power to the electronic device, and monitor an amount of current of the electrical power used by the electronic device. The electrical circuitry is also configured to charge the battery using a remaining amount of current of the electrical power where the sum of the amount of current used by the electronic device and the remaining amount of current do not exceed a current limit for the power source. | 07-10-2014 |
20140195827 | ELECTRONIC DEVICE WITH POWER CONTROL FUNCTION - An electronic device is provided. The electronic device includes a main system processing a process, a power control unit and a battery module. The power control unit provides operating power to the main system via an external power source when the external power source has been connected, and detects whether the power control unit has received a battery protection signal. The battery module discharges with a self-discharge rate when the battery module has received the protection signal from the power control unit. When the power control unit has not received the battery protection signal, the power control unit provides a charging power to the battery module via the external power source. When the power control unit has received the battery protection signal, the power control unit does not provide the charging power to the battery module, and transmits the protection signal to the battery module. | 07-10-2014 |
20140195828 | DYNAMICALLY MEASURING POWER CONSUMPTION IN A PROCESSOR - In one embodiment, the present invention includes a processor having multiple cores to independently execute instructions, a first sensor to measure a first power consumption level of the processor based at least in part on events occurring on the cores, and a hybrid logic to combine the first power consumption level and a second power consumption level. Other embodiments are described and claimed. | 07-10-2014 |
20140195829 | DYNAMICALLY COMPUTING AN ELECTRICAL DESIGN POINT (EDP) FOR A MULTICORE PROCESSOR - In one embodiment, a multicore processor includes a controller to dynamically limit a maximum permitted turbo mode frequency of its cores based on a core activity pattern of the cores and power consumption information of a unit power table. In one embodiment, the core activity pattern can indicate, for each core, an activity level and a logic unit state of the corresponding core. Further, the unit power table can be dynamically computed based on a temperature of the processor. Other embodiments are described and claimed. | 07-10-2014 |
20140201542 | ADAPTIVE PERFORMANCE OPTIMIZATION OF SYSTEM-ON-CHIP COMPONENTS - Methods, apparatus, and fabrication relating to adaptive performance optimization of a plurality of components in view of power consumption and demand, component activity, and thermal events. A method may comprise allocating a first power budget to a first component of an apparatus, wherein the first power budget is less than a maximum power required by the first component; applying at least a portion of a borrowable power budget, wherein the borrowable power budget equals the maximum power required by the first component minus the first power budget, to a second component of the apparatus; and increasing the first power budget of the first component, in response to a first number or more of thermal events occurring in a first time period. | 07-17-2014 |
20140201543 | Recharging of the Gate Charge of a Transistor - Power switches operate with reduced power consumption. A circuit controls a power switch via its gate having a gate capacitor. The circuit comprises an on-control switch coupling the gate of the power switch with a charge supply to provide a gate charge to the gate capacitor of the power switch, thereby putting the power switch to the on-state; a transformer and an off-control switch coupling the gate of the power switch with ground via a primary winding of the transformer to discharge the gate capacitor of the power switch, thereby causing a discharge current through the primary winding and thereby putting the power switch to the off-state; wherein a secondary winding is coupled to the charge supply, such that a current, which is induced in the secondary winding, recharges the charge supply. | 07-17-2014 |
20140208126 | RATE SCALABLE IO INTERFACE WITH ZERO STAND-BY POWER AND FAST START-UP - Systems and methods of interconnecting devices may include an input/output (IO) interface having one or more clock circuits, a power supply coupled to the one or more clock circuits, and logic to receive a rate adjustment command at the IO interface. The logic may also be configured to adjust a data rate of the IO interface in response to the rate adjustment command, and to adjust an output voltage of the power supply in response to the rate adjustment command. | 07-24-2014 |
20140208127 | ELECTRONIC DEVICE HAVING MULTIPLE HUMAN-MACHINE INTERFACES AND METHOD FOR RUNNING MULTIPLE HUMAN-MACHINE INTERFACES - An electronic device having multiple human-machine interfaces and a method for running multiple human-machine interfaces are provided. The electronic device includes a host and a plurality of human-machine interfaces. The host has a processor, and each of the human-machine interfaces is disposed in the host and includes a power setting module. The processor analyzes all the human-machine interfaces to determine a target interface in which the enabled power setting module is included, so as to perform message input and output operations of the target interface. | 07-24-2014 |
20140208128 | Initialize a Computing Device to Perform an Action - A method uses a computing device that includes a first processor in a first, inactive state operatively coupled to a second processor in an active state. While the first processor is in the first state ( | 07-24-2014 |
20140208129 | RESERVE POWER SYSTEM FOR DATA CENTER - A system for performing computing operations in a data center includes one or more sets of computer systems, one or more primary power systems, and a reserve power system. The primary power systems include at least one power distribution unit that supplies power to at least one of the sets of computer systems. The reserve power system automatically supplies power to at least one of the sets of computer systems if a condition is met (such as a failure of the primary power system). | 07-24-2014 |
20140208130 | RESERVE POWER SYSTEM FOR DATA CENTER - A system for performing computing operations in a data center includes one or more sets of computer systems, one or more primary power systems, and a reserve power system. The primary power systems include at least one power distribution unit that supplies power to at least one of the sets of computer systems. The reserve power system automatically supplies power to at least one of the sets of computer systems if a condition is met (such as a failure of the primary power system). | 07-24-2014 |
20140208131 | ELECTRONIC APPARATUS, POWER SUPPLY DEVICE, SYSTEM AND APPARATUS - A power supply device is caused to execute a new program. An electronic apparatus that receives power from a power supply device in a non-contact manner includes: an intra-apparatus circuit that operates with power received via a power transmission path from the power supply device to the electronic apparatus; a program acquiring unit that acquires a program to be executed by the power supply device from outside, and stores the program; and an apparatus-side communicating unit that transmits the program to the power supply device via the power transmission path. | 07-24-2014 |
20140215228 | POWER OVER ETHERNET POWER HARVESTER - Devices and methods for a communication device having at least one power supply unit coupled to a power connector for providing an operating power for operation of network modules of the communication device and a PoE power for the ports connected to Power over Ethernet (PoE) devices. The PoE power includes a consumable power to power up at least one PoE devices and a leftover power. Further, a PoE power harvester adapter is coupled to a power connector to convert the leftover PoE power into additional operating power for operating additional network modules. | 07-31-2014 |
20140215229 | EXTENSION APPARATUS, SYSTEM, AND POWER SUPPLY METHOD - According to one embodiment, an extension apparatus is configured to be connected to an electronic device includes a first battery. The apparatus includes a second battery, a supply module, and a controller. The supply module is configured to supplying the device with either a first electric power generated by an AC power supply or a second electric power supplied from the second battery. The controller is configured to request the supply module to supply the device with the first electric power if time is within a setting time range, if a first remaining capacity of the first battery is smaller than a first setting value, and if a second remaining capacity of the second battery is smaller than a second setting value. | 07-31-2014 |
20140215230 | POWER ADAPTER DEVICE AND MAIN COMPUTER SYSTEM THEREOF - The present invention discloses a power adapter device and a main computer system thereof. The power adapter device is provided for receiving a power signal from a power supply device and providing the power signal to an electric apparatus and an additional apparatus. The power adapter device comprises a power connection port, a first connection port, a second connection port, a current detecting module and a switch module. The power connection port is provided for receiving the power signal. When the electric apparatus receives the power signal via the first connection port, the current detecting module detects a current signal and generates a control signal according to the current signal. When the current detecting module generates the control signal, the switch module is turned on so as to transmit the power signal to the additional apparatus through the second connection port. | 07-31-2014 |
20140223199 | Adaptive Temperature and Power Calculation for Integrated Circuits - Methods, apparatus, and fabrication processes relating to thermal calculations of an integrated circuit device are reported. The methods may comprise determining a power consumption by a power entity of an integrated circuit, the power entity comprising at least one functional element of the integrated circuit; determining a temperature of a thermal entity, the thermal entity comprising a subset of the power entity; and adjusting at least one of a voltage or an operating frequency of at least one functional element of the power entity, based upon the temperature of the thermal entity being greater than or equal to a predetermined threshold temperature for the thermal entity. | 08-07-2014 |
20140223200 | CHARGER DETECTION AND OPTIMIZATION PRIOR TO HOST CONTROL - Aspects of charger detection and optimization prior to host control are described herein. In various embodiments, a condition of whether reverse current is present on a system bus is detected. When the condition for reverse current is present, reverse current is sunk by one or more of various reverse current sink circuits. By relying upon one or more of the reverse current sink circuits, for safety, to address or mitigate the condition for reverse current, a detector may be able to identify or distinguish among several different types of charger or charging ports coupled to a system bus allowing a charger to be selected optimally. Further, an indicator of the type of charger or charging port coupled to the system bus is communicated over a single pin interface, for backwards compatibility with circuits capable of identifying between only two different types of chargers. | 08-07-2014 |
20140223201 | TECHNIQUES FOR SOLAR CELL MANAGEMENT FOR COMPUTING DEVICES - Embodiments of an apparatus, system and method are described for managing one or more solar cells for a mobile computing device. An apparatus may comprise, for example, a power management module operative to manage a power output received from a plurality of solar cells and an interface management module operative to identify one or more solar cells having a lower power output than other solar cells and to adjust one or more graphical user interface (GUI) elements based on the identification. Other embodiments are described and claimed. | 08-07-2014 |
20140223202 | ELECTRONIC DEVICE AND STARTING UP METHOD THEREOF - An electronic device includes a read only memory (ROM), a processing unit coupled to the ROM, a first sensing area coupled to the processing unit and a second sensing area coupled to the processing unit. The processing unit starts up when the first sensing area and the second sensing area are covered simultaneously by fingers of a hand of a user of the electronic device in a predetermined period of time. | 08-07-2014 |
20140223203 | SYSTEM AND METHOD FOR CREATING HIGH POWERED EMC COMPLIANT POWER SUPPLY FOR INDUSTRIAL AND MILITARY APPLICATIONS - The present invention is an apparatus and method for provisioning a compact power filter connection to a well-grounded connector in such a way as to include a capacitive and inductive circuit connection, extremely near the connector and filter, such that EMC compatibility is created in a space roughly 30% of traditional mechanisms and design approach to satisfy the aforementioned needs, provide the previously stated objects, include the above-listed features, and achieve the already articulated advantages. The present invention is carried out in a “post-internal ferrite bead re-radiation noise-less” manner, in a sense that ability to have noise introduced back onto a post-ferrite bead line has been greatly reduced. | 08-07-2014 |
20140223204 | POWER SUPPLY APPARATUS, IMAGE FORMING APPARATUS, POWER SUPPLY METHOD, AND STORAGE MEDIUM - A power supply apparatus includes a charging mode information receiving unit configured to receive, from each of a plurality of power receiving apparatuses each including a secondary battery, charging mode information indicating whether each of the power receiving apparatuses requests normal charging of the secondary battery or fast charging of the secondary battery, wherein the fast charging charges faster than the normal charging, a power supply order determination unit configured to determine a power supply order of the power receiving apparatuses based on the charging mode information, and a power supply unit configured to wirelessly supply power to the power receiving apparatuses in the order determined by the power supply order determination unit. | 08-07-2014 |
20140237267 | Dynamically Controlling A Maximum Operating Voltage For A Processor - In an embodiment, a processor includes voltage calculation logic to calculate a plurality of maximum operating voltage values each associated with a number of active cores of the plurality of cores, based at least in part on a plurality of coefficient values. In this way, the processor can operate at different maximum operating voltages dependent on the number of active cores. Other embodiments are described and claimed. | 08-21-2014 |
20140237268 | MOISTURE SHUTDOWN CONTROL - Aspects of shutdown control of a device in the presence of moisture are described. In one embodiment, a moisture detection signal is received from a moisture detector. In turn, certain parameters associated with the moisture detector are identified. For example, the location of the moisture detector may be identified. Additionally or alternatively, a probability for damage to the device, based on the location of the moisture detector, may be identified. An evaluation of the moisture detection signal and the identified parameters is performed. Based on the evaluation, one of various power down procedures for the device may be initiated. In certain cases, a quick power down reaction for one or more subsystems of the device, in response to the detection of moisture, may prevent damage to the device. | 08-21-2014 |
20140237269 | ESTIMATING POWER USAGE IN A COMPUTING ENVIRONMENT - Power usage is estimated in a computing environment by automatically detecting hardware configuration information by use of a software agent that is translated into power consumption information for implementing a plurality of power estimation models for efficient power consumption and utilization. | 08-21-2014 |
20140237270 | POWER SUPPLY CONTROL APPARATUS, POWER SUPPLY CONTROL SYSTEM AND POWER SUPPLY CONTROL METHOD - A power supply control apparatus is disclosed. The power supply control apparatus includes a positional-information-obtaining unit configured to obtain positional information of a communication terminal; a process-information-obtaining unit configured to obtain process information requested by a user of the communication terminal; a distance-determination unit configured to, based on positional information of a processing apparatus that processes the process information obtained by the process-information-obtaining unit and the positional information of the communication terminal obtained by the positional-information-obtaining unit, calculate a distance between the processing apparatus and the communication terminal; and a power-supply-control unit configured to control a power supply of the processing apparatus based on the distance calculated by the distance-determination unit. | 08-21-2014 |
20140237271 | CONTROLLING ENERGIZING RANGE OF MOBILE ELECTRONIC DEVICE - An energization range of a laptop PC is controlled for safety component replacement. An EC can communicate with a battery unit to control a FET of the battery unit. In a power-off state, a PWC receives electricity from the battery unit or an AC/DC adapter. In the power-off state, a system of the EC stops. When a housing cover of the laptop PC is opened, a cover switch turns ON. When a logic circuit detects the operation of the cover switch, the PWC controls a DC/DC converter group to activate the system. The EC receiving electricity turns the FET OFF. Then the PWC turns the FET OFF. | 08-21-2014 |
20140245028 | SYSTEM AND METHOD FOR TEMPERATURE DRIVEN SELECTION OF VOLTAGE MODES IN A PORTABLE COMPUTING DEVICE - Various methods and systems for minimum supply voltage level selection in a portable computing device (“PCD”) are disclosed. It is an advantage of the various embodiments that PCD designers may close timing at a certain minimum supply voltage and operating temperature threshold that is higher than the lowest end of the main operating temperature range within which the PCD must function. By closing timing at the higher operating temperature threshold, relatively smaller components requiring relatively lower power consumption may be used in the PCD, thereby providing improved overall power consumption when the PCD is operating at operating temperatures above the threshold. To maintain functionality when operating temperatures fall below the threshold, the minimum supply voltage to the components is increased. The systems and methods sacrifice power consumption concerns below the operating temperature threshold in exchange for reduced form factors and improved power efficiencies in higher, more typical operating temperature conditions. | 08-28-2014 |
20140245029 | SYSTEM AND METHOD FOR MANAGING A THERMAL POLICY OF A RECEIVING DEVICE THAT COUPLES TO A PORTABLE COMPUTING DEVICE - A method and system for managing a thermal policy of a receiving device that couples to a portable computing device (PCD) includes automatically detecting a presence of the PCD. After detecting the presence of the PCD, a command to deactivate a thermal sensor and to deactivate a power supply within the PCD may be issued. The thermal policy manager module of the receiving device may issue a command to adjust an operating condition of a processor within the PCD if a temperature value reaches a predetermined value. The thermal policy manager module may also adjust operation of an active cooling device if the temperature value sensed by a sensor within the PCD reaches a predetermined value. The receiving device may include at least one of a docking station, a tablet personal computer, a laptop personal computer, a desktop personal computer, a portable media player, a portable television, and a printer. | 08-28-2014 |
20140245030 | Method and Apparatus for Providing Power to an Electronic Device - In an embodiment, set forth by way of example and not limitation a USB power converter for an electronic device includes a USB bus including VBUS power line, a D− data line and a D+ data line, a variable voltage converter, a processor clock, a USB transceiver coupled to the D− data line and the D+ data line, and a processor coupled to processor clock and to the USB transceiver. Preferably, the variable voltage converter has an alternating current (AC) input, a direct current (DC) output coupled to the VBUS power line, and a voltage control input responsive to a voltage control signal to provide a plurality of voltage levels at the DC output. The process is, in this example embodiment, operative to develop the control signal based upon communication from an electronic device connected to the USB bus. In a non-limiting embodiment, the USB power converter further includes a host ID controller having a host ID control input coupled to the processor, the host ID controller selectively coupled to the D− data line and the D+ data line in response to a host ID control signal developed by the processor. | 08-28-2014 |
20140245031 | OPTIMIZED POWER OVER ETHERNET POWER DISTRIBUTION SYSTEM - A power distribution system includes a manager provided on a network controller and an agent provided on a line module. The manager is operable to receive a configuration for a port on the line module. A connection to the port is then detected by the agent and communicated to the manager. At least one of the manager and the agent determines that the connection is for a powered device that is operable to receive power and data through the port. The manager then classifies the powered device. If the manager determines that the classification of the powered device corresponds to the configuration of the port, the manager provides power to the powered device through the port according to an allocation for the powered device from a global power budget. | 08-28-2014 |
20140245032 | SYSTEM AND METHOD FOR THERMAL MANAGEMENT IN A PORTABLE COMPUTING DEVICE USING THERMAL RESISTANCE VALUES TO PREDICT OPTIMUM POWER LEVELS - Various embodiments of methods and systems for thermal energy management in a portable computing device (“PCD”) based on power level calculations are disclosed. An exemplary method includes tracking instantaneous operating temperatures and active power supply levels to one or more components. With an estimate or measurement of ambient temperature, the instantaneous operating temperature values and active power supply level values can be used to calculate an instantaneous thermal resistance value. In the event that thermal energy generation should be managed, a target operating temperature may be used with the ambient temperature and the instantaneous thermal resistance value to solve for an optimum power supply level. The active power supply level may then be adjusted based on the calculated optimum power supply level. | 08-28-2014 |
20140245033 | DYNAMIC POWER MANAGEMENT OF CONTEXT AWARE SERVICES - The disclosure is directed to modifying the operation of one or more hardware subsystems when a new context awareness service begins. An aspect determines a power budget for a plurality of operating context awareness services including the new context awareness service, wherein the power budget is based on a power requirement for each of the plurality of context awareness services, and wherein the power requirement for each of the plurality of context awareness services is based on power utilizations of the one or more hardware subsystems corresponding to the plurality of context awareness services, and allocates power resources to the one or more hardware subsystems based on importances of the plurality of context awareness services and/or the one or more hardware subsystems, wherein the allocation of the power resources is performed within the power budget. | 08-28-2014 |
20140245034 | MULTI-LEVEL CPU HIGH CURRENT PROTECTION - Methods and apparatus relating to multi-level CPU (Central Processing Unit) high current protection are described. In one embodiment, different workloads may be assigned different license types and/or weights based on micro-architectural events (such as uop (micro-operation) types and sizes) and/or data types. Other embodiments are also disclosed and claimed. | 08-28-2014 |
20140245035 | N+1 POWER SUPPLY SYSTEM UPGRADE USING DUAL OUTPUT POWER SUPPLIES - A system may include a power module that includes a group of power supplies, particular ones of the group of power supplies being operable at a group of voltages ranging from a first voltage to a second voltage. The system may further include a controller coupled to the particular ones of the group of power supplies, the controller being to ramp up an output voltage, associated with the group of power supplies, from the first voltage to the second voltage in a group of discrete steps; where ramping up the output voltage by a particular one of the group of discrete steps is performed while a load is receiving power from the group of power supplies; and where ramping up the output voltage by a particular one of the group of discrete steps prevents over-current protection on the group of power supplies from being activated. | 08-28-2014 |
20140245036 | ELECTRONIC APPARATUS, CHARGING CONTROL METHOD, CHARGING SYSTEM, AND DATA TRANSMISSION SYSTEM - Even in a state in which the remaining capacity of a battery decreases, an apparatus operation is appropriately executed while charging efficiency of the battery is maintained. | 08-28-2014 |
20140250309 | PREDICTIVE SELF CALIBRATED POWER CONTROL - A method of controlling power in a circuit includes characterizing a power behavior of the circuit, from a circuit input to a circuit output during a manufacturing process of the circuit, wherein the characterizing may be at one or more of a wafer, chip or circuit board level, predicting the power behavior of the circuit on the basis of the characterizing, and controlling the power of signals transmitted from the output of the circuit on the basis of the predicting. An apparatus for controlling signal power in a circuit includes a transmitter to transmit an output signal, a receiver coupled to the transmitter by a loopback path, and a digital signal processor coupled to the transmitter and receiver, wherein the signal processor predicts and adjusts a power level of the output signal from the transmitter based on characterizing a loopback signal and known response characteristics of the circuit. | 09-04-2014 |
20140250310 | APPARATUS FOR STARTING UP SWITCHING VOLTAGE REGULATOR - Described is a soft-start scheme for a voltage regulator. The apparatus comprises: a first voltage regulator to provide regulated voltage to an output node coupled to a load, the first voltage regulator operable to be in open loop via a bypass unit, the first voltage regulator including a comparator; and a second voltage regulator, coupled to the first voltage regulator, operable to be in closed loop, via the bypass unit, to provide a reference voltage for the comparator of the first voltage regulator. | 09-04-2014 |
20140258737 | IMAGE FORMING APPARATUS AND CONTROL METHOD THEREOF - An image forming apparatus including an image forming unit to form an image, a user switch unit to output a user operation signal to switch between a power-on state and a power-off state of the image forming apparatus, a main controller to control the image forming unit and to output a power control signal based on the user operation signal, a power switching unit to selectively supply operation power to the main controller based on the user operation signal and the power control signal, and an auxiliary controller to control the power switching unit such that the operation power is not supplied to the main controller when the power control signal is changed in a power-off state. | 09-11-2014 |
20140258738 | EMPLOYING POWER OVER ETHERNET FOR AUXILIARY POWER IN COMPUTER SYSTEMS - Methods and apparatus for implementing Power over Ethernet (PoE) for auxiliary power in computer systems. Under aspects of the methods, one or more voltage inputs comprising standard power input is employed by a power control component in a network interface in an apparatus such as a network adaptor board, a System on a Chip (SoC), computer server or server blade to supply power to a network controller on the apparatus when the apparatus is operating at a normal power state. To enable the apparatus to maintain network communication when operating at a reduced power state, a PoE power input derived from at least one PoE signal received at at least one Ethernet jack of the apparatus is employed to provide power to the network controller absent use or availability of the standard power input. Accordingly, the PoE power input facilitates an auxiliary power function that may be used alone or in combination with existing (as applicable) auxiliary power input when apparatus are operated in reduced power states. | 09-11-2014 |
20140258739 | ADAPTIVE POWER CONTROL IN A NETWORK - A power manager in a network monitors a set of multiple interconnected network elements for failures. During non-failing operation, the multiple interconnected network elements cooperatively communicate amongst each other to provide one or more computer devices connectivity to a core network. In response to detecting a failing network element in the set, the network power manager adaptively controls power settings of at least one non-failing network element in the set of multiple interconnected network elements. Via power control notifications, power settings of the non-failing network elements that depend on the particular failing network element can be reduced to save power in the network. Subsequent to correcting the failure, when the particular network element is functioning properly again, the network power manager notifies the non-failing network elements in the reduced power mode to switch back to a standard power mode and standard operational mode again. | 09-11-2014 |
20140258740 | INTERNAL COMMUNICATION INTERCONNECT SCALABILITY - Interconnect frequency control technologies of adjusting an operating frequency of a communication interconnect between an integrated circuit comprising multiple functional hardware units are described. A power management unit (PMU) is configured to collect workload data from the functional hardware units and determine a workload metric from the workload data. The PMU adjusts an operating frequency of the communication interconnect in view of the workload metric. | 09-11-2014 |
20140258741 | PROTECTIVE DEVICE AND METHOD FOR PREVENTING SUPPLY VOLTAGE SAG OF MICROCONTROLLER FROM SAGIN ELECTRONIC CIGARETTE - A protective device and method for preventing supply voltage of microcontroller from sag in electronic cigarette is provided, comprising a microcontroller, a power supply module, a field effect transistor, an energy storage circuit, wherein, the energy storage circuit is connected between the microcontroller and the power supply module, the energy storage circuit supplies electric power to the microcontroller when an over current or short circuit occurs, and maintains the supply voltage of the microcontroller not being less than its minimal operating voltage in a certain period of time; the microcontroller processes the over current or short circuit signal and turns off the MOSFET to cut off the current flow in a load circuit. The unstable or uncontrollable phenomena of the microcontroller in the existing technology are resolved. The circuit of the present invention is simple and low cost. | 09-11-2014 |
20140258742 | HYBRID FIBER OPTIC AND POWER OVER ETHERNET - Connectors for connecting between devices using optical communication where at least one of the devices is configured to receive power from the connector by one or more power over Ethernet (PoE) contacts in the plug. In some variations, described herein are hybrid fiber optic power over Ethernet (PoE) cables that provide power and optically transmit information between and/or to Ethernet devices. Also described herein are extenders configured to provide optical communication between two (or more) devices where at least one of the devices is configured to receive power from the extender by power over Ethernet. | 09-11-2014 |
20140281590 | BATTERY POWER MANAGEMENT FOR ELECTRONIC DEVICE - In one embodiment a controller comprises logic to receive a temperature indicator for an electronic device to be coupled to a first battery and implement a selected power management routine when a temperature parameter derived from the temperature indicator is below a threshold. Other embodiments may be described. | 09-18-2014 |
20140281591 | DYNAMIC RESPONSE IMPROVEMENT OF HYBRID POWER BOOST TECHNOLOGY - Methods and apparatus relating to improving dynamic response of hybrid power boost technology are described. In one embodiment, two or more levels of charger over-current are used for AC adapters/chargers during transition from charging (e.g., one or more battery packs) to boosting platform performance (e.g., by increasing the operating frequency of one or more processor cores of a processor). In another embodiment, an adapter's voltage level is used as a trigger for fast transition from charging to boosting. Other embodiments are also disclosed and claimed. | 09-18-2014 |
20140281592 | Global Efficient Application Power Management - A method, system and computer-readable medium for allocating power among computing resources are provided. The method calculates an activity level of a first computer resource. When the activity level is less than a threshold value, the method increases the power allocation to a second computing resource. When the activity level exceeds the threshold value, the method decreases the power allocation to the second computing resource. | 09-18-2014 |
20140281593 | Partitioned Switch Mode Power Supply (SMPS) Interface - A single-wire interface of an application processor that communicates with another single-wire interface of a power management unit (PMU) via a control signal line. The control signal line can be a single signal path. Further, the single-wire interfaces can communicate with each other only via the control signal line. The single-wire interfaces can be utilized for the communication of pulse width modulation (PWM) control signals, current sensing, and Zero-I detection. | 09-18-2014 |
20140281594 | APPLICATION PROCESSOR AND DRIVING METHOD - In a system including a power management integrated circuit (PMIC) and a memory device, an application processor obtains control information for a memory device, the control information defining in part at least a first power supply voltage and operating clock frequency for the memory device. A memory control unit (MCU) communicates a workload indication related to queued operation commands for the memory device to a digital voltage and frequency scaling (DVFS) controller, and the DVFS controller provides a power supply voltage command to the PMIC in response to the MCU workload indication and the control information. | 09-18-2014 |
20140281595 | CONTINUOUS POWER LEVELING OF A SYSTEM UNDER TEST - Power leveling a system under test (SUT). An input signal is provided at an initial power level to the SUT. Multiple iterations are performed, each including measuring, over a specified measuring interval, power of a signal produced by the SUT in response to the input signal, and dynamically adjusting the power of the input signal in response. The measuring interval is increased over the iterations, thereby increasing accuracy of the measuring over the iterations while converging the signal to a specified power level. An initial power leveling operation may be performed for the SUT to establish a specified power level, after which the SUT is tested, during which multiple power leveling operations are performed, each including measuring power of a signal from the SUT over a specified measuring interval, and adjusting the input signal in response, thereby maintaining the specified power level during the testing while correcting for thermal droop. | 09-18-2014 |
20140281596 | FREQUENCY ADJUSTMENT SYSTEM AND METHOD - A frequency adjustment system includes a phase-locked loop (PLL) circuit, an adjusting circuit, and a voltage regulator module (VRM). The PLL circuit outputs a trigger signal when a communication frequency of a chip changes. The adjusting circuit adjusts a clock frequency of the adjusting circuit to receive communication data. The adjusting circuit further outputs a control signal to the VRM. The VRM outputs a voltage according to the control signal. | 09-18-2014 |
20140289540 | PROGRAMMABLE POWER SUPPLY - The present invention provides a method of programming a programmable power supply. In the method, a requesting signal is generated in a device, and the requesting signal is received in the programmable power supply. Then, an output voltage of the programmable power supply is determined in accordance with a frequency of the requesting signal. The output voltage of the programmable power supply is coupled to power a load of the device. A de-bounce operation is further provided for filtering noises of the requesting signal. The requesting signal comprises a high-state period and a low-state period. The high-state period is defined during which a level of the requesting signal is higher than a threshold. The low-state period is defined during which the level of the requesting signal is lower than the threshold. The output voltage of the programmable power supply is further determined by a period of the requesting signal. | 09-25-2014 |
20140298045 | Battery Management System - A method includes determining a need to perform a learn cycle on a battery in a processing module of an information handling system, detecting a learn cycle in progress on another battery in another processing module of the information handling system, and postponing the learn cycle on the battery in response to detecting the learn cycle on the other battery. | 10-02-2014 |
20140298046 | UNIVERSAL SERIAL BUS CHARGERS AND CHARGING MANAGEMENT METHODS - Universal serial bus chargers and charging management methods thereof are provided. The universal serial bus charger includes a control unit, a charger module, a universal serial bus interface device, and a switch device. The control unit receives a power management signal and provides a switching signal and a charging signal according to the power management signal. The charger module outputs power and a power suspending signal according to the charging signal and a communications protocol signal, respectively. The universal serial bus interface device operates according to the power, and outputs the communications protocol signal according to a universal serial bus peripheral device connected thereto. The switch device is coupled between the charger module and the universal serial bus interface device, and receives the power. Furthermore, the switch device provides the power to the universal serial bus interface device according to the switching signal. | 10-02-2014 |
20140298047 | POWER BUDGET ALLOCATION IN A CLUSTER INFRASTRUCTURE - A system and method for allocating power resources among host computers in a cluster uses lower and upper bounds with respect to a power budget to be distributed to each of the hosts. Each host is allocated a portion of the cluster power capacity. Any excess amount of the capacity is then allocated to the hosts based at least partly on the lower bound (reserve capacity) and the upper bound (host power limit) of each of the clients. | 10-02-2014 |
20140298048 | ELECTRONIC DEVICE AND METHOD OF CONTROLLING ELECTRONIC DEVICE - An electronic device includes a first supply target unit that accepts supply of power from an external power supply, a second supply target unit that accepts supply of power from a battery, a main body, and a controller, wherein the controller starts to accept supply of power from both the external power supply and the battery and starts to output, to the main body, a voltage of the power supplied from both the external power supply and the battery in a case where a predetermined time has elapsed since start of a predetermined operation of the main body while a voltage of power accepted from the external power supply has been output to the main body. | 10-02-2014 |
20140298049 | DIGITAL SIGNAL TRANSITION COUNTERS FOR DIGITAL INTEGRATED CIRCUITS - A digital integrated circuit may include a digital data processing circuit having multiple signal lines that each go through signal transitions during operation of the digital data processing circuit. A digital counter circuit may count the combined number of signal transitions that take place on at least two of the multiple signal lines during operation of the digital circuit. A digital counter circuit may count the number of times a particular pattern of signal transitions takes place on at least one signal line during operation of the circuit. A computer program may receive information indicative of a composition of a digital integrated circuit, input vectors to the digital integrated circuit, and how much power is being consumed by the digital integrated circuit under each of the input vectors. The program may output information indicative of an amount of power being consumed by each of multiple, different sub-sections of the digital integrated circuit while responding to the input vectors. | 10-02-2014 |
20140298050 | INFORMATION PROCESSING APPARATUS, CONTROL METHOD AND COMPUTER-READABLE RECORDING MEDIUM - An information processing apparatus includes a hardware button configured to enable a user to press; a control unit configured to define a predetermined press process executed in response to a press of the hardware button; a processing unit configured to execute a predetermined process; a press detection unit configured to detect the press of the hardware button; a press process rejection unit configured to instruct the control unit to reject the execution of the press process when the press of the hardware button is detected; and a process execution unit configured to instruct the processing unit to execute the predetermined process when the press of the hardware button is detected. | 10-02-2014 |
20140304528 | CONTROLLING SUPPLY OF POWER TO COMPUTING DEVICES WITH DYNAMICALLY VARIABLE ENERGY CAPACITY - Methods and apparatus relating to controlling the supply of power to computing devices with dynamically variable energy capacity are described. In one embodiment, logic causes modification to supply of power from a power source to one or more loads in response to a comparison of an output of the power source and a threshold value. The output of the power source may vary over a time period (e.g., oscillating) that causes the one or more loads to become inoperational. Other embodiments are also disclosed and claimed. | 10-09-2014 |
20140304529 | System and Method for Battery Power Transfer Between Mobile Devices - This disclosure describes a system and method for battery transfer between mobile devices. The method can comprise the step of placing a first mobile device in transferring mode using an application running on a first mobile device. The method can also comprise the step of transferring power from the first mobile device to a second mobile device through a link. The system, in one embodiment, can comprise computer readable storage having a computer readable program code embodied therein, wherein the computer readable program code is adapted to be executed by a computer processor to implement the method described above. | 10-09-2014 |
20140304530 | POWER MANAGEMENT FOR DATA PORTS - According to some embodiments, a communication interface | 10-09-2014 |
20140304531 | POWER SUPPLY APPARATUS, POWER SUPPLY METHOD, AND STORAGE MEDIUM - A power supply apparatus that wirelessly supplies power includes a detection unit configured to detect a power receiving apparatus, a receiving unit configured to receive, from the power receiving apparatus detected by the detection unit, identification information identifying the power receiving apparatus, a power-supply control unit configured to compare the identification information received by the receiving unit with identification information stored in a storage unit and identifying an allowed device for which power supply is allowed, thereby allowing power supply to the power receiving apparatus when both identification information match each other, and a power supply unit configured to perform the power supply to the power receiving apparatus for which the power supply is allowed by the power-supply control unit. | 10-09-2014 |
20140304532 | SERVER SYSTEMS HAVING SEGREGATED POWER CIRCUITS FOR HIGH AVAILABILITY APPLICATIONS - A method for replacing or repairing a non hot swappable component according to one embodiment includes supplying power to a first motherboard partition, a first storage partition, a second motherboard partition, and a second storage partition concurrently. The first storage partition is accessed and utilized with the second motherboard partition. The power to the first motherboard partition s selectively severed, and a non hot swappable component that has failed is removed from the first motherboard partition and replaced with a functioning component. Power is restored to the first motherboard partition. | 10-09-2014 |
20140304533 | MEMORY DEVICE, HOST DEVICE, MEMORY SYSTEM, MEMORY DEVICE CONTROL METHOD, HOST DEVICE CONTROL METHOD AND MEMORY SYSTEM CONTROL METHOD - A memory card | 10-09-2014 |
20140310537 | System and Method for Aggressively Budgeting Power Allocation for an Information Handling System Using Redundant Configuration of Power Supply Units - A method that budgets power allocation for an information handling system (IHS) includes: in response to determining that the IHS is to be powered by a redundant configuration of PSUs, budgeting a first amount of power that is less than a maximum power that can be utilized by the system, and configuring the system to autonomously utilize unused operating margin of the secondary PSU(s) in a redundant configuration of the PSUs during periods in which the system requires greater than the first amount of power; and in response to the information handling system not being powered by a redundant configuration of multiple PSUs, budgeting a second amount of power for the system that is at least equal to the maximum power that can be utilized by the system and is within the output of the primary PSU. | 10-16-2014 |
20140310538 | Method for Protecting Electronic Device, and Electronic Device - A method for protecting an electronic device, where the electronic device includes a control switch for controlling output of a power supply, and the method includes: detecting whether an exception event occurs, and if it is detected that an exception event occurs, generating a control signal for controlling the control switch; and controlling, based on the control signal, the control switch to disconnect an output channel of the power supply. Correspondingly, an embodiment of the present invention further discloses an electronic device. In embodiments of the present invention, effective shutdown can be implemented after an exception occurs on an electronic device, thereby eliminating a potential security risk that an abnormal chip of the electronic device is continuously electrified after the electronic device shuts down because of an exception event. | 10-16-2014 |
20140317422 | Method And Apparatus To Control Current Transients In A Processor - In an embodiment, a processor includes at least one core. The at least one core includes an execution unit and a current protection (IccP) controller. The IccP controller may receive instruction width information associated with one or more instructions of an instruction queue prior to execution of the instructions by the execution unit. The IccP controller may determine an anticipated highest current level (Icc) for the at least one core based on the instruction width information. The IccP controller may generate a request for a first license for the at least one core that is associated with the Icc. Other embodiments are described and claimed. | 10-23-2014 |
20140317423 | MULTI-BATTERY POWER SUPPLY SYSTEM - A multi battery power system includes an electronic device and an extension docking. The electronic device includes a first power storage module, a first connector, a second connector and a power conversion module. The first power storage module includes a first power storage unit. The first connector is coupled to the first power storage module. The power conversion module is coupled between the first power storage unit and the second connector and converts power stored in the first power storage unit into a converted output voltage and transmits the converted output voltage to the second connector. The extension docking includes a third connector and an electrical load. The third connector is paired with and selectively connected to the second connector of the electronic device. The electrical load is coupled to the third connector, and selectively receives the converted output voltage from the electronic device via the third connector. | 10-23-2014 |
20140317424 | POWER SUPPLY APPARATUS, POWER SUPPLY METHOD, AND STORAGE MEDIUM - A power supply apparatus includes a receiving unit configured to receive a power supply request and a power supply condition from a power receiving apparatus, and a power supply control unit configured to instruct a power supply unit to perform a test power supply to a target power receiving apparatus which is a transmission source of the power supply request, and instruct the power supply unit to perform actual power supply according to the power supply condition when the receiving unit receives a success notification of the test power supply from the target power receiving apparatus after performing the test power supply. | 10-23-2014 |
20140325243 | APPARATUS, METHOD, AND SYSTEM FOR PREDICITVE POWER DELIVERY NOISE REDUCTION - An apparatus and method is described herein for reducing noise in a power distribution network for an interface. The power distribution network is characterized. And based on that characterization, worst case patterns for the interface are predicted and avoided. As one example, characterization includes providing a stimulus, such as a step function stimulus, and determining a mathematical function response, such as a step function response. Then, based on the step function response, a resonant frequency for the power distribution network is determined; from which patterns that cause the resonant frequency are identified/predicted. And when identified patterns are detected, they are scrambled or manipulated to avoid causing a worst-case noise scenario in an interface's power distribution network. | 10-30-2014 |
20140325244 | ELECTRONIC DEVICE AND POWER CONTROL MODULE - An electronic device includes a power supply, a power consuming module, and a power control module. The power control module includes a capacitor, a detecting unit, and a control unit. A first end of the capacitor is connected to the power supply. Another end of the capacitor is grounded. The control unit includes a switching element. When the electronic device is powered on, the detecting unit controls the switching element to turn off, and the power supply supplies power to the power consuming module to charge the capacitor. When the electronic device is powered off, the capacitor discharges to supply power to the power consuming module. The detecting unit detects whether data storage of the electronic device is completed. When the data storage is completed, the detecting unit controls the switching element to turn on, causing the capacitor to discharge to ground. A power control module is also provided. | 10-30-2014 |
20140325245 | USB Power Distribution Management System - A system providing an optimized power delivery and management of USB power in a closed network, such as found on commercial aircraft. The system enables utilization of a limited number of AC-DC step down and isolation converters to support a multitude of USB power outlets. It provides a means of accounting for, and overcoming wire distribution losses, while also providing for voltages and power levels compatible with the USB Power Delivery Specification. | 10-30-2014 |
20140331066 | RECTIFIER CIRCUIT AND POWERED DEVICE - A powered device is electronically connected to a power sourcing equipment, and includes a powered circuit, a receiving unit and at least one rectifier circuit. Each rectifier circuit includes a rectifier unit, an auxiliary power unit, a polarity determining unit, a control unit and a selecting unit. The rectifier unit provides a current flow between the receiving unit and the powered circuit. The auxiliary power unit provides an auxiliary power signal. The polarity determining unit detects the polarity of voltage signal, to generate a determining signal. The control unit outputs a control signal according to the auxiliary power signal and the determining signal. The selecting unit connects the positive input of the powered circuit to a receiving end whose output voltage is positive according to the control signal, to lower power of rectification. A rectifier circuit is also provided. | 11-06-2014 |
20140337643 | POWER SUPPLY APPARATUS, ELECTRONIC APPARATUS, AND METHOD - A power supply apparatus includes a power supply unit configured to wirelessly supply power to an electronic apparatus, a communication unit configured to wirelessly communicate with the electronic apparatus, a setting unit configured to set the power to be supplied to the electronic apparatus, based on data indicating a category of power receivable by the electronic apparatus, and a control unit configured to perform processing for supplying the power set by the setting unit to the electronic apparatus via the power supply unit. | 11-13-2014 |
20140344587 | EVENT BASED DYNAMIC POWER MANAGEMENT - An apparatus, computer readable medium, and method of event based dynamic power management. The method includes responding to receiving an indication of an event that is external to a hardware block engine by adjusting the power to the hardware block engine, if the event indicates that the power to the hardware block engine should be adjusted. The method may include receiving a second event that is external to the hardware block engine. The method may include determining whether or not the power should be adjusted to the hardware block engine based on the event and the second event. If it is determined that the power should be adjusted, then the power may be adjusted to the hardware block based on the event and second event. A method of monitoring a component and sending an indication of an event that the component will not require a hardware block engine is disclosed. | 11-20-2014 |
20140344588 | PORTABLE ELECTRONIC SYSTEM AND POWER MANAGEMENT METHOD THEREOF - A portable electronic system and power management method thereof are disclosed. The portable electronic system includes a portable host and a portable power supply. The portable host has a main battery and a connecting interface. The portable power supply has a backup battery, and is coupled to the portable host through the connecting interface, and transceives a work state of the portable host and the portable power supply and a system power provided by the portable host through the connecting interface. The portable host and the portable power supply control charge or discharge states of the main battery and the backup battery according to the work state. The work state includes relative state of charges (RSOC) of the main and backup battery and information of whether the portable host is coupled to a power adaptor for receiving an external power. | 11-20-2014 |
20140344589 | MULTI-MODE VOLTAGE REGULATION WITH FEEDBACK - Methods and systems to regulate a voltage with multiple selectable voltage regulator (VR) modes, using multiple corresponding circuits and/or a configurable circuit. The circuit may be configurable for one or more of a power-gate VR mode, a switched-capacitor VR (SCVR) mode, and a linear mode, such as a low drop-out (LDO) VR mode. A feedback controller, such as a proportional-integral-derivative (PID) controller, may configure and/or control a multi-mode VR for a selected VR mode. The feedback controller may select a VR mode based on a reference voltage and voltage ranges associated with the VR modes. The circuit may be configurable as banks of VRs, and the controller may be implemented to transition between VR modes by switching sub-banks between modes until the transition is complete. | 11-20-2014 |
20140344590 | ELECTRONIC DEVICE AND POWER MANAGEMENT METHOD - An electronic device includes a central processing unit (CPU), a plurality of power driving circuits, and a control unit. The control unit includes a microcontroller and a storage electronically connected to the microcontroller, the microcontroller is electronically connected to the CPU and the power driving circuits. The CPU stores control programs in the storage via the microcontroller, the microcontroller reads the control programs from the storage, and controls the power driving circuits according to the control programs. | 11-20-2014 |
20140344591 | POWER TRANSMISSION DEVICE, POWER TRANSMISSION METHOD, AND STORAGE MEDIUM - A power transmission device includes a reception unit configured to receive, from each of a plurality of power reception devices as power transmission objects, identification information for identification of the power reception device, a device determination unit configured to determine, based on the identification information, whether each of the power reception devices is a registered device that has been registered beforehand, and a power transmission unit configured to transmit power to the registered device. | 11-20-2014 |
20140344592 | METHODS AND APPARATUS FOR POWERING UP AN INTEGRATED CIRCUIT - A power supply ( | 11-20-2014 |
20140344593 | INFORMATION PROCESSING APPARATUS AND POWER CONSUMPTION COMPUTATION METHOD THEREFOR - A command is extracted from a command cache and a process by the command is completed utilizing a predetermined method. According to the completion, information, which indicates a final result of the process, is input onto a writing stage. When operation of information input onto an execution stage has been completed, power consumption required to execute the command stored in the execution stage is computed in accordance with a status of a CPU or a status of pertained parts around the CPU. When operation of information input onto the writing stage has been completed, the computed power consumption is added to a current value of a power accumulating register that is a software visible register, so as to obtain accumulated power consumption. | 11-20-2014 |
20140344594 | CLUSTER SYSTEM - A cluster system of mutual standby type, includes information processing devices, one of the information processing devices taking over a job of an other information processing device, when the other information processing device becomes unable to continue processing, wherein the information processing devices control the use of power so as not to exceed a power upper limit, and the one of the information processing devices sets the power upper limit smaller than a maximum power consumption of its own, when it is not necessary to take over the job of the other information processing device. | 11-20-2014 |
20140351608 | POWER MANAGEMENT IN A DISCRETE MEMORY PORTION - Systems and methods of operating a computing system may involve receiving, at a control interface, an instruction to alter a power state at a memory device, and directing, by the control interface, a controller to control a power supply to a memory device channel to alter the power state in the memory device. | 11-27-2014 |
20140351609 | MEMORY WITH VARIABLE OPERATION VOLTAGE AND THE ADJUSTING METHOD THEREOF - A memory with variable operation voltage is disclosed. The disclosed DRAM comprises a core memory module, a register, and a first voltage adjustment module. The core memory module operates with a first control voltage. The register is used for storing a plurality of control signals and selecting one among the control signals as a voltage control signal according to an input signal. The first voltage adjustment module is respectively electrically connected to the register, the core memory module, and an external voltage, so as to provide the first control voltage according to the voltage control signal and the external voltage. | 11-27-2014 |
20140351610 | COMPUTING SYSTEM WITH POWER REQUIREMENT EVALUATION - A computing system is provided and includes recording media relative to which input/output (I/O) operations are executable, and a processor, disposed in signal communication with the recording media, which is configured to execute the I/O operations and to evaluate power requirements associated with executions of the I/O operations relative to each individual recording medium. The processor includes a computer-readable medium having a set of instructions stored thereon, which, when executed, cause the processor to schedule the executions of the I/O operations relative to each individual recording medium or to refuse the executions of the I/O operations in accordance with the evaluated power requirements. | 11-27-2014 |
20140359310 | SUBSYSTEM-LEVEL POWER MANAGEMENT IN A MULTI-NODE VIRTUAL MACHINE ENVIRONMENT - A computer-implemented method includes capping the amount of power available to each of a plurality of compute nodes, and managing power allocation among subsystems within each of the compute nodes according to the requirements of workloads assigned to each of the compute nodes. The method further comprises reporting an actual performance level and performance capability for each subsystem within each of the plurality of compute nodes, and monitoring parametric data for a particular workload. A target compute node is identified from among the compute nodes, wherein the target compute node would be capable of performing the particular workload if power was reallocated from a first subsystem to a second subsystem within the target compute node. The particular workload is then assigned to the target compute node. Optionally, assigning the particular workload may include migrating the workload to the target compute node from another of the compute nodes. | 12-04-2014 |
20140359311 | Controlling Power Delivery To A Processor Via A Bypass - In one embodiment, a processor includes a plurality of domains each to operate at an independently controllable voltage and frequency, a plurality of linear regulators each to receive a first voltage from an off-chip source and controllable to provide a regulated voltage to at least one of the plurality of domains, and a plurality of selectors each coupled to one of the domains, where each selector is configured to provide a regulated voltage from one of the linear regulators or a bypass voltage to a corresponding domain. Other embodiments are described and claimed. | 12-04-2014 |
20140359312 | POWER ON WITH NEAR FIELD COMMUNICATION - A system for powering up a computer having a central processing unit (CPU), which CPU includes an operating system (OS), when the CPU is in an Sx state in which the (OS) is not powered up. There is a near field communication (NFC) detector for detecting an NFC signal, and an embedded controller physically separate from said CPU, the embedded controller responsive to the NFC signal and adapted to power up the CPU out of said Sx state. | 12-04-2014 |
20140359313 | IMPLEMENTATION OF AN AIR TUBE BUTTON - An approach is described for implementing an air tube button in a computing system. An associated apparatus may include an air tube having an aperture located on a panel of the computing system. The apparatus further may include an airflow sensor located in the air tube and a fan configured for facilitating airflow though the air tube. The airflow sensor may be an anemometer, an air pressure gauge, or a mass flow meter. The apparatus further may include a service processor subsystem connected to the airflow sensor. The service processor subsystem may be configured for implementing a virtual signal having a default logical high value. The service processor subsystem further may be configured for establishing a baseline value by determining average airflow detected by the airflow sensor over a unit of time and commencing sampling of the airflow sensor to obtain airflow values at uniform time intervals. | 12-04-2014 |
20140359314 | COMPUTER SYSTEM AND ITS POWER ADAPTER WITH IMAGE PROJECTION FUNCTION - A computer system and its power adapter with image projection function are provided. The computer system includes an electronic device and a power adapter. The power adapter includes a waveform-transforming module and an image-projecting module electrically connected to the waveform-transforming module. When the power adapter electrically connects to both a power source and the electronic device, the waveform-transforming module provides power to the electronic device and the image-projecting module, and the electronic device provides image signals to the image-projecting module. | 12-04-2014 |
20140359315 | INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM - An information processing apparatus includes a configuration information acquiring unit, an operation information acquiring unit, an estimating unit, and a first power calculator. The configuration information acquiring unit acquires configuration information representing a configuration of a device. The operation information acquiring unit acquires operation information representing an operation state of the device. The estimating unit estimates a first device maximum power and a first device minimum power in accordance with the configuration information, the first device maximum power being a maximum power consumed by the device, the first device minimum power being a minimum power consumed by the device. The first power calculator calculates a first power consumed by the device, in accordance with the first device maximum power, the first device minimum power, and the operation information. | 12-04-2014 |
20140359316 | METHOD AND APPARATUS FOR CONTROLLING OPERATION VOLTAGE OF PROCESSOR CORE, AND PROCESSOR SYSTEM INCLUDING THE SAME - A method and an apparatus for controlling an operation voltage of a processor core and a processor system including the same are provided. The apparatus for controlling an operation voltage of a processor core includes a voltage supplier and an operation voltage searching core. The voltage supplier supplies the operation voltage to the processor core. The operation voltage searching core requests the processor core to execute a program, and controls the operation voltage based on whether the program has been normally operated. | 12-04-2014 |
20140359317 | MOTHERBOARD WITH CONNECTOR COMPATIBLE FOR DIFFERENT INTERFACE STANDARDS - A motherboard includes a first connector, a first signal module, a second signal module, a power module, and a switch module. The first connector is used to couple external devices to the motherboard. The first signal module and the second signal module are compatible for external devices under different interface standards. The first signal module outputs different signals to control the switch module corresponding to the external device. The switch module connects the first signal module or the second signal module to the first connector corresponding to the control signals received from the control module. | 12-04-2014 |
20140359318 | POWER ADAPTERS - Power adapters are disclosed. An example power adapter includes a housing. The example power adapter also includes a power converter to convert an input power to an output power. The example power adapter also includes a communication pod carried by the housing, the port to receive data from a first device. The example power adapter also includes a terminal to transfer power from the power converter to the second device, and to transmit the data received from the first device to the second device. The example power adapter also includes a communication line to communicate the data from the communication port to the terminal. | 12-04-2014 |
20140359319 | POWER DISTRIBUTION INSIDE CABLE - Circuits, methods, and apparatus that provide for the powering of active components in connector inserts at each end of a cable may in various ways. For example, where a host is coupled to a device that is not self-powered, the host may provide power for circuitry at each end of the cable. In various embodiments of the present invention, the device may request higher voltage from the host, such that more power can be delivered. In these cases, the device may regulate the voltage received from the host to a lower voltage, and then provide the lower voltage to circuitry at one or both ends of the cable. Where the host is connected to a device that is self-powered, the host and the self-powered device may power their respective connector insert circuits. | 12-04-2014 |
20140359320 | POWER OVER ETHERNET METHOD, APPARATUS, DEVICE, AND SYSTEM - The application pertains to a method for an Ethernet power souring equipment to provide electric power to one or more Ethernet powered devices. A controlling apparatus determines a power supply mode of the Ethernet power sourcing equipment, and determines high-power Ethernet powered device(s) and low-power Ethernet powered device(s) among the Ethernet powered devices. The controlling apparatus sets a power supply priority for each of the Ethernet powered devices. In one power supply mode, the power supply priority of a high-power Ethernet powered device is same as or lower than the lowest priority of the low-power Ethernet powered device(s). When a new Ethernet powered device is connected to the Ethernet power sourcing equipment, the controlling apparatus determines whether to supply electric power to the new Ethernet powered device according to its power supply priority. | 12-04-2014 |
20140365787 | SCENARIO POWER MANAGEMENT - One or more techniques and/or systems are provided for dynamically applying power policies to a computing environment. For example, a computing environment may comprise one or more activity components (e.g., a display driver, an audio driver, an application, etc.) that may provide status information used to identify a scenario (e.g., a video game scenario, a full screen video playback scenario, etc.) that is activated for the computing environment. A power policy assigned to a currently identified scenario may be applied to the computing environment to dynamically improve performance and/or power conservation, for example. Activity components, scenarios, and/or power policies may be maintained in an extensible manner such that activity components, scenarios, and/or power polices may be added, removed, and/or modified by merely updating corresponding data structures, such as tables or registry keys, as opposing to updating power management software code. | 12-11-2014 |
20140365788 | CONTROL CIRCUIT FOR HARD DISK DRIVES - A control circuit includes a power supply, a measurement unit, a control unit, and hard disk drives. The power supply is used to supply power for the hard disk drives. The measurement unit is used to detect a current of the power supply and output a trigger signal if the current of the power supply is greater than a preset current. The control unit is connected between the measurement unit and the hard disk drives. When the control unit receives the trigger signal, the control unit outputs a control signal to control the hard disk drives to start up in a predetermined order. | 12-11-2014 |
20140365789 | ELECTRONIC DEVICE AND METHOD OF PROVIDING BATTERY INFORMATION BY ELECTRONIC DEVICE - An electronic device, a method of providing battery information of an electronic device, and a storage medium for storing the method are provided. The electronic device includes a storage unit that stores an application use history; and a controller that partitions an entire battery capacity into at least one or more logical batteries, and allocates at least one or more applications to each of the at least one or more logical batteries according to the application use history. | 12-11-2014 |
20140365790 | BATTERY POWER MANAGEMENT FOR A MOBILE DEVICE - Techniques for managing battery power of a mobile device are described. In an aspect, battery power may be reserved for an application prior to execution of the application on the mobile device. The reservation may ensure that the application has sufficient battery power for execution. In another aspect, battery power may be allocated to applications based on their priorities. The applications may be ordered based on their priorities, and the available battery power for the mobile device may be allocated to one application at a time, starting with the highest priority application. In yet another aspect, battery power may be allocated to applications based on a battery discharge curve for the mobile device. An operating point on the battery discharge curve may be selected based on at least one objective. The available battery power may be determined based on the selected operating point and allocated to the applications. | 12-11-2014 |
20140372772 | ON-CHIP INTEGRATED PROCESSING AND POWER GENERATION - A self-powered processing device comprises both a processing device and a power generator that are physically, electrically, and thermally coupled to one another. The power generator can be a fuel cell that can be manufactured from materials that can also support processing circuitry, such as silicon-based materials. A thermal coupling between the power generator and the processing device can include a thermoelectric either generating electrical power from the temperature differential or consuming electrical power to generate a temperature differential. A computing device with self-powered processing devices also includes energy storage devices to store excess energy produced by the self-powered processing device and provide it back during times of need. The self-powered processing device comprises either a wireless or wired network connection, the latter being connectable to a slot on a backplane that can aggregate multiple self-powered processing devices and provide fuel delivery paths for them. | 12-18-2014 |
20140372773 | POWER OVER ETHERNET ON DATA PAIRS AND SPARE PAIRS - Power Sourcing Equipment (PSE) provides a PoE supply voltage over data wires to a Powered Device (PD). A PSE controller controls a first FET that couples the PoE voltage to the data wire pairs and controls a second FET that couples the data wire pairs to the spare wire pairs. Upon powering up, the PSE controller keeps the two FETs open and performs a detection routine on any devices connected to the data pairs and spare pairs. If a PoE-compatible PD is detected as being coupled to the data pairs, the first switch is closed. If it is determined that the PoE voltage should also be coupled to the spare pairs, the second FET is also closed. The method prevents the PoE voltage from being applied to the spare pairs when the device connected to the spare pairs is not PoE compatible and maintains backwards compliance with IEEE PoE PDs. | 12-18-2014 |
20140372774 | Context And Power Control Information Management For Proximity Services - Management of context and power control information enables different power control schemes for point-to-point or point-to-multipoint based on proximity services or applications. Context information may be defined as situation data about a service or application that is used to help define a power control scheme to be implemented. Power control information may be defined as control or status data for power control, which can be used for reporting or controlling the transmitting power of a peer in a P2P network. Context and power control information may be managed across multiple layers such as the application layer, service layer, media access control layer, or physical layer. Context and power control information is updated and exchanged between or among peers for context-related power control in proximity services. | 12-18-2014 |
20140372775 | Context And Power Control Information Management For Proximity Services - Management of context and power control information enables different power control schemes for point-to-point or point-to-multipoint based on proximity services or applications. Context information may be defined as situation data about a service or application that is used to help define a power control scheme to be implemented. Power control information may be defined as control or status data for power control, which can be used for reporting or controlling the transmitting power of a peer in a P2P network. Context and power control information may be managed across multiple layers such as the application layer, service layer, media access control layer, or physical layer. Context and power control information is updated and exchanged between or among peers for context-related power control in proximity services. | 12-18-2014 |
20140372776 | METHOD AND APPARATUS FOR SELECTING THE OUTPUT OF PROGRAMMABLE POWER ADAPTER - An apparatus of programming an output of a programmable power adapter according to the present invention comprises a control circuit. The method according to the present invention sends a signal to a device. A resistor is coupled to the signal to determine the level of the signal. The resistor is installed in the device. The method according to the present invention checks the level of the signal in the programmable power adapter and determines an output voltage of the programmable power adapter in accordance with the level of the signal. The output voltage of the programmable power adapter is coupled to the device to provide a power for a load of the device. | 12-18-2014 |
20140380065 | TRANSITION RATE CONTROLLED BUS DRIVER CIRCUIT WITH REDUCED LOAD SENSITIVITY - A bus driver circuit (FIG. | 12-25-2014 |
20140380066 | CONTROL SCHEME TO TEMPORARILY RAISE SUPPLY VOLTAGE IN RESPONSE TO SUDDEN CHANGE IN CURRENT DEMAND - A system for managing changes in current demand, including one or more processors, a memory coupled to at least one of the processors, a clock generation circuit coupled to the memory and configured to output a clock, one or more functional blocks, a power supply, configured to output a plurality of voltage levels, and a power management unit. The power management unit may be configured to set the power supply output to a first voltage level and then detect indications of an impending change in current demand within the SoC. If an indication of an impending change in current demand is detected, then the power management unit may be configured to adjust the power supply output to a second voltage level. After determining the impending change in current demand has occurred, the power management unit may be configured to adjust the power supply output back to the first voltage level. | 12-25-2014 |
20140380067 | BACKUP POWER FOR REDUCING HOST CURRENT TRANSIENTS - A data storage device (DSD) includes a power supply from a host and a charge storage element. A current transient is detected on the power supply from the host and it is determined whether the current transient exceeds a current threshold. When the current transient exceeds the current threshold, power is drawn from the charge storage element to reduce power drawn from the host. | 12-25-2014 |
20150012759 | VOLTAGE REGULATOR WITH FEED-FORWARD AND FEEDBACK CONTROL - Described is a voltage regulator with feed-forward and feedback control. Described is an apparatus which comprises: a circuit for providing power or ground supply for a target circuit in response to a control signal; and a feed-forward filter to receive data and to generate the control signal according to the received data. | 01-08-2015 |
20150012760 | INFORMATION PROCESSING APPARATUS AND POWER SUPPLY CONTROL METHOD - An information processing apparatus including a removable board, the board including a first control circuit which controls the board, a second control circuit which controls a power supply of the first control circuit, a first locking unit which is capable of locking the board and releasing a locking of the board, a second locking unit which locks the first locking unit, and a lock switch which turns on when the first locking unit is locked by the second locking unit; and the second control circuit turns on the power supply of the first control circuit when the lock switch is on. | 01-08-2015 |
20150019882 | SWITCHING POWER SUPPLY WITH NOISE CONTROL - On embodiment of a device with a noise adaptive power supply includes a noise adaptation unit configured to receive a noise adaptation signal. The noise adaptation unit can provide processing, such as digital filter processing to reduce the effect of power supply noise. In one embodiment, a feedback signal is used to adjust the output voltage of the power supply. The noise adaptation signal can be similar to the feedback signal. The noise adaptation unit can provide the processing in response to the noise adaptation signal. | 01-15-2015 |
20150019883 | POWER SUPPLY CIRCUIT FOR CENTRAL PROCESSING UNIT - A power supply circuit for a central processing unit (CPU) includes a comparing circuit, first to third switch circuits, first and second compensation circuits, a pulse width modulation (PWM) controller, a first power circuit connected to a first output pin of the PWM controller, and a second power circuit connected to a second output pin of the PWM controller. When a motherboard operates normally, the comparing circuit outputs a first control signal to control the first and third switch circuits to be turned on. The second switch circuit is turned off. The first compensation circuit provides a compensation signal to the PWM controller. When the motherboard is powered off, the comparing circuit outputs a second control signal to control the first and third switch circuits to be turned off. The second switch circuit is turned on. The second compensation circuit provides a compensation signal to the PWM controller. | 01-15-2015 |
20150019884 | PD IN POE SYSTEM HAVING REDUNDANT PSE CHANNEL INPUTS - A Powered Device (PD) in a PoE system has two input channels, each being coupled to a separate Power Sourcing Equipment (PSE) for increased reliability. A first PD controller is coupled to the first channel to perform hand-shaking and closes a first Power Good (PWRGD) switch when the PoE voltage is detected on the first channel. A second PD controller is coupled to the second channel to perform hand-shaking and closes a second PWRGD switch when the PoE voltage is detected on the second channel. A diode bridge couples both channels to a single regulating power supply that supplies power to a load. Auxiliary switches are controlled by the PWRGD signals so that only the first channel or the second channel is coupled to the diode bridge in the event that both channels receive the respective PoE voltages. Therefore, hot standby is provided using only one power supply. | 01-15-2015 |
20150019885 | COUNTERMEASURE METHOD AND DEVICE FOR PROTECTING DATA CIRCULATING IN AN ELECTRONIC MICROCIRCUIT - The disclosure relates to a countermeasure method in an electronic microcircuit, comprising successive process phases executed by a circuit of the microcircuit, and adjusting a power supply voltage between power supply and ground terminals of the circuit, as a function of a random value generated for the process phase, at each process phase executed by the circuit. | 01-15-2015 |
20150026486 | OVERCURRENT PROTECTION CIRCUIT AND SERVER USING THE SAME - An overcurrent protection circuit and a server using the same are provided. The overcurrent protection circuit coupled between a power supply module and a load on a mainboard including a detecting component, a detection unit, a comparing unit, and a power switch. The detecting component is coupled between the power supply module and the detection unit. The detection unit detects a detecting voltage generated by the detecting component responsive to a supplying current. The comparing unit generates a control voltage according to the detecting voltage and a reference voltage. The power switch is coupled between the detecting component and the load and is controlled by the control voltage. When the comparing unit determines that the detecting voltage is greater than the reference voltage, the comparing unit cuts off the power switch to disconnect a power supply path between the power supply module and the load. | 01-22-2015 |
20150026487 | MOBILE TERMINAL AND METHOD OF DETERMINING AND DISPLAYING POWER EFFICIENCY OF AN APPLICATION - A method and mobile terminal for determining a power efficiency of an application installed in and executed by a mobile terminal. The method includes: determining power consumption per unit time according to units of the installed and executed application; and determining a power efficiency level of the installed and executed application based on the determined power consumption per unit time. The mobile terminal includes: a power consumption determiner configured to determine power consumption per unit time according to units of the installed and executed application; and a level determiner configured to determine a power efficiency level of the installed and executed application based on the determined power consumption per unit time. | 01-22-2015 |
20150026488 | SELECTIVELY POWERING A STORAGE DEVICE OVER A DATA NETWORK - Methods and structure for selectively powering a storage device over a data network. An exemplary system includes a power module configured to detect power from a host system via a network port. The system also includes an input/output controller configured to receive power derived from the network port of the power module, and in response, to identify a disk drive for a read/write operation based on information from the host system. With power derived from the network port, the power module is further configured to supply power to an expander that connects the disk drive to the input/output controller, and to supply power to the disk drive to perform the read/write operation. | 01-22-2015 |
20150026489 | DISTRIBUTED ANTENNA SYSTEM USING POWER-OVER-ETHERNET - A system is provided for adjusting power provided over a channel to a device. The system can include power sourcing equipment and a sub-system. The power sourcing equipment can provide power to a powered device via a channel. The sub-system can determine an amount by which to increase the power based on a resistance of the channel. The power sourcing equipment or the powered device can adjust the power (or load) in response to a command from the sub-system. The sub-system can include at least one measurement device and a processor. The measurement device can measure an output voltage of the power sourcing equipment, an input voltage of the powered device, and a current on the channel. The processor can determine the resistance of the channel based on the output voltage, the input voltage, and the current. The processor can output a command specifying an increase or decrease in the level of power supplied by the power sourcing equipment. | 01-22-2015 |
20150026490 | CLOCK TREE IN CIRCUIT AND OPERATION METHOD THEREOF - A clock tree in a circuit and an operation method thereof are provided. The clock tree includes at least two sub clock trees, at least two voltage-controllable power-mode-aware (PMA) buffers and a power-mode control circuit. The PMA buffers delay a system clock to serve as the delayed clock, and provide respectively the delayed clock to the sub clock trees. The power-mode control circuit provides at least two first power information to at least two function modules respectively, wherein a power mode of each of the function modules is determined according to the first power information respectively. The power-mode control circuit provides at least two second power information to the PMA buffers respectively, wherein a delay time of each of the PMA buffers is determined according to the second power information respectively. | 01-22-2015 |
20150033040 | POWER SUPPLY CIRCUIT FOR CENTRAL PROCESSING UNIT - A power supply circuit for supplying power to a central processing unit (CPU) of a computer includes a pulse width modulation (PWM) controller, a control circuit, a switch circuit, a first current protection circuit, a second current protection circuit, a first power circuit, and a second power circuit. When the computer is turned on, a first current protection threshold is set by the PWM controller through the first current protection circuit. When the computer is in a standby mode, a second current protection threshold is set by the PWM controller through the second current protection circuit. | 01-29-2015 |
20150033041 | POWER SUPPLY CIRCUIT FOR CENTRAL PROCESSING UNIT - A power supply circuit applied to a central processing unit (CPU) of a computer includes a pulse width modulation (PWM) controller, a control circuit, a first switch circuit, a second switch circuit, a first compensation circuit, a second compensation circuit, a first power circuit, and a second power circuit. When the computer is turned on, the PWM controller regulates duty cycles of pulse signals and outputs the regulated pulse signals to the first and second power circuits according to a first compensation signal, to provide a stable voltage to the CPU. When the computer is in a standby mode, the PWM controller regulates duty cycles of pulse signals and outputs the regulated pulse signals to the first power circuit according to a second compensation signal, to provide a stable voltage to the CPU. | 01-29-2015 |
20150039913 | Electronic Control Unit - Disclosed is an electronic control unit capable of identifying an abnormality in a power supply voltage without narrowing the operating voltage range, and having minimal effects on cost and the circuit mounting surface area. The ECU includes a microcomputer containing an input terminal VCCin and an input terminal Vrin, a power supply IC that supplies a power supply voltage VCC to the input terminal VCCin, and as a reference-voltage-generator circuit a voltage-divider resistor and a voltage-divider resistor configuring a voltage-dividing circuit that outputs a sub-divided voltage Vc is sub-divided from the power supply voltage VCC, a capacitor coupled at one end to the input terminal Vrin and coupled on at the other end to ground, and a voltage isolation element coupled between the voltage-dividing circuit and the input terminal Vrin. | 02-05-2015 |
20150039914 | APPARATUS AND METHOD FOR ESTIMATING POWER CONSUMPTION - A power consumption estimation apparatus searches for an accessory apparatus that is mounted in a computing system that is connected through the Internet, receives a power consumption value of the accessory apparatus and a correlation value on an accessory apparatus combination basis from a power consumption and load amount information providing server, and estimates power consumption of the computing system using the power consumption value of the accessory apparatus and the correlation value on an accessory apparatus combination basis. | 02-05-2015 |
20150039915 | SERVER POWER SYSTEM - A server power system includes a backboard with a plurality of hard disk drive (HDD) units, a number of motherboards, and a number of power cables. The numbers of the HDD units, the motherboards, and the power cables are the same. Each HDD unit includes a number of HDDs. Each HDD of one HDD unit is coupled to a corresponding motherboard through a corresponding power cable. Each power cable includes a motherboard interface and an HDD interface. A ground pin and a power pin of the HDD interface are connected to a ground pin and a power pin of the motherboard interface correspondingly. The motherboard interface of each power cable is coupled to a power interface of the corresponding motherboard. The number of the power cables is selected to make the HDDs of each HDD unit coupled to the HDD interfaces of the corresponding power cables. | 02-05-2015 |
20150046721 | RECONFIGURABLE CIRCUIT TO EMULATE SYSTEM CRITICAL PATHS - A circuit for monitoring and controlling a clock signal generated by a clock source in a microprocessor device may include a voltage divider network that provides a plurality of voltages, a selector device that receives the plurality of voltages and provides a scaled supply voltage and a scaled ground voltage from the plurality of voltages, and at least one delay element that receives the scaled supply voltage and the scaled ground voltage and generates a delayed pulse signal by applying a delay to each pulse of the clock signal. The delayed pulse signal may include a delay magnitude that is controllable by the scaled supply voltage and the scaled ground voltage, such that the delayed pulse signal is used to generate a frequency correction signal based on a variation to a supply voltage of the microprocessor. The frequency correction signal may then be applied to the clock source. | 02-12-2015 |
20150046722 | DRIVER CIRCUIT OF SEMICONDUCTOR APPARATUS - Provided is a driver circuit of a semiconductor apparatus that is capable of operating with improved reliability and consuming less current. The driver circuit comprises a driver configured to generate an internal voltage using a power voltage in response to a control voltage and a controller configured to change the control voltage to a level higher than a level of the power voltage in response to a stand-by mode signal. | 02-12-2015 |
20150046723 | SENSE-AMPLIFIER DRIVING DEVICE AND SEMICONDUCTOR DEVICE INCLUDING THE SAME - A sense-amplifier driving device includes: a power-supply driving unit configured to respectively provide a first pull-up voltage and a first pull-down voltage to a pull-up power line and a pull-down power line during a first over-driving time section, and provide the first pull-up voltage to the pull-up power line during a second over-driving time section; an over-driving controller configured to provide a second pull-down voltage lower than the first pull-down voltage to the pull-down power line during the second over-driving time section; and a drive-signal generator configured to generate a drive signal activated for the first and second over-driving time sections so as to control driving of the power-supply driving unit. | 02-12-2015 |
20150046724 | POWER SUPPLY METHODS AND ELECTRONIC DEVICES - The present disclosure discloses a power supply method and an electronic device, the method is applied to the electronic device, the electronic device comprises M interfaces, and M is an integer which is larger than or equal to 2. The method comprises: obtaining power supply orders corresponding to the M interfaces, when the electronic device being switched from a non-operating state to an operating state; and supplying power to N devices connected to the M interfaces in the power supply orders, wherein N is an integer which is larger than or equal to 1 and less than or equal to M. | 02-12-2015 |
20150046725 | ELECTRONIC APPARATUS INCLUDING DC-DC CONVERTERS - An electronic apparatus includes a first DC-DC converter configured to generate a first direct-current power supply voltage based on an input direct-current voltage, a second DC-DC converter configured to generate a second direct-current power supply voltage based on the input direct-current voltage, a first circuit configured to operate with the first direct-current power supply voltage to perform a first process, a second circuit configured to operate with the second direct-current power supply voltage to perform a second process whose load is able to be reduced by the first process, and a control circuit configured to change, in response to the input direct-current voltage, a ratio between a volume of processing of the first process and a volume of processing of the second process. | 02-12-2015 |
20150046726 | ELECTRONIC APPARATUS - There is provided a method of preventing an erroneous operation of a Wake-up device connected to a general-purpose USB port in an electronic apparatus. The method including the step of determining whether or not the Wake-up device is connected, the step detecting whether or not the electronic apparatus is moving, and the step of preventing Wake-up of the Wake-up device. | 02-12-2015 |
20150046727 | FEEDING METHOD AND ELECTRONIC APPARATUS - In a method for supplying electric power to a USB device connected to a general-purpose USB port in an electronic apparatus, the method comprising: determining whether the USB device is connected; acquiring electric power required by the USB device; setting an overcurrent threshold value based on the required electric power; and supplying electric power to the USB device within the overcurrent threshold value. | 02-12-2015 |
20150046728 | Identification Circuit for Power Sourcing Equipment, and Powered Device - An electronic detection circuit for detecting a power level provided by a power sourcing device to a powered device in a Power over Ethernet (POE) system, the electronic detection circuit comprising a power input end, a power output end, a charge retention module configured to generate a control voltage from the input voltage, a load module configured to draw power at a test power level from the power sourcing device, a connection switch, and an overload detection module connected to receive the input voltage to detect whether the input voltage has dropped to zero during the test period. | 02-12-2015 |
20150052371 | MULTI-VENDOR POWER DISTRIBUTION UNIT SUPPORT IN RACK MANAGEMENT SOFTWARE - A PDU management system for automatically configure, manage and monitor managed power distribution units (PDUs) includes: (a) a user interface module configured to allow an operator to enter management information of the managed PDUs, (b) a database configured to store management information of the managed PDUs, (c) a power management communication interface configured to facilitate the communication between the PDU management system and the managed PDUs through a communication link, (d) a PDU power management module configured to construct, manage, and monitor the managed PDUs, (e) a PDU discovery module configured to discover all managed PDUs according to the information entered by the operator through the user interface module, (f) a PDU loader to load the management information of the managed PDUs to the database, the PDU discovery module and the PDU power management module. | 02-19-2015 |
20150052372 | COMPUTING SYSTEM WITH RESOURCE MANAGEMENT MECHANISM AND METHOD OF OPERATION THEREOF - A computing system includes: a storage interface configured to access an application code including a target code; a control unit, coupled to the storage interface, configured to: identify a consumption model corresponding to the target code, calculate a consumption estimate for the target code based on the consumption model, and generate a code-power analysis output based on the consumption estimate. | 02-19-2015 |
20150058641 | ADAPTIVE POWER MANAGEMENT OF A CLUSTER OF HOST COMPUTERS USING PREDICTED DATA - A power management system and method for performing power management operations in a distributed computer system uses predicted resource demands for a specified time in the future to change a power management option of a power management analysis algorithm prior to the specified time so that the power management analysis algorithm can be executed in anticipation of the predicted resource demands at the specified time. | 02-26-2015 |
20150058642 | ELECTRONIC DEVICE AND METHOD FOR CONTROLLING THE SAME - An electronic device includes a communication connector, a determiner, and a setting controller. The communication connector includes a power supply terminal and a plurality of signal terminals. The determiner determines whether a device connected via the communication connector is a device capable of communicating according to a second communication standard, based on the potential of a predetermined signal terminal specified by a first communication standard but not specified by the second communication standard, among the signal terminals. The setting controller switches the setting related to a power supply signal input from the power supply terminal, according to the determination result of the determiner. | 02-26-2015 |
20150067356 | POWER MANAGER FOR MULTI-THREADED DATA PROCESSOR - A data processing system includes a plurality of processor resources, a manager, and a power distributor. Each of the plurality of data processor cores is operable at a selected one of a plurality of performance states. The manager assigns each of a plurality of program elements to one of the plurality of processor resources, and synchronizing the program elements using barriers. The power distributor is coupled to the manager and to the plurality of processor resources, and assigns a performance state to each of the plurality of processor resources within an overall power budget, and in response to detecting that a program element assigned to a first processor resource is at a barrier, increases the performance state of a second processor resource that is not at the barrier within the overall power budget. | 03-05-2015 |
20150067357 | PREDICTION FOR POWER GATING - The present application describes embodiments of methods for tournament prediction of power gating in processing devices. Some embodiments of the method include selecting one of a plurality of predictions of a duration of a time to a power state transition of a component in a processing device. The plurality of predictions are generated using a corresponding plurality of prediction algorithms. Some embodiments of the method also include deciding whether to transition the component from a first power state to a second power state based on the selected prediction. | 03-05-2015 |
20150067358 | INJECTION LOCKED PHASING FOR A PEAK-VALLEY MULTIPHASE REGULATOR - A system and method capable of injection locking the phases of a peak-valley multiphase regulator includes comparing an output voltage error signal with a ramp control signal and providing a corresponding slope reset signal, using transitions of the slope reset signal to develop a equally spaced high side ramp signals and equally spaced low side ramp signals, and injecting a corresponding one of the high side signals and a corresponding one of the low side ramp signals into each of the phases which correspondingly develop equally spaced pulse control signals for multiphase operation. Such injection locking allows the additional phases to operate out of phase with the first phase and allows operation at high duty cycles. | 03-05-2015 |
20150067359 | INFORMATION PROCESSING APPARATUS AND POWER CONTROL METHOD - An information processing apparatus includes a power circuit, a system control circuit, an information processing unit, a device connection port, a first switch, a second switch, and a power control circuit to supply the electric power to the device connection port from the power circuit with the system control circuit booting up the information processing unit when accepting the instruction of the power supply by the first switch, and to supply the electric power to the device connection port from the power circuit with the system control circuit restraining the information processing unit from being booted up when accepting the instruction of the power supply by the second switch in a state of the first switch not accepting the instruction of the power supply. | 03-05-2015 |
20150074431 | SYSTEM AND METHOD FOR MANAGING POWER FEEDS THROUGH WAVEFORM MONITORING - Managing power feeds includes monitoring a waveform of a high-voltage power feed supplied to an electrical load to detect potential interruption of the high-voltage power feed and switching to another power feed to supply power to the electrical load in response to identifying the waveform pattern. Monitoring a waveform includes processing the waveform to determine if one or more waveform patterns are present in the waveform. A waveform pattern indicates, by its presence in a waveform of a power feed, a power event associated with the power feed, and some waveform patterns indicate potential interruption of the power feed. Switching to another power feed in response to determining potential interruption of the power feed based on waveform monitoring enables an uninterrupted power supply. | 03-12-2015 |
20150074432 | WAKE ON APPLICATION - Systems and methods for “Wake on Application” (WOA). An Information Handling System (IHS) may include a logic circuit and a memory having instructions that, upon execution, cause the IHS to: receive a WOA packet while the IHS is in a first power state, where the WOA packet identifies at least one of a software application or virtual server residing within the IHS; and, in response to having received the WOA packet, operate in a second power state and launch the software application or wake up the virtual server. A method may include originating, via a first IHS, a single WOA packet; and transmitting the single WOA packet over a network, where the single WOA packet is configured to cause a second IHS to switch operation from a first power state to a second power state, and to launch a software application or wake up a virtual server. | 03-12-2015 |
20150074433 | PHYSICALLY UNCLONABLE FUNCTION BASED ON BREAKDOWN VOLTAGE OF METAL- INSULATOR-METAL DEVICE - One feature pertains to a method of implementing a physically unclonable function that includes providing an array of metal-insulator-metal (MIM) devices, where the MIM devices are configured to represent a first resistance state or a second resistance state and a plurality of the MIM devices are initially at the first resistance state. The MIM devices have a random breakdown voltage that is greater than a first voltage and less than a second voltage, where the breakdown voltage represents a voltage that causes the MIM devices to transition from the first resistance state to the second resistance state. The method further includes applying a signal line voltage to the MIM devices to cause a portion of the MIM devices to randomly breakdown and transition from the first resistance state to the second resistance state, the signal line voltage greater than the first voltage and less than the second voltage. | 03-12-2015 |
20150082055 | Changing Output Power to be Within a Range Based on a Power Use Efficiency Peak - If a total output power provided to a computer is less than a minimum of a power capacity range around a power use efficiency peak, and the occurrence of the total output power being less than the minimum value occurs more than a first threshold number of times within a time period, a first action is performed that causes the total output power provided to the computer to change to exceed the minimum of the power capacity range. If the total output power provided to the computer is greater than a maximum of the power capacity range, and the occurrence of the total output power being greater than the maximum occurs more than a second threshold number of times within the time period, a second action is performed that causes the total output power provided to the computer to change to be less than the maximum of the power capacity range. | 03-19-2015 |
20150082056 | COMPUTER DEVICE AND METHOD FOR CONVERTING WORKING MODE OF UNIVERSAL SERIAL BUS CONNECTOR OF THE COMPUTER DEVICE - A computer device and a method for converting a working mode of a universal serial bus (USB) connector of the computer device. The computer device comprises a USB connector, a power interruption unit, a first switch unit, a south bridge chip, a reading unit, a control unit, and a charging control unit. The USB connector is linked to an external USB device. When a fast charging instruction is received, the power interruption unit interrupts the power supply of the USB connector; the first switch unit performs switching, so that the USB connector works in a fast charging mode. When the control unit receives a common charging instruction, the power interruption unit interrupts the power supply of the USB connector; the first switch unit performs switching, so that the USB connector works in a common charging mode, and data transmission can be performed. | 03-19-2015 |
20150082057 | Desk Top Item with LED Means has USB-Units or USB- Module to Charge Other Electric or Digital Data Devices - Desk top items with LEDs also include USB-unit(s) or USB-module(s) and, optionally, additional outlet-units, to supply charging power to other electric or digital devices such as a smart phone or digital data device. The USB-unit(s) or USB-module(s) are arranged to supply power only, and do not have an additional USB data transfer function. | 03-19-2015 |
20150089248 | Accessory Device Power Management - Accessory device power management techniques are described in which a power exchange state for a system including a host computing device, an accessory device, and an adapter is recognized. Power exchange states may be defined according to relative states of charge (RSOC) and connection status for the system components and mapped to power management control actions. Responsive to the recognition of a current power exchange state, corresponding power management control actions may be ascertained and applied to jointly manage power for the system. For instance, the host device may draw supplemental power from a power source associated with an accessory device (e.g., a battery or power adapter) or supply power for use by the accessory device according to different states. Power exchanges may also be managed in accordance with capabilities of the accessory device identified based on authentication of the accessory device. | 03-26-2015 |
20150089249 | THREAD AWARE POWER MANAGEMENT - In an embodiment, a power management controller is to receive thread information from a scheduler, where the thread information includes thread priority information for a thread scheduled to a core of a multicore processor. The power management controller is further to receive power consumption information from a power controller and to determine a power management action to be taken by the power controller on at least one core based at least in part on the thread priority information. Other embodiments are described and claimed. | 03-26-2015 |
20150089250 | Contention Prevention for Sequenced Power Up of Electronic Systems - A method and apparatus for preventing contention during the sequenced power up of an electronic system is disclosed. In one embodiment, an apparatus includes first and second power domains configured to receive power from first and second power sources, respectively. During a power up sequence, the first power source is configured to provide power prior to the second power source. A power detection circuit is configured to detect the presence of power from both of the first and second power sources. If power has not been detected from the second power source, a signal provided to a clamping circuit is asserted. When the signal is asserted by the power detection circuit, the clamping circuit may inhibit the control signal received from the second power domain from being provided to a power switch in the first power domain. | 03-26-2015 |
20150089251 | Method and Apparatus for Managing Global Chip Power on a Multicore System on Chip - According to at least one example embodiment, a method and corresponding apparatus for controlling power in a multi-core processor chip include: accumulating, at a controller within the multi-core processor chip, one or more power estimates associated with multiple core processors within the multi-core processor chip. A global power threshold is determined based on a cumulative power estimate, the cumulative power estimate being determined based at least in part on the one or more power estimates accumulated. The controller causes power consumption at each of the core processors to be controlled based on the determined global power threshold. The controller may directly control power consumption at the core processors or may command the core processors to do so. | 03-26-2015 |
20150089252 | COMPUTER SYSTEM AND OPERATING METHOD THEREOF - A computer system and an operating method thereof are disclosed herein. The operating method includes determining an operating state of a logic device; receiving a present power level of a mainboard; comparing the present power level of the mainboard with a present power level threshold of the mainboard, and determining whether to generate an alert signal accordingly; selectively providing the alert signal to a system controller or a baseboard management controller (BMC) according to the operating state; and adjusting, through one of the system controller and the BMC, whichever receives the alert signal, the present power level threshold of the mainboard. | 03-26-2015 |
20150089253 | Power Converter for a Computer Device and Method for Operating a Power Converter - A power converter for a computer device having a processing unit and a memory device is suggested. The power converter is connectable to the computer device by a coupling circuitry, wherein the computer device requires an actual input voltage. The power converter comprises a voltage regulator, a measuring entity, and a determining entity. The voltage regulator is configured to control an actual output voltage for the coupling circuitry based on a determined reference output voltage. The measuring entity is configured to measure an actual output current of the voltage regulator output to the coupling circuitry. The determining entity is configured to determine the determined reference output voltage such that the determined reference output voltage equals a sum of the actual input voltage of the computer device and the product of the measured actual output current and a resistance of the coupling circuitry. | 03-26-2015 |
20150095666 | CONSTRAINING PROCESSOR OPERATION BASED ON POWER ENVELOPE INFORMATION - In an embodiment, a processor includes at least one core to execute instructions and a power controller coupled to the core. The power controller may include a power envelope control logic to receive a plurality of power envelope parameters and to enable a power consumption level of the processor to exceed a power burst threshold for a portion of a time window. This portion may be determined according to a length of the time window and a duty cycle, where the power envelope parameters are programmed for a system including the processor and include the power burst threshold, the time window, and the duty cycle. Other embodiments are described and claimed. | 04-02-2015 |
20150095667 | MANAGING COMPONENT PERFORMANCE - A method for managing component performance is described. The method includes determining an angle of a computer processor with respect to gravity. The electronic device includes a computer processor. A parameter is selected for the computer processor. A speed of the computer processor is based on the parameter. A value of the parameter is selected based on the angle. The value of the parameter is set based on the selection. | 04-02-2015 |
20150095668 | INTERNAL VOLTAGE GENERATION CIRCUITS - An internal voltage generation circuit includes a bulk voltage generator and an internal voltage driver. The A bulk voltage generator is configured to output any one of a power supply voltage signal and a core voltage signal as a first bulk voltage signal and any one of a ground voltage signal and a low voltage signal as a second bulk voltage signal. An internal voltage driver receives the first and second bulk voltage signals to pull down an internal voltage signal when a level of the internal voltage signal is higher than a level of an upper limit reference voltage signal and to pull up the internal voltage signal when a level of the internal voltage signal is lower than a level of a lower limit reference voltage signal. | 04-02-2015 |
20150100799 | METHOD AND APPARATUS FOR CONFIGURABLE THERMAL MANAGEMENT - Embodiments of an apparatus, system and method are described for configurable processor thermal management. An apparatus may comprise, for example, a processor arranged to operate in a plurality of thermal modes comprising a thermal limit down mode, a normal thermal limit mode and a thermal limit up mode, and thermal management logic operative to select a thermal mode based on one or more properties of the apparatus. Other embodiments are described and claimed. | 04-09-2015 |
20150100800 | METHOD AND APPARATUS FOR CONFIGURABLE THERMAL MANAGEMENT - Embodiments of an apparatus, system and method are described for configurable processor thermal management. An apparatus may comprise, for example, a processor arranged to operate in a plurality of thermal modes comprising a thermal limit down mode, a normal thermal limit mode and a thermal limit up mode, and thermal management logic operative to select a thermal mode based on one or more properties of the apparatus. Other embodiments are described and claimed. | 04-09-2015 |
20150106634 | SYSTEM AND METHOD FOR PROVIDING LOW-VOLTAGE, SELF-POWERED VOLTAGE MULTI-SENSING FEEDBACK - A system and method are provided for regulating a supply voltage of a device. The method includes the steps of determining whether a supply voltage for an analog multiplexor is below a threshold voltage. If the supply voltage for the analog multiplexor is below the threshold voltage, then the method includes the step of shorting the supply voltage to an output of the analog multiplexor. However, if the supply voltage for the analog multiplexor is above or equal to the threshold voltage, then the method includes the step of transmitting at least one input signal coupled to the analog multiplexor to the output of the analog multiplexor. A system configured to implement the method may include a power management integrated circuit configured to generate a supply voltage for a device and a device that includes a self-powered analog multiplexor with voltage sensing bypass switch. | 04-16-2015 |
20150106635 | SEMICONDUCTOR INTEGRATED CIRCUIT AND METHOD OF CONTROLLING THE SAME - A semiconductor integrated circuit includes a system bus configured to operate at a first clock, a plurality of arithmetic processing units including a first arithmetic processing unit which is connected to the system bus and operates at a second clock, and a control circuit controlling the system bus and the arithmetic processing units. After checking that an access from the arithmetic processing units to the system bus is not generated, the control circuit changes frequency of the first clock or the second clock. | 04-16-2015 |
20150113294 | SYSTEMS AND METHODS FOR MANAGING A VOLTAGE REGULATOR - A voltage regulator may comprise a high-side switch and a low-side switch for delivering electrical current to the at least one information handling resource, a high-side driver configured to drive a high-side driving voltage for regulating a first electrical current of the high-side switch, a low-side driver configured to drive a low-side driving voltage for regulating a second electrical current of the low-side switch, and a control circuit configured to operate the at least one voltage regulator in both of a fixed dead time mode and an adaptive dead time mode. | 04-23-2015 |
20150113295 | RECEIVING INPUT POWER MEASUREMENTS TO MANAGE A RECTIFIER - Examples disclose a controller with a meter interface to receive a first input power measurement provided from a meter. The meter delivers input power to a rectifier which delivers power to a load. Additionally, the examples disclose the controller with a rectifier interface to receive a second input power measurement provided from the rectifier. Further, the examples disclose the controller to manage the rectifier based on the first and the second input power measurements. | 04-23-2015 |
20150121091 | Data Storage Device Control With Power Hazard Mode - In response to a warning that power may be interrupted, a non-volatile data storage sub-system of a host computer system re-orders machine readable instructions that the non-volatile data storage sub-system is going to perform. This re-ordering of instructions decreases the probability that important data will be lost. The re-ordering of instructions is performed according to rules. | 04-30-2015 |
20150121092 | REAL TIME GENERATING DEVICE - A real time generating device applied in an electronic apparatus is provided. The real time generating device includes a real time clock module and an energy harvesting module. The real time clock module is configured to generate real time information. The energy harvesting module electrically connected to the real time clock module harvests surrounding environment energy to generate electrical energy and supply power to the real time clock module. | 04-30-2015 |
20150121093 | DATA STORAGE DEVICE FOR FORCIBLY DISCHARGING RESIDUAL VOLTAGE, METHOD OPERATING THE SAME, AND DATA PROCESSING SYSTEM INCLUDING THE SAME - A data storage device includes a voltage supply control circuit configured to receive an external voltage, generate different voltages from the external voltage, and supply the different voltages to loads, respectively, through voltage supply lines, respectively and a discharge control circuit configured to discharge residual voltages from the voltage supply lines in response to a control signal and the external voltage, the discharge control circuit configured to discharge the residual voltages in an order of a highest residual voltage to a lowest residual voltage. | 04-30-2015 |
20150127957 | ADAPTIVE INLINE POWER MANAGMENT SYSTEM - In an embodiment, a system can include a temperature sensor configured to sense a temperature at a part of the system, resulting in temperature data. The system can also include a power source configured to provide power and network data to a powered device circuit. The powered device circuit may be configured to provide the power and the network data to a powered device. The system may also include a current limiting module configured to maintain a maximum limit of current drawn from the power source by the powered device circuit, and a control module configured to control the current limiting module to adjust the maximum limit of the current drawn from the power source by the powered device circuit, according to the temperature data. | 05-07-2015 |
20150127958 | INFORMATION PROCESSING APPARATUS, POWER SUPPLY CONTROLLER AND POWER SUPPLY CONTROL METHOD - An information processing apparatus includes: a processor; a plurality of power controllers, each of the power controllers includes: an electricity supply that supplies electricity supplied from an inserted power supply cable to the processor, and a detector that detects and notifies a half-disconnected state of the power supply cable; and a current controller that in response to a notification from any of the detectors, decreases output current from the electricity supply in a power controller which falls under the half-disconnected state, and increases output current from an electricity supply in another power controller. | 05-07-2015 |
20150134979 | APPARATUS AND METHOD FOR PROVIDING ADAPTIVE POWER STATE CONTROL BASED ON IGNITION INPUT - A computer includes a communication unit for obtaining usage data and/or run-time data associated with the computer, at least one component communicatively coupled to the computer, and/or a vehicle on which the computer is mounted and from which the computer is configured to receive power. The computer further includes a processor configured to: extrapolate out of the usage data and run-time data patterns associated with activities implemented by the computer and/or the at least one component and power states of the vehicle and create and store a profile of patterns; receive an indication that the vehicle has been toggled to one of an ON power state and an OFF power state; identify a pattern associated with the power state of the vehicle; and adapt a power state of the computer and/or the at least one component responsive to the indication and the pattern. | 05-14-2015 |
20150134980 | POWER SUPPLYING CIRCUIT, POWER SUPPLYING SYSTEM AND POWER SUPPLYING METHOD - A power supplying circuit adapted for receiving an output from a power adapter and supplying power to a battery unit and a system load is provided. The power supplying circuit includes a charger unit, a switching unit, and a voltage regulating unit. The charger unit receives a first supplying voltage from the power adapter through a power terminal and charges the battery unit. The switching unit is coupled to the power terminal and the charger unit. The switch unit is configured for receiving the first supplying voltage and a second supplying voltage from the charger unit. The voltage regulating unit is coupled to the switching unit and configured for powering the system load. The switching unit supplies the first supplying voltage to the voltage regulating unit under heavy load condition. The switching unit supplies the second supplying voltage to the voltage regulating unit under light load condition. | 05-14-2015 |
20150134981 | SEMICONDUCTOR DEVICE, BATTERY PACK, AND MOBILE TERMINAL - Provided is a semiconductor device including: a voltage measurement unit that measures an output voltage of a battery and a voltage on a power supply path between the battery and an internal circuit supplied with electric power from the battery; a current measurement unit that measures a discharge current of the battery; and a control unit that calculates a theoretical value of the output voltage in a normal measurement mode assuming that the discharge current measured in a large current measurement mode is a maximum available current of the battery in the large current measurement mode, and calculates an amount of maximum available power of the battery in the large current measurement mode based on the calculated theoretical value of the output voltage and the voltage on the power supply path measured in the large current measurement mode. | 05-14-2015 |
20150134982 | METHOD OF CHANGING AN OPERATING FREQUENCY FOR PERFORMING A DYNAMIC VOLTAGE AND FREQUENCY SCALING, SYSTEM ON-CHIP, AND MOBILE DEVICE HAVING THE SAME - A method of changing an operating frequency for performing a dynamic voltage and frequency scaling on a central processing unit included in a system on-chip is provided. A previous maximum peak workload of the central processing unit is detected in a history period of the dynamic voltage and frequency scaling when the operating frequency of the central processing unit is determined to be increased, and an increased operating frequency is applied to the central processing unit. The increased operating frequency is calculated based on the previous maximum peak workload of the central processing unit. | 05-14-2015 |
20150134983 | PROVIDING POWER TO A MOBILE DEVICE USING A FLASH DRIVE - A method and apparatus to provide electrical current to a mobile device using a flash drive is disclosed. The flash drive can be connected to two devices, a source device and a mobile device, and can be used to transfer power from the source device to the mobile device to, for example, charge a battery of the mobile device. The flash drive can also be used to transfer data between the two devices. For example, the flash drive can be connected to the source device, which can copy, e.g., a movie to the flash drive. The mobile device can be connected to the flash drive, and the movie can be copied or streamed to the mobile device. A user can watch the movie using the mobile device while the mobile device is using power from the source device to charge a battery of the mobile device. | 05-14-2015 |
20150143137 | INFORMATION PROCESSING APPARATUS, CONTROL DEVICE, AND CONTROL METHOD - An information processing apparatus includes a monitoring unit that monitors a reception of a power-on instruction from a second control device among the plurality of control devices, and a prevention unit that prevents an issue of the power-on instruction to the second control device when the monitoring unit detects the power-on instruction from the second control device. Therefore, the control device can prevent the occurrence of unintended power-on operations. | 05-21-2015 |
20150143138 | SYSTEM FOR DETECTING UNIVERSAL SERIAL BUS (USB) DEVICE AND METHOD THEREOF - A system for detecting universal serial bus (USB) device and method thereof are described. The system and method utilizes a USB controller to control a switch module and to detect the signal level of USB interface for determining whether the USB device is electrically connected to the host unit. Therefore, the system and method are capable of improving the power consumption of the host unit. | 05-21-2015 |
20150143139 | Providing Per Core Voltage And Frequency Control - In one embodiment, the present invention includes a processor having a plurality of cores and a control logic to control provision of a voltage/frequency to a first core of the plurality of cores independently of provision of a voltage/frequency to at least a second core of the plurality of cores. In some embodiments, the voltages may be provided from one or more internal voltage regulators of the processor. Other embodiments are described and claimed. | 05-21-2015 |
20150143140 | SYSTEM AND METHOD FOR PROVIDING MODULAR AND SCALABLE POWER INFRASTRUCTURE OUTSIDE OF USABLE IT SPACE - In accordance with the present disclosure, a system and method for providing scalable and modular power infrastructure outside of usable rack space is described. The system may include a chassis configured to mount on the side of a rack. A power cable interface box (PCIB) may be disposed within the chassis, and the PCIB may receive alternating current (AC) power. The system may further include at least one power supply unit disposed within a slot of the chassis, with the at least one power supply unit receiving AC power from the PCIB and outputting direct current (DC) power to a busbar. The system may also include a battery back-up unit (BBU) element disposed within the chassis. The BBU element may charge from and discharge to the busbar. | 05-21-2015 |
20150149791 | ELECTRONIC APPARATUS - An electronic apparatus includes a chip, a memory and a switch unit. The chip works in a boot state. The memory coupled to the chip stores firmware and has a write-protection control end connected to the chip through a write-protection control path. In a standby state, when receiving an electric potential signal through the write-protection control end, the memory disables a write-protection function, so as to update the firmware. The switch unit is located on the write-protection control path and is controlled by a power-on signal related to the boot state. In the standby state, the switch unit is turned-off and the delivery of the electric potential signal to the chip through the write-protection control path is disabled. In the boot state, the switch unit is turned-on and the write-protection control way is conducted by the power-on signal so that the chip controls the write-protection function. | 05-28-2015 |
20150149792 | SERVER SYSTEM AND CONTROLLING METHOD FOR OPERATION TIMING AFTER BEING POWERED UP - A server system and controlling method for an operation timing after being powered up are disclosed. The sever system controls a reset signal to have a voltage lower than a first voltage value by introducing a voltage monitoring module when a work power lower than a voltage threshold. On the other hand, when the work power voltage increases to higher than the voltage threshold of the voltage monitoring module, the voltage monitoring module controls the reset signal voltage to be higher than a second voltage value, whereby achieving in a technical efficacy of stable initialization and reset of the server. | 05-28-2015 |
20150149793 | VARIOUS PSUS ADAPTIVE SERVER AND METHOD - A various PSUs adaptive server and method used therefor, in which the management module sends a detection command to the bus address corresponding to the model corresponding to each of the PSUs, identifies the model according to the bus address in the response information and controls the one of the PSUs corresponding to the identified model by using the control protocol corresponding thereto, whereby reducing a number of the versions of a base plate management controller and reducing a test burden therefor. | 05-28-2015 |
20150149794 | METHODS AND SYSTEMS TO CONTROL POWER GATES DURING AN ACTIVE STATE OF A GATED DOMAIN BASED ON LOAD CONDITIONS OF THE GATED DOMAIN - Methods and systems to adjust a resistance between a supply grid and a power-gated grid during an active state of a power-gated circuitry in response to load changes in the circuitry to maintain a relatively consistent IR droop. Subsets of power gates (PGs) may be selectively enabled and disabled based on changes in a load factor, such as a voltage, which may be monitored at a gated power distribution grid and/or proximate to a transistor gate within the power-gated circuitry. The adjusting may be performed to minimize a difference between the monitored voltage and a reference, such as with successive approximation or CMS software. PG subsets may be distributed within one or more layers of an integrated circuit (IC) die and may be selectively enabled/disabled based on location. PGs may be embedded within lower layers of an integrated circuit (IC) die, such as within metal layers of the IC die. | 05-28-2015 |
20150149795 | Semiconductor Device and Electronic Device - To reduce a variation in the electrical characteristics of a transistor. A potential generated by a voltage converter circuit is applied to a back gate of a transistor included in a voltage conversion block. Since the back gate of the transistor is not in a floating state, a current flowing through the back channel can be controlled so as to reduce a variation in the electrical characteristics of the transistor. Further, a transistor with low off-state current is used as the transistor included in the voltage conversion block, whereby storage of the output potential is controlled. | 05-28-2015 |
20150293569 | COMPUTER SYSTEM AND POWER MANAGEMENT METHOD THEREOF - A computer system and a power management method thereof are disclosed. The computer system comprises a smart charger and an embedded controller (EC). The smart charger has a voltage turbo boost (VTB) function. The EC enables or disables the VTB function to protect a battery from damage according to a current remaining capacity and a battery decline ratio of the battery. | 10-15-2015 |
20150293571 | Enhanced Power over Ethernet (POE) System - Disclosed herein is an enhanced POE (Power Over Ethernet) power supply system, in which a single power source is configured to provide a reduced power voltage for both a network video recorder and an IP camera, thereby simplifying a traditional POE system that requires additional devices for power transformation. | 10-15-2015 |
20150293572 | Power Sourcing Equipment Chip, Power Sourcing Equipment, and Power Over Ethernet System and Method - A power sourcing equipment (PSE) chip controls a powering channel of the PSE chip according to a value stored in a first register; the PSE chip changes, in response to a second instruction, the value stored in the first register into a calculated value, where the second instruction includes a second slave address and a second data byte, the second slave address is a virtual address of a virtual powering group, and the calculated value is a result obtained by calculation according to the second data byte and a channel indication value that is of the virtual powering group and stored in the PSE chip. Information indicating whether a powering channel is added to a virtual powering group is stored in a PSE chip, so that powering channels added to a virtual powering group can be controlled at a time. | 10-15-2015 |
20150309550 | MOBILE TERMINAL AND DISPLAY PANEL DRIVER - A power circuit section generates a first logic power supply voltage and an analog power supply voltage to supply to a first power supply line and a second power supply line, respectively. A regulator steps the first logic power supply voltage down to generate a second logic power supply voltage and supplies the second logic power supply voltage to a third power supply line. A logic circuit controls A source line driving section and A gate line driving section in response to a decrease of a voltage of the first power supply line so that the charge stored in the display panel is discharged. A charge transporting path is configured to transport the charge from the second power supply line to a third power supply line in response to the decrease of the voltage of the first power supply line. | 10-29-2015 |
20150311753 | ETHERNET POE TO USB ADAPTER DEVICE - A PoE to USB adapter comprises the electronic circuitry to take the incoming PoE signal and extract 5 volts DC from the power segment of the signal and provide that voltage, along with the bi-directional data contained within the PoE signal to a standard USB 3.0 connector. | 10-29-2015 |
20150312048 | POWER OVER ETHERNET INJECTOR - A midspan power over Ethernet (“PoE”) injector ( | 10-29-2015 |
20150316968 | POWER SUPPLY - A power supply includes a circuit board, a cable assembly, a voltage conversion component, and a DC voltage conversion component. The circuit board includes a connector. The cable assembly, the voltage conversion component, and the DC voltage conversion component are electrically connected to the circuit board. The cable assembly includes an external connector configured to connect to a peripheral circuit. The voltage conversion component can convert an AC voltage to a first DC voltage. The DC voltage conversion component can convert the first DC voltage to a second DC voltage. The power supply further includes a DC voltage conversion card to convert the second DC voltage to a third DC voltage. The cable assembly outputs the third DC voltage when the DC voltage conversion card is engaged with the connector, and the cable assembly outputs the second DC voltage when the DC voltage conversion card is disengaged from the connector. | 11-05-2015 |
20150316970 | BUDGETING FOR POWER CONSUMPTION IN A CHASSIS ENVIRONMENT THAT INCLUDES A PLURALITY OF INTEGRATED TECHNOLOGY ELEMENTS - Methods, apparatuses, and products for budgeting for power consumption in a chassis environment that includes a plurality of integrated technology elements (‘ITEs’), each ITE having power utilization information indicating an average power consumption of the ITE, including: determining, by a chassis management module, a total power requirement value based on a sum of the average power consumption for each ITE; increasing, by the chassis management module, the total power requirement value in dependence upon a predetermined power utilization delta; identifying, by the chassis management module, one or more power supplies to provide power to the ITEs in the chassis environment in dependence upon power delivery capabilities of the one or more power supplies and the total power requirement value; and powering on the identified one or more power supplies by the chassis management module. | 11-05-2015 |
20150326403 | PSE CONTROLLER IN PoE SYSTEM DETECTS DIFFERENT PDs ON DATA PAIRS AND SPARE PAIRS - A PSE includes a PSE controller that performs a handshaking routine with any PDs connected to the data wire pairs and spare wire pairs and applies power to the data wire pairs and spare wire pairs, via a switch, if certain conditions are met. Two different levels of currents are supplied to different terminals of the PSE controller that are connected to the data wire pairs and the spare wire pairs, and the resulting voltages are measured. The voltages are used to determine the PD impedances at the ends of the data wire pairs and spare wire pairs to determine whether a PD is connected to the data wire pair, whether another PD is connected to the spare wire pair, or whether a single PD is connected to both the data wire pairs and the spare wire pairs. | 11-12-2015 |
20150331463 | Power Management Contracts for Accessory Devices - Power management contracts for accessory devices are described. In one or more implementations, a power management contract is established for a system including a host computing device and an accessory device based at least in part upon power exchange conditions observed for the system. The power management contracts define operating constraints for power exchange between components of the system, including at least a power exchange direction and current limits. The host computing device and accessory devices are each configured to renegotiate the power management contract to dynamically change operating constraints in “real-time.” Additionally, different power management contracts may be associated with identifying data corresponding to different types of accessory devices. | 11-19-2015 |
20150331465 | CASCADING STARTUP POWER DRAWS OF ENCLOSURES ACROSS A NETWORK - Some embodiments involve a method of managing power for a first multiple-data-storage-devices enclosure. The method can include: checking out a first token over a network connection from a token pool shared by multiple-data-storage-devices enclosures including the first enclosure, wherein each token of the token pool is available to be checked out by a single device and wherein the enclosures share power drawn from a power supply; after checking out the first token, initiating activation of a data storage device within the first enclosure; monitoring power consumption within the first enclosure; and releasing the first token back to the token pool when the power consumption in the first enclosure substantially reaches a steady-state after the activation of the data storage device is initiated. | 11-19-2015 |
20150331467 | FLEXIBLE POWER SUPPORT REDUNDANCY BUSWAY SYSTEM - A busway system enables multiple interchangeable power support redundancies to be provided to electrical loads. The busway system includes multiple busways extending through an aisle space, where some busways carry power from separate primary power sources, and one or more busways carry power from a secondary power source. Busways are coupled to loads to provide power support directly to the loads, indirectly via devices that distribute power to the loads via branch circuits, etc. The power support redundancy provided to a load is established based at least in part upon which busways are coupled to the load, and power support redundancies can be changed by changing the couplings of particular busways with the loads. The busways can extend through the aisle space in a staggered configuration to enable load balancing between busways by restricting loads in certain regions of the aisle space to coupling with certain busways and not others. | 11-19-2015 |
20150333616 | SYSTEMS AND METHODS FOR RECTIFYING A VOLTAGE USING AN EMBEDDED DIRECT-CURRENT-TO-DIRECT-CURRENT CONVERTER - In accordance with embodiments of the present disclosure, a voltage rectifier may include: an AC/DC converter, a first DC/DC converter, and a second DC/DC converter. The AC/DC converter may be configured to convert an AC source voltage to a DC bus voltage. The first DC/DC converter may be configured to convert the bus voltage to a DC compensating voltage having an AC ripple to compensate for AC ripple of the bus voltage. The second DC/DC converter may be configured to convert a DC compensated bus voltage to a DC output voltage, wherein the DC compensated bus voltage is equal to a difference between the bus voltage and the compensating voltage. | 11-19-2015 |
20150333918 | POWER OVER ETHERNET ENABLED SENSOR AND SENSOR NETWORK - According to one aspect, embodiments herein provide a PoE sensor comprising a housing, sensing circuitry disposed within the housing and configured to detect a physical phenomenon outside the housing, at least one internal power source equipment (“PSE”) circuit disposed within the housing and configured to transmit PoE power and data to at least one downstream sensor, and powered device (“PD”) circuitry disposed within the housing, coupled to the sensing circuitry and the at least one internal PSE circuit, and configured to receive PoE power and data from at least one element of PSE external to the housing, transmit PoE power to the sensing circuitry to initiate operation of the sensing circuitry, and transmit PoE power and data to the at least one internal PSE circuit to initiate transmission of PoE power and data to the at least one downstream sensor. | 11-19-2015 |
20150346786 | METHOD AND APPARATUS FOR PERFORMING POWER MANAGEMENT FUNCTIONS - A method and apparatus are provided by which a mobile device performs power management functions. According to some embodiments, a controller detects a pattern of push events on at least a button of the mobile device and determines whether the detected pattern matches the stored pattern or not. The controller upon detecting that the detected pattern matches the stored patterns, transmits a signal to a processor of the mobile device to perform a predetermined power management function on the mobile device when the detected pattern matches the stored pattern wherein the predetermined function is associated with the stored pattern. | 12-03-2015 |
20150346789 | POWER SUPPLY SYSTEM FOR AN INFORMATION HANDLING SYSTEM AND POWER SUPPLY METHOD THEREOF - A power supply system is provided to control one or more power supply units associated with an information handling system. A first power supply unit and a second power supply unit are electrically coupled to the information handling system. A controller is provided in communication with both the first and second power supply units. The controller functions to select one of the first and second power supply units to electrically power the information handling system. The non-selected unit generates zero output. | 12-03-2015 |
20150346791 | Power Sequencing For Embedded Flash Memory Devices - A system and method for improved power sequencing within an embedded flash memory device is disclosed. | 12-03-2015 |
20150346803 | Integrated Circuit with Interface Circuitry, and an Interface Cell for Such Interface Circuitry - An integrated circuit is provided with interface circuitry used to provide an interface between functional circuitry of the integrated circuit and components external to the integrated circuit. The interface circuitry includes a plurality of interface cells having interface components configured to operate from a first power supply. Each interface cell incorporates a power supply line section extending across its width and configured to cooperate with power supply line sections of other interface cells to provide a power supply line structure shared by the plurality of interface cells, for provision of the first power supply to the interface components. Each power supply line section includes a first supply line portion and a second supply line portion, the first supply line portion being sized to support a current carrying constraint of the interface circuitry, whilst the second supply line portion is sized insufficiently to support that current carrying constraint. Instead, each interface cell is arranged within the interface circuitry such that the second supply line portion is connected to a current carrying structure external to that interface cell, such that the second supply line portion in combination with the connected current carrying structure is sized sufficiently to support the current carrying constraint. Such an approach enables a reduction in the area required for the power supply line structure, hence enabling a reduction in the size of the interface circuitry, and accordingly a corresponding reduction in the required size of the integrated circuit. | 12-03-2015 |
20150355694 | INFORMATION PROCESSING APPARATUS, SYSTEM, MANAGEMENT APPARATUS, AND POWER STATUS CONTROL METHOD - It is sensed that an event has occurred which is a target of an event policy to transition power status with an event occurrence as a trigger, a setting of the event policy is acquired, it is determined whether or not a loop of power status transition has occurred, and the event policy is deactivated if the loop of the power status transition has occurred. | 12-10-2015 |
20150355695 | POWER-MANAGER CONFIGURATION UPLOAD AND DOWNLOAD METHOD AND SYSTEM FOR NETWORK MANAGERS - A reconfigurable network-equipment power-management system, comprising: a power-controller device having a serial interface for communicating with a user, and a plurality of power-control ports that are able to interrupt operating power to a corresponding plurality of co-located computer data network appliances; a user configuration file for affecting said plurality of power-control ports; a memory disposed in the power-controller device and providing for storage of the user configuration file; and a file transfer mechanism for importing and exporting the user configuration file to said user via said serial interface. | 12-10-2015 |
20150355696 | COMPUTER PORT CONTROL - A system can include a processor; memory operatively coupled to the processor; a chipset operatively coupled to the processor; ports where each of the ports includes a respective power supply line; a switch; a hub operatively coupled to the ports and to the chipset for data transfer via an in-band protocol; and a microcontroller operatively coupled to the chipset, to the switch and to the hub where the microcontroller includes circuitry that controls the switch to transfer data to one of the ports via an out-of-band protocol and where the microcontroller includes circuitry that controls supply of power to the power supply lines. | 12-10-2015 |
20150362966 | POWER OVER ETHERNET MIDSPAN INJECTION METHOD AND APPARATUS - A PoE midspan injector constituted of: an Ethernet device side data port; a PD side data port; a plurality of pairs of data wires coupling the Ethernet device and PD side data ports; a power reception port; a pair of first type coils; and a pair of second type coils, each second type coil coupled in series to a respective first type coil thereby forming a coil set, wherein the power reception port is coupled to each wire of a pair of data wire pairs at a respective power node, via a respective coil set, wherein the impedance of the first type coil is greater than the impedance of the second type coil when data is being transmitted at a minimum frequency, and wherein the impedance of the second type coil is greater than the impedance of the first type coil when data is being transmitted at a maximum frequency. | 12-17-2015 |
20150362968 | COMMON CONTROLLER OPERATING MULTIPLE STORAGE DRIVES - A mass data storage system includes a number of communicatively coupled storage drives powered by one or more power supplies. A common controller selectively connects power and a data signal to a desired storage drive via instructions within a control signal received by the common controller. The common controller includes switches that selectively connect power to a voice coil motor and a spindle motor of the desired storage drive. The common controller further includes a switch that controls the preamp of the desired storage drive and a switch that controls the flow of data to and from the desired storage drive. | 12-17-2015 |
20150362970 | INTEGRATED CIRCUIT WITH MULTI-VOLTAGE INPUT/OUTPUT (I/O) CELLS - An integrated circuit (IC) includes a first I/O cell, a logic cell, a trigger signal generation circuit, and a second I/O cell having a voltage selection pin. I/O interfaces of the first I/O cell receive first and second supply voltages, respectively, and I/O interfaces of the second I/O cell receive third and fourth supply voltages, respectively. The first I/O cell generates a first trigger signal when the first supply voltage reaches a first predetermined voltage. The logic cell receives the first trigger signal and generates a safe-state signal. The trigger signal generation circuit generates a second trigger signal when the third supply voltage reaches a second predetermined voltage. The voltage selection pin receives the safe-state signal and the second trigger signal and sets the second I/O cell in a safe-state mode, which protects the second I/O cell from over voltage damage. | 12-17-2015 |
20150362971 | BUDGETING FOR POWER CONSUMPTION IN A CHASSIS ENVIRONMENT THAT INCLUDES A PLURALITY OF INTEGRATED TECHNOLOGY ELEMENTS - Methods, apparatuses, and products for budgeting for power consumption in a chassis environment that includes a plurality of integrated technology elements (‘ITEs’), each ITE having power utilization information indicating an average power consumption of the ITE, including: determining, by a chassis management module, a total power requirement value based on a sum of the average power consumption for each ITE; increasing, by the chassis management module, the total power requirement value in dependence upon a predetermined power utilization delta; identifying, by the chassis management module, one or more power supplies to provide power to the ITEs in the chassis environment in dependence upon power delivery capabilities of the one or more power supplies and the total power requirement value; and powering on the identified one or more power supplies by the chassis management module. | 12-17-2015 |
20150364941 | MOBILE TERMINAL WITH A RECHARGEABLE BATTERY AND METHOD FOR DISCHARGING THE RECHARGEABLE BATTERY - A method for discharging a rechargeable battery of a mobile terminal is described, wherein the terminal has a processor system. The method comprises the steps of determining a charge status of the rechargeable battery, comparing the charge status with a threshold value and, if the charge status exceeds the threshold value, discharging the rechargeable battery by increasing the energy consumption of the processor system. A computer program product for executing the process, a mobile terminal and a motor vehicle which comprises the described mobile terminal, are moreover described. | 12-17-2015 |
20150372535 | POWER SYSTEM RECONFIGURATION WITH AUTOMATIC TRANSFER SWITCH - Reconfiguring a power system for an electrical load includes establishing a secondary feed to an electrical load that is receiving power from a primary power source. A set of secondary feed lines is coupled between a donor power source and a power input to the electrical load such that the set of secondary feed lines is configured to supply power from the donor power source to the electrical load. An automatic transfer switch is coupled in parallel with the set of secondary feed lines. The electrical load is transferred by the automatic transfer switch from the donor power source to the primary power source for the reconfiguration. | 12-24-2015 |
20150378408 | REDUNDANT SECONDARY POWER SUPPORT SYSTEM - A concurrently maintainable secondary power distribution system enables redundant secondary power support to electrical loads, which receive independent primary power support from separate primary power systems, via a secondary power busway. Separate bus ducts, each carrying power from a secondary power system, are coupled to opposite ends of the busway. Each bus duct can carry power from a separate secondary power system, and switching devices bridging the connections between the bus ducts and the power busway can selectively switch the power busway from one of the bus ducts to the other bus duct, thereby switching between one of the secondary power systems. The separate secondary power systems can be coupled together at various points in the respective power systems, so that one or more portions of one of the secondary power systems can distribute power received from an upstream portion of another one of the secondary power systems. | 12-31-2015 |
20150378410 | ADVANCED PoE POWER CONTROL - A power sourcing equipment (PSE) device including a power over Ethernet (PoE) interface. A processor is coupled to the PoE interface. A memory is coupled to the processor and includes instruction that, when executed by the processor, cause the processor to perform a number of functions. The processor may supply power at a first power level to a PD that is coupled to the PoE interface. The processor may then determine an actual power consumption of the PD. The processor may then send a first Link Layer Discovery Protocol (LLDP) packet to the PD over the PoE interface that includes first proposed power level information that is based on the actual power consumption of the PD. Then processor may then supply power to the PD at a second power level that is different from the first power level. | 12-31-2015 |
20150380968 | UNINTERRUPTABLE POWER SUPPLY SYSTEM AND METHOD - An uninterruptable power supply (UPS) system/method providing power line conditioning and power factor correction (PFC) that incorporates centralized battery backup energy storage architecture is disclosed. The system generally comprises an AC-DC power supply with active PFC (power factor correction) function, a battery transfer switch, an isolated battery charger placed between the utility power source and battery strings, battery strings connecting the battery charger and the battery transfer switch, EMI/Lightning circuitry that provides lighting/line surge protection as well noise suppression functions, and a controller monitoring the quality of the utility power source. Uninterruptable power for data centers is achieved in this context via use of the battery strings, battery transfer switch, battery charger, and controller system configuration. Disclosed methods associated with this system generally permit the UPS to operate in a distributed fashion in support of computing systems within data centers. | 12-31-2015 |
20150380985 | MICRO POWER OUTAGE COMPENSATING MODULE FOR A SERVER - A micro power outages compensation module for at least one server includes one or more capacitive storage element for storing electrical energy which is releasable for compensating the outages, the module futher including a controller for the charging and/or the discharge of the capacitive storage element(s), limiting the charging and/or discharge current of the capacitive storage element(s) sufficiently to enable hot plugging and/or unplugging of the module even during operation of the server. | 12-31-2015 |
20160004285 | ELECTRONIC DEVICE AND POWER SUPPLYING METHOD THEREOF - An electronic device and a power supplying method thereof are provided. An electronic device includes a host and a power supply. The host receives a power via a power supply path. The power is transmitted to the host via the power supply path. The power supply detects state changes of a plurality of supply current values at the power supply path obtained by the host from the power supply at a plurality of time intervals, so as to generate a determining result. A voltage value of the power is changed according to the determining result. | 01-07-2016 |
20160004287 | PORTABLE ELECTRONIC DEVICE AND POWER MANAGEMENT METHOD THEREOF - A portable electronic device with OTG functionality is provided. The portable electronic device includes a USB connector, a processor and a power management module. When the USB connector is coupled to a USB device, the processor provides a switching signal according to identification data of the USB device. According to the switching signal, the power management module selectively provides a first power signal to the USB device, so as to power the USB device, or receives a second power signal from the USB device for charging a battery. The USB connector has an identification pin coupled to a ground. | 01-07-2016 |
20160011914 | DISTRIBUTED POWER DELIVERY | 01-14-2016 |
20160013643 | DYNAMIC POWER RAIL CONTROL FOR CLUSTERS OF LOADS | 01-14-2016 |
20160026226 | Method and Apparatus to Search Data and Notify and Update a User - The present invention allows a user to subscribe to multiple concurrent channels of syndicated content published over the internet. The user receives notification of the content which is new since the previous time that the user accessed a channel. In one embodiment, the user can select the frequency of checking for new content. In another embodiment, the system or user can select among network access profiles that balances the tradeoff between battery usage and user responsiveness. In addition, the user can specify a maximum number of changes to be presented and the user can specify how far back in time to check. | 01-28-2016 |
20160026606 | NODE CARD MANAGEMENT IN A MODULAR AND LARGE SCALABLE SERVER SYSTEM - A system for a system and method for provisioning of modular compute resources within a system design are provided. | 01-28-2016 |
20160027480 | Hardware-Accelerated Dynamic Voltage And Frequency Scaling - One or more values associated with a first configuration setting for a first circuit may be stored in a first set of one or more registers when an operation of the first circuit is based at least in part on one or more values associated with a second configuration setting stored in a second set of one or more registers. In response to receiving an indication of a change in an operating frequency or voltage of the first circuit, the one or more values stored in the second set of one or more registers may be changed by loading the one or more values associated with the first configuration setting stored in the first set of one or more registers into the second set of one or more registers in a parallel fashion. | 01-28-2016 |
20160034009 | THERMAL PROTECTION METHOD FOR REFERRING TO THERMAL HEADROOM TO CONTROL SELECTION OF COMPUTING POWER SETTING OF PROCESSOR-BASED SYSTEM AND RELATED MACHINE READABLE MEDIUM - A thermal protection method includes: determining a thermal headroom based on a difference between a current temperature and a predetermined threshold temperature; determining a power budget based on the thermal headroom; and utilizing a processor-based system to employ a target computing power setting according to at least the power budget, wherein selection of the target computing power setting is constrained by the power budget to ensure that the target computing power setting does not make the current temperature exceed the predetermined threshold temperature when employed by the processor-based system. | 02-04-2016 |
20160034333 | POWER SUPPLY DEVICE, CONTROLLER THEREOF, METHOD OF CONTROLLING THE SAME, AND ELECTRONIC DEVICE EMPLOYING THE SAME - A controller, which is installed on a power supply device complying with the USB (Universal Serial Bus)-PD (power delivery) specification and controls a power supply circuit for supplying a bus voltage to a power receiving device via a bus line is disclosed. The controller includes an interface circuit, which communicates with the power supply device via the bus line; a processor, which transmits and receives messages to and from the power receiving device by using the interface circuit, determines a voltage level of the bus voltage, and sets the determined voltage level to the power supply circuit; and a watchdog timer, which is cleared whenever the processor executes a ping-related command for transmission or reception of ping messages to or from the power receiving device, wherein an overflow period of the watchdog timer is set to be longer than a period for the ping messages. | 02-04-2016 |
20160043634 | CONVERTERS TO PROVIDE LIGHT LOAD OUTPUT - A power factor correcting (PFC) boost converter is to convert an input to an intermediate DC signal. A direct current (DC)-DC converter is to receive the intermediate DC signal and generate an output associated with an online condition. In response to a light-load indication, the PFC boost converter is to assume a disabled status to pass a rectified input to the DC-DC converter. The DC-DC converter is to convert the rectified input to generate the output associated with a light-load condition. The light-load condition output is provided at a voltage lower than the online condition output. | 02-11-2016 |
20160048183 | Multiphase Voltage Regulator Using Coupled Inductors - In response to a condition not being met, asserting a control input of a driver to close a low side switch in a phase leg of a multiphase voltage regulator using coupled inductors, de-asserting the control input in response to a signal to close a high side switch of the phase leg, and asserting the control input in response to a signal to open the high side switch; and in response to the condition not being met, de-asserting the control input, asserting the control input in response to a signal to close a high side switch of another phase leg, and de-asserting the control input in response to a signal to open the high side switch of the other phase leg and to the current in the phase leg being less than a threshold. | 02-18-2016 |
20160054747 | ON-CHIP SUPPLY GENERATOR USING DYNAMIC CIRCUIT REFERENCE - Described is an apparatus of a supply generator using dynamic circuit reference. The apparatus comprises: a charge pump to receive a first power supply and to generate a second power supply; a voltage regulator to operate using the second power supply, the voltage regulator having an input to receive a reference and to generate a third power supply; and a reference generator to operate using the first power supply, the reference generator to provide the reference according to an output of a voltage sensing block. | 02-25-2016 |
20160054771 | SYSTEM AND METHOD FOR CONFIGURING UPS OUTLETS - A system for configuring outlet groups on an uninterruptible power supply (UPS) is provided. The system includes a computer system connected via a communication link to the UPS. The computer system includes a memory and at least one processor coupled to the memory. The at least one processor is configured to receive data pertaining to at least one device, receive data pertaining to a plurality of outlet groups associated with the UPS, determine one configuration profile for the at least one device, the one configuration profile being included in a plurality of configuration profiles, determine one outlet group of the plurality of outlet groups, the one outlet group being configured for the one configuration profile, associate the one configuration profile with the one outlet group and provide an instruction for a user to connect the at least one device in an outlet included in the one outlet group. | 02-25-2016 |
20160054772 | METHOD AND TERMINAL DEVICE FOR CONTINUOUS POWER SUPPLY TO EXTERNAL CARRIER - The invention discloses a method and terminal device for continuously supplying power to external element. The method comprises the following steps: when a terminal device switches from a first operating system to a second operating system, a proxy external element application is generated in the second operating system so that the proxy external element application is associated with an external element; by means of this proxy external element application, the external element which is associated with the application in the first operating system before the above switch operation is continuously supplied with power after the above switch operation. | 02-25-2016 |
20160054773 | Method and Apparatus for Providing Telemetry for Power Management Functions - A method and apparatus for providing telemetry for use in power control functions is disclosed. A system includes an integrated circuit (IC) having a first power management circuit. The IC also includes a number of functional circuit blocks within a number of different power domains. A second power management circuit is implemented external to the IC and includes a number of voltage regulators. Each of the power domains is coupled to receive power from one voltage regulators. During operation, the first power management circuit may send commands requesting the change of one or more voltages provided to the IC. The second power management circuit may respond by performing the requested voltage change(s), and may also provide telemetry data to the first power management circuit. The second power management circuit may also provide telemetry data responsive to receiving a no operation command from the first power management circuit. | 02-25-2016 |
20160056635 | Systems and Methods for Tracking the Status and Usage Information of a Wireless Power Transmission System - Disclosed here are methods and systems to generate and distribute information about the status and usage of a wireless power transmission system. Specifically, the present disclosure may describe a process to generate information through various software running in different components of the wireless power transmission system. Additionally, the disclosure may also include a wireless power transmission system architecture which may include components, such as a remote information service, a remote information service manager, a remote information service database, one or more authorized computing devices, and a plurality of system information generators. System information generator may refer to components, such as wireless power transmitters, computing devices/non computing devices (coupled with power receiver devices), a system management service, and distributed system database. The aforementioned components within the wireless power transmission system may be used to automatically and autonomously generate, store, transmit, and distribute system status, usage, and statistics or metrics information in order to be edited or reported by authorized and authenticated users. The information may also be used to increase the accuracy of strategic marketing, sales focus, to alert customer service of system problems and performance issues, and for billing end users. | 02-25-2016 |
20160062425 | Power Management for Battery-Powered Devices - A system comprises: a power hub; a charging battery electrically connected to the power hub; a plurality of battery-powered electronic devices electrically connected to the power hub; and a power distribution controller within the power hub. The power distribution controller shares power between the plurality of battery-powered electronic devices based on: which tasks, from multiple pending tasks on the plurality of battery-powered electronic devices, are selected for completion; a power requirement for each of the tasks that have been selected for completion; a priority of the tasks, from the multiple pending tasks on the plurality of battery-powered electronic devices, that have been selected for completion; and a quantity of amp-hours that are available to charge batteries on the plurality of battery-powered electronic devices. | 03-03-2016 |
20160062426 | Chip Device and Electronic System thereof - An electronic system includes a chip device, for operating an Advanced Configuration and Power Interface operating system; and a first computing device, coupled to the chip device via a first event pin and a first clock pin; wherein the first computing device transmits a first event signal to the chip device via the first event pin and transmits a first clock signal to the chip device via the first clock pin, for controlling the chip device to perform a first event. | 03-03-2016 |
20160062427 | PULSE WIDTH MODULATION BASED REAL-TIME CLOCK SYSTEM AND ASSOCIATED METHOD - A pulse width modulation (PWM) based Real-time clock (RTC) system includes a voltage regulator circuit, a capacitor, an RTC circuit and an on-off logic. The voltage regulator circuit is arranged to generate a regulated voltage to a connection node when enabled; the capacitor is coupled to the connection node; the RTC circuit is coupled to the connection node; and the on-off logic is coupled to the voltage regulator circuit and arranged to alternately enable and disable the voltage regulator circuit. | 03-03-2016 |
20160062428 | POWER SUPPLY APPARATUS - Disclosed herein is a power supply apparatus that includes a main board, a power unit, a control unit, pads and a pin. The control unit and the power unit are stacked on the main board and at a position in adjacent to a load; the plurality of pads are distributed along the lateral side of the power unit and the lateral side of the control unit; the plurality of pins and pads are electrically coupled and electrically coupled with the main board, the control unit and the power unit; the control unit is configured to control the power unit, so that the power unit supplies electricity to the adjacent load. | 03-03-2016 |
20160062429 | Power Management for Battery-Powered Devices - A method enables the sharing of power between multiple battery-powered electronic devices. A determination is made as to which tasks, from multiple pending tasks on multiple battery-powered electronic devices, are to be selected for completion. A power requirement for each of the tasks that have been selected for completion is determined, and the tasks are prioritized. One or more processors calculates a quantity of amp-hours that are available to charge batteries on the battery-powered electronic devices. A power distribution hardware controller then distributes available amp-hours from the battery source to one or more of the battery-powered electronic devices based on the priority of the tasks. | 03-03-2016 |
20160062432 | PERSISTENT POWER OVER ETHERNET - Embodiments described herein improve availability of a power plane in a network device by using a PoE manager that is separate from an operating system in the network device. For example, when the operating system (or a PoE application executing in the operating system) becomes unavailable, either because of failure or system upgrade, the PoE manager continues managing a power plane in the network device such that connected PDs continue to receive DC power. Stated differently, by using a PoE manager that is separate from the operating system, there is no fate sharing between the PoE manager and the operating system. If the operating system is unavailable, the PoE manager continues to provide the same power allotment to the PDs. As such, updates and failures which previously made the power plane unavailable no longer affect the power supplied to the PDs. | 03-03-2016 |
20160062447 | METHOD AND COMPUTER-READABLE MEDIUM FOR DYNAMICALLY MANAGING POWER OF MULTI-CORE PROCESSOR - A method and a computer-readable medium for dynamically managing power of a multi-core processor of a computing system are provided. The multi-core processor generates a dynamic voltage and frequency scaling (DVFS) table, determines a first index by alternatively selecting either a power budget or a required performance thereof, determines a current thread level parallelism (TLP) of the computing system, selects one of entries according to the current TLP and the first index, and configure first cores and second cores thereof according to a first settings and a second settings of the selected entry. | 03-03-2016 |
20160062448 | SEMICONDUCTOR DEVICE, SEMICONDUCTOR SYSTEM, AND METHOD FOR CONTROLLING THE SAME - A semiconductor device includes a status data signal generating unit receiving a status value of a processor and converting the status value into a status data signal, and a power control signal generating unit generating a power control signal for controlling power supplied from a power management integrated circuit (PMIC), the PMIC supplying power to the processor from outside of processor. The power control signal includes a power off signal for interrupting the power supplied from the PMIC and the status data signal is transmitted to the PMIC together with the power off signal. | 03-03-2016 |
20160070321 | Providing Lifetime Statistical Information For A Processor - In one embodiment, a processor includes multiple cores and a power control unit (PCU) coupled to the cores. The PCU has a stress detector to receive a voltage and a temperature at which the processor is operating and calculate lifetime statistical information including effective reliability stress, maintain the lifetime statistical information over multiple boot cycles of a computing system such as personal computer, server computer, tablet computer, smart phone or any other computing platform, control one or more operating parameters of the processor based on the lifetime statistical information, and communicate at least a portion of the lifetime statistical information to a user and/or a management entity via an interface of the processor. Other embodiments are described and claimed. | 03-10-2016 |
20160070322 | System and Method for Providing Wireless Power Feedback in a Wireless Power Delivery System - A wireless power delivery system includes a wireless power source and a control module. The wireless power source provides power to an information handling system. The control module is in communication with the wireless power source, and is configured to detect a presence signal from the information handling system, to set an output power level for the information handling system based on the presence signal, to receive a signal from the information handling system, and to adjust the output power level based on the signal. | 03-10-2016 |
20160070327 | SYSTEM AND METHOD FOR PEAK CURRENT MANAGEMENT TO A SYSTEM ON A CHIP - Various embodiments of methods and systems for managing current consumption in a portable computing device (“PCD”) are disclosed. A duration of time associated with a maximum allowable current consumption through a voltage regulator is divided into a plurality of N sub-durations. The current consumption for each sub-duration is monitored and a moving sum of current consumption is calculated for a plurality of past sub-durations. Using the sum of current consumption, a current budget for a next sub-duration or next set of consecutive sub-durations may be determined. Subsequently, throttling levels of power consuming processing components may be adjusted such that a maximum allowable current consumption over consecutive N sub-durations may be maintained beneath a peak current threshold without unnecessarily sacrificing processing capacity of the processing components. | 03-10-2016 |
20160077545 | POWER AND PERFORMANCE MANAGEMENT OF ASYNCHRONOUS TIMING DOMAINS IN A PROCESSING DEVICE - A processing device includes a producing processor unit in a first timing domain and a consuming processor unit in a second timing domain that is asynchronous with the first timing domain. A queue is used to convey data between the producing processor unit and the consuming processor unit. A system management unit is to modify one or both of an operating frequency or an operating voltage of one or both of the producing processor unit or the consuming processor unit based on a rate of change of a fullness of the queue. | 03-17-2016 |
20160077564 | Host Device and Terminal Device, and Communication System - A host-side control unit | 03-17-2016 |
20160085283 | ELECTRONIC APPARATUS - An electronic apparatus includes an expansion unit having a battery. The electronic apparatus includes a controller and a display. The controller performs power management of the battery. The display displays a residual capacity of the battery. The controller controls the display such that the display performs pattern display based on the residual capacity. | 03-24-2016 |
20160085285 | POWER CONSUMPTION MANAGEMENT - Embodiments include a system, an apparatus, a device, and a method. A system includes a power module operable to determine respective indicia of power consumed in executing at least one instruction by a first subcircuit and by a second subcircuit of a synchronous circuit. The system also includes a scheduler module operable to direct an execution task to a subcircuit selected from the first subcircuit and the second subcircuit. The subcircuit selection is responsive to the determined respective indicia of power consumption by the first subcircuit and by the second subcircuit. | 03-24-2016 |
20160091939 | TOKEN-BASED POWER-SWITCH CONTROL CIRCUITS - A method for operating an electronic apparatus is provided. The method includes receiving a token, activating a power switch for powering up a core in response to the receiving the token, and outputting the token based on a state of powering up the core. The outputting of the received token is delayed until the state of powering up the core is reached. In one aspect, an electronic apparatus includes a power switch configured to power up to a core is provided. A power-switch control circuit is configured to receive a token, activate the power switch for powering up the core in response to receiving the token, output the received token based on a state of powering up the core. The outputting of the received token is delayed until the state of powering up the core is reached. A plurality of the power-switch control circuits is configured as a ring. | 03-31-2016 |
20160091940 | OVER VOLTAGE PROTECTION FOR A COMMUNICATION LINE OF A BUS - An electronic device has an I/O port, a bus connector and a transistor that is connected between the I/O port and a communications contact of the bus connector. A control circuit is connected to the transistor to maintain a gate voltage of the transistor independent of power supply voltage on a power supply contact of the connector. Other embodiments are also described and claimed. | 03-31-2016 |
20160091945 | SYSTEMS AND METHODS FOR POWER AND THERMAL SUPPORT OF INFORMATION HANDLING RESOURCES - In accordance with embodiments of the present disclosure, an information handling system may include a processor, one or more information handling resources communicatively coupled to the processor, and a management controller communicatively coupled to the processor. The management controller may have stored thereon at least one of a power table comprising parameters for power management of the one or more information handling resources and a thermal table comprising parameters for thermal management of the one or more information handling resources, and firmware comprising instructions executable on the management controller and configured to enable a user of the information handling system to, during runtime of the management controller, create a virtual power and thermal table capable of storing one or more entries, each entry setting forth power parameters and thermal parameters for an information handling resource. | 03-31-2016 |
20160094350 | Automatic Power Budget Management for Power-Over-Ethernet Apparatus - A power-over-Ethernet (PoE) apparatus is provided with PSE controllers that are cooperable to manage a global power budget of the PoE apparatus automatically. Information indicative of the global power budget is conveyed to a group of PSE controllers. The PSE controllers cooperate collectively to allocate the global power budget among themselves automatically and autonomously. This is accomplished in simple fashion without using a separate, programmed microcontroller. Each PSE controller also manages its own local power budget, allocating available local power among its own power sourcing ports. | 03-31-2016 |
20160098070 | VOLTAGE DROOP REDUCTION IN A PROCESSOR - A processor is provided having a common supply rail, and one or more processor cores, where the one or more processor cores share the common supply rail. Each processor core(s) includes a core dIPC value output and a core throttling signal input, and a chip power management logic, which has at least one input for inputting the core dIPC value, a threshold register for a dIPC threshold value, a chip dIPC register for a current global dIPC value, at least one chip dIPC history register for a historic global dIPC value, a subtractor providing an absolute difference of an average historic global dIPC derived from the historic global dIPC value and the current global dIPC value, a magnitude comparator providing a throttling signal when the absolute difference is above the dIPC threshold value, and at least one output for outputting a core throttling signal to the processor core(s). | 04-07-2016 |
20160103473 | POWER CONTROL DEVICE FOR PROCESSOR - A processor has: a power table including a plurality of power control registers each rewritably storing power control information; a condition determiner for rewritably storing plurality of operating conditions (e.g., a comparison address to be compared with the program counter) and determining which one of the plurality of operating conditions is satisfied by a current operation of the processor so as to supply an index signal to select one of the plurality of power control registers based on the determination; and a voltage/clock controller for controlling the power consumption in a control object circuit block according to the power control information in one of the power control registers that is selected by the index signal. | 04-14-2016 |
20160103474 | Providing Per Core Voltage And Frequency Control - In one embodiment, the present invention includes a processor having a plurality of cores and a control logic to control provision of a voltage/frequency to a first core of the plurality of cores independently of provision of a voltage/frequency to at least a second core of the plurality of cores. In some embodiments, the voltages may be provided from one or more internal voltage regulators of the processor. Other embodiments are described and claimed. | 04-14-2016 |
20160109915 | SEMICONDUCTOR DEVICE HAVING IDENTIFICATION INFORMATION GENERATING FUNCTION AND IDENTIFICATION INFORMATION GENERATION METHOD FOR SEMICONDUCTOR DEVICE - A semiconductor device includes an identification information generation circuit having a power supply control circuit whose output voltage is controlled by a control signal, and a memory array having a first cell power line and a second cell power line. The power supply control circuit outputs a first supply voltage and a second supply voltage to a first cell power line and a second power line, respectively, when the control signal is in a first state, and outputs an intermediate voltage to the first cell power line and the second cell power line when the control signal is in a second state. | 04-21-2016 |
20160109920 | POWER LOSS MITIGATION - An implementation of a system disclosed herein provides a method comprising detecting a power loss to an apparatus, isolating the apparatus from a power supply, notifying the apparatus of the power loss, and extending operation of the apparatus for a predetermined time period using a charge reservoir. | 04-21-2016 |
20160116954 | DYNAMIC ADJUSTMENT OF CPU OPERATING FREQUENCY - A system, apparatus, and methods are provided for dynamically adjusting or modifying the operating frequency of a CPU or other processor component, based on a current performance of an application (or applications) executed by the CPU and a target performance, such as a performance level identified in a service level agreement (SLA) associated with the application(s). Multiple thresholds or zones of performance are defined, which may be altered during operation (e.g., based on a profile or predicted CPU workload), and each threshold/zone is associated with a frequency adjustment to implement when the application's performance triggers the threshold or zone. Illustrative adjustments include maximizing the operating frequency, minimizing it, and scaling it up or down one or more discrete levels within a range of frequencies at which the CPU can operate. Thus, CPU operation is adjusted with a primary goal of satisfying application SLAs and a secondary goal of conserving energy. | 04-28-2016 |
20160116955 | COMPUTING SYSTEM AND METHOD FOR RESPECTIVELY DISPLAYING INFORMATION CORRESPONDING TO HOST COMPUTER UNDER BOOTING STATE OR STANDBY STATE - A computing system and method for respectively displaying information corresponding to host computer under booting state or standby state is disclosed in the present invention. In the method, the computing system is provided to determine whether the host computer is under a booting state or a standby state. When the host computer is under the booting state, a display module of the computing system displays the control information of the baseboard management controller. When the host computer is under the standby state, the display module displays the information about BIOS Power-on self-test (POST). | 04-28-2016 |
20160116958 | DELAY SYSTEM FOR DELAYING SHUTDOWN OF SERVER - A system for delaying shutdown of a server is provided. The server includes a data processing unit connected to an external power supply unit. The system includes a delay controlling unit and a discharging unit. The delay controlling unit is switched on to connect the data processing unit to the external power supply unit, so that the external power supply supplies power for the data processing unit. When shut down, the delay controlling unit is switched off, so that the discharging unit discharges to continue supplying power to the data processing unit for a predetermined time period. | 04-28-2016 |
20160118895 | CIRCUITS AND METHODS PROVIDING HIGH EFFICIENCY OVER A WIDE RANGE OF LOAD VALUES - An apparatus and method are disclosed for providing efficient operation in a feedback loop having a synchronous buck converter. The synchronous buck converter includes a plurality of individually selectable phases, where each of the phases has a plurality of individually selectable and parallel switching legs. The circuit stores information that associates multiple different load values with respective configuration settings that each define a number of phases and a number of switching legs. As the load changes, the circuit measures the load and selects an appropriate configuration setting. The circuit applies the selected configuration setting to operate the number of phases and a number of parallel switching legs in the buck converter. | 04-28-2016 |
20160124477 | DEVICE COMMUNICATION WITH POWER DISTRIBUTION UNITS - In an embodiment, a data processing system comprises: a power distribution circuit that is configured to distribute electrical power to one or more network devices; a microcontroller; data transceiving logic that is configured to establish connectivity with the one or more network devices and the power distribution apparatus; receive a first data stream from a first network device; generate a combined signal by combining the first data stream with a power signal into the combined signal; one or more ports that are configured to transmit the combined signal to the one or more network devices. | 05-05-2016 |
20160124478 | CONFIGURABLE POWER MANAGEMENT INTEGRATED CIRCUIT - In accordance with aspects of the present invention, a programmable power management integrated circuit is presented. An integrated circuit can include a plurality of cells, each cell including at least one driver for a switchable element; and a switch matrix and controller coupled to the plurality of cells, the switch matrix and controller being programmable to configure at least one power channel, each power channel including at least one cell of the plurality of cells. A method of providing a power management system using the integrated circuit includes receiving power requirements corresponding to a target device; providing implementation options to achieve the power requirements; selecting a solution from the implementation options; generating a programming file for a power management integrated circuit, and generating a printed circuit board design for the power management integrated circuit. | 05-05-2016 |
20160126859 | SYSTEMS AND METHODS FOR CONTROLLING INRUSH ELECTRICAL CURRENTS USING A VIRTUAL MILLER CAPACITOR AND A METAL-OXIDE-SEMICONDUCTOR FIELD-EFFECT TRANSISTOR (MOSFET) - Systems and methods for controlling inrush electrical currents (e.g., resulting from power-on event, etc.) using a virtual Miller capacitor and a metal-oxide-semiconductor field-effect transistor (MOSFET). In an illustrative, non-limiting embodiment, a method may include receiving alternating current (AC) power and providing the AC power to an electronic circuit, at least in part, via a bulk capacitor coupled to a field-effect transistor (FET), wherein the FET is coupled to a virtual Miller capacitor circuit configured to limit an amount of AC inrush current provided to the bulk capacitor. | 05-05-2016 |
20160127135 | Negotiable PoE Voltage for Improved Efficiency Based on Cable and Powered Device Losses - A powered device (PD) receives a Power-over-Ethernet (PoE) voltage to power the PD over a cable from Power Source Equipment (PSE) configured to output a requested one of multiple candidate PoE voltages to the cable. The PD determines a preferred PoE voltage among the multiple candidate PoE voltages that minimizes a total power loss due to (i) the cable, and (ii) a power loss of the PD that would result if the PD were powered through the cable. The PD requests the preferred PoE voltage from the PSE, receives the preferred PoE voltage from the PSE, and operates at the preferred PoE voltage. | 05-05-2016 |
20160132083 | Adaptive graphics subsystem power and performance management - Examples are disclosed for adaptive graphics subsystem power and performance management including adjusting one or more power management or performance attributes for a graphics subsystem for a computing platform based on a comparison of a current quality metric to a target quality metric. The current and target quality metric to be separately determined based on current and target quality of service (QoS) values for power management and performance for at least portions of the computing platform. | 05-12-2016 |
20160132085 | SCALABLE DATA COLLECTION FOR SYSTEM MANAGEMENT - A system with a local data collector that collects power management data for a subsystem. The local data collector can determine whether a first formatting associated with a first channel between the local data collector and a system power management data collector is equivalent to a second formatting associated with a second channel between the local data collector and the system power management data collector, and in response to a determination that the first formatting and second formatting are not equivalent format the power management data according to the first formatting; store the power management data formatted according to the first formatting in a first location in a memory; format the power management data according to the second formatting; and store the power management data formatted according to the second formatting in a second location the memory. | 05-12-2016 |
20160132086 | DISPLAY DEVICE AND DISPLAY SYSTEM - The display system ( | 05-12-2016 |
20160132087 | COMPUTER SYSTEM AND POWER CIRCUIT THEREFOR - A computer system includes a display, a motherboard and a power circuit. The display includes a video interface. The motherboard includes a super input-output (SIO) chip. The power circuit includes a first switch unit, a second switch unit, a third switch unit, and an oscillator. When the display is powered on, the video interface outputs a high level signal, the first switch unit is turned on, a power supply powers the oscillator through the first switch unit. The oscillator operates and outputs a high level signal, the second switch unit is turned on, a power-on signal pin of the SIO chip receives a low level signal, and the motherboard is turned on. | 05-12-2016 |
20160134427 | GATEWAY, POWER DEVICE CONTROLLING METHOD AND POWER DEVICE INFORMATION OBTAINING METHOD - A gateway, a power device controlling method and a power device information obtaining method are provided. A storage of the gateway includes at least one user queue and a power device queue. The gateway arranges at least one control command received from at least one user apparatus into the power device queue in a first-in-first-out manner so that a power device executes the at least one control command according to an order of the power device queue and transmits at least one result to the gateway. The gateway arranges the at least one result corresponding to the at least one control command into the at least one user queue and transmits the at least one result of the at least one user queue to the at least one user apparatus. | 05-12-2016 |
20160139644 | Selective Event Reaction Processing in Power Control - A reaction mode is selected through a user interface from a plurality of reaction modes that includes a fast reaction mode, by which each of a plurality of values assigned to a process variable over time are individually evaluated in an event decision that asserts an occurrence of a power event. The reaction modes also include a slow reaction mode by which none of the values assigned to the process variable over time are individually evaluated in the event decision. Measurements of a signal monitored by a power controller are assigned to the process variable as its values. The event decision evaluates the process variable values against an event boundary in accordance with the selected reaction mode. A transition between conducting and non-conducting states in a switch circuit is compelled in response to the occurrence of the power event as determined from the event decision. | 05-19-2016 |
20160147273 | MIXED CELL TYPE BATTERY MODULE AND USES THEREOF - Various embodiments are generally directed to operation of a computing device powered with first and second sets of energy storage cells, the cells of the first set structurally optimized for higher density storage of electric power, and the cells of the second set structurally optimized for providing electric power at a high electric current level. A battery module includes a casing, a first cell disposed within the casing to store electric energy with a high density, and a second cell disposed within the casing to provide electric energy stored therein with a high current level. Other embodiments are described and claimed herein. | 05-26-2016 |
20160149422 | POWER CABINET MANAGEMENT METHOD AND APPARATUS, AND BATTERY MANAGEMENT SYSTEM - The present application provides a power cabinet management method and apparatus and a battery management system. The power cabinet management method comprises: detecting each battery power cabinet in a battery power cabinet array in real time; allowing a detected faulty battery power cabinet to exit from the battery power cabinet array; and excluding the faulty battery power cabinet from parameter calculation of the battery power cabinet array. The technical solutions provided by the embodiments of the present application may achieve the following beneficial effect: When a certain battery power cabinet in a battery power cabinet array of a battery energy storage system fails, the faulty battery power cabinet can automatically exit without affecting the normal operation of the entire battery energy storage system. | 05-26-2016 |
20160149566 | Devices, Methods and Computer Readable Storage Media Storing Instructions for Generating Pulse Signals - Devices, methods, and computer-readable storage media relate to generating a voltage according to a bit pattern. The device may include a memory storing a plurality of bit patterns. Each bit pattern may include at least one set of bits representing a transition time, at least one set of transition bits including a voltage state for each channel for the transition time, and a set of non-transition bits including a voltage state for each channel for a counter value that is different from the transition time. The method may include a pulse control module configured to cause an output of a voltage from each channel corresponding to the voltage state. By storing the transition times and the associated bits instead of the full waveform pattern, full advantage of the speed of the device can achieved while the amount of data to be stored can be minimized. | 05-26-2016 |
20160154446 | METHODS, SYSTEMS AND APPARATUS FOR ENABLING AN ACCESSORY FOR USE WITH A HOST DEVICE | 06-02-2016 |
20160154447 | SEMICONDUCTOR DEVICE | 06-02-2016 |
20160154448 | ELECTRONIC DEVICE AND POWER CONTROL METHOD BETWEEN ELECTRONIC DEVICES | 06-02-2016 |
20160161999 | Method and Apparatus for Powering on Terminal - A method and an apparatus for powering on a terminal. The method for powering on a terminal includes collecting, by a pressure detection sensor disposed inside a housing of a terminal, a value of pressure; sending, by the pressure detection sensor, an interrupt request to a power management unit (PMU) when the value of the pressure collected by the pressure detection sensor meets a set condition; and outputting, by the PMU, a power supply maintaining signal after receiving the interrupt request, so as to power on the terminal. | 06-09-2016 |
20160163480 | Techniques to Route Power to a USB Host in Dead Battery Condition - Examples may include routing power between a Universal Serial Bus (USB) host and a USB device. In some examples, the power may be routed from a power source at the USB device while the USB host is in a dead battery condition. Various switches at the USB host may be capable of selectively switching to route power from the power source to provide operating power to circuitry at the USB host. | 06-09-2016 |
20160170456 | POWER MANAGEMENT INTEGRATED CIRCUIT | 06-16-2016 |
20160170457 | POWER CONTROL CIRCUIT AND ELECTRONIC DEVICE | 06-16-2016 |
20160170458 | COMPUTER | 06-16-2016 |
20160170459 | POWER MANAGEMENT IN A DISCRETE MEMORY PORTION | 06-16-2016 |
20160170460 | BACKUP POWER KEYBOARD | 06-16-2016 |
20160172978 | SWITCHING POWER SOURCE APPARATUS | 06-16-2016 |
20160179152 | COMMUNICATION DEVICE, CONTROL METHOD FOR COMMUNICATION DEVICE, AND STORAGE MEDIUM | 06-23-2016 |
20160179155 | Apparatus and Methods for Power Conflict Resolution in Power Delivery Systems | 06-23-2016 |
20160179156 | HYBRID POWER MANAGEMENT APPROACH | 06-23-2016 |
20160181830 | ADAPTIVE CHARGE CURRENT FOR A BATTERY | 06-23-2016 |
20160181923 | POWER SUPPLY CIRCUIT AND NOTEBOOK COMPUTER INCLUDING THE SAME | 06-23-2016 |
20160187944 | DYNAMIC HIERARCHICAL PERFORMANCE BALANCING OF COMPUTATIONAL RESOURCES - Systems and methods may provide a set of networked computational resources such as nodes that may be arranged in a hierarchy. A hierarchy of performance balancers receives performance samples from the computational resources beneath them and uses the performance samples to conduct a statistical analysis of variations in their performance. In one embodiment, the performance balancers steer power from faster resources to slower resources in order to enhance their performance, including in parallel processing. | 06-30-2016 |
20160187946 | POWER RECEPTION CONTROL DEVICE, POWER RECEPTION DEVICE, POWER TRANSMISSION AND RECEPTION SYSTEM, AND ELECTRONIC DEVICE - Provided is a power reception device in which power consumption at the time of wireless power supply is reduced. A power reception device is provided with a power reception control device capable of temporarily stopping supply of power supply voltage to a communication control unit for controlling communication in a break period of communication intermittently performed between a power transmission device and a power reception device. In the structure, a clock signal is generated on the basis of a power receiving signal transmitted from the power transmission device, and a period of communication intermittently performed can be measured using the clock signal. Further, a structure may be employed in which supply of power supply voltage to the communication unit in the power reception control device can be stopped in the break period of the communication. | 06-30-2016 |
20160187948 | POWER SUPPLY DEVICE - To make a replacement time difference between two power supply devices as small as possible when the two power supply devices serving as double power supply devices constitute a PLC, a power supply unit includes a temperature detection unit that detects an internal temperature of the own power supply unit, and an output adjustment circuit that adjusts internal power output from the own power supply unit so as to make smaller a difference between a detected temperature by the temperature detection unit of the own power supply unit and a detected temperature by the temperature detection unit included in the other power supply unit attached to a same PLC as the PLC to which the own power supply unit is attached. | 06-30-2016 |
20160187951 | POWER OVER ETHERNET FOR 10GBASE-T ETHERNET - A power over Ethernet (PoE) interface for 10GBase-T Ethernet includes at least one communication channel Ethernet interface including an autotransformer having a center tap for coupling direct current (DC) power. A combination of the geometry of the toroid core of the autotransformer and in some embodiments of a common mode choke, as well as the wire twisting, the wire distribution and the wire routing allow maximizing bandwidth up to or greater than 500 MHz for 10GBase-T performance by increasing the coupling and reducing capacitance across the wires, and results in return and insertion losses and OCL that meet anticipated standards. | 06-30-2016 |
20160191256 | POWER NEGOTIATION IN DAISY-CHAINED SYSTEMS - The invention relates a method and apparatus for distributing power in DC- grid systems, wherein power negotiations are done in a daisy chained system. Multiple mechanisms are presented mitigating the issue of stepwise exploring the power requests along a chain and still keeping the negotiation quick and without many cycles required. | 06-30-2016 |
20160195571 | LOW POWER CURRENT SENSOR | 07-07-2016 |
20160197613 | HIGH-VOLTAGE TOLERANT INPUT VOLTAGE BUFFER CIRCUIT | 07-07-2016 |
20160202714 | LOW DROPOUT VOLTAGE REGULATOR INTEGRATED WITH DIGITAL POWER GATE DRIVER | 07-14-2016 |
20160202741 | ADAPTIVE POWER MANAGEMENT | 07-14-2016 |
20160204628 | POWER BANK APPARATUS FOR MEASURING CAPACITIES OF OTHER POWER BANKS | 07-14-2016 |
20160252938 | DEVICE AND MEMORY CONTROL METHOD | 09-01-2016 |
20160378154 | MITIGATION OF CHARGING INDUCED VOLTAGE OFFSET - Techniques for mitigating voltage offsets are described herein. A method for mitigating voltage offset includes receiving, via a sensor, charging current information. The method also includes adjusting, via a common mode adjustment circuitry, a common mode voltage based on charging current information and a physical layer circuit mode. | 12-29-2016 |
20160378157 | SUPPORTING POWER MANAGEMENT ON POWER-OVER-ETHERNET (POE) ENABLED PORTS - Embodiments of the present invention include systems and methods for controlling power delivery in a power sourcing equipment. In embodiments, a power sourcing equipment that has a higher demand for power that it can provide supplies power according to priorities assigned to the attached devices. In embodiments, the power sourcing equipment may also receive identifying information from a device connected to the port and determines whether the device is a trusted device according to a security policy. If the device is not a trusted device, the power sourcing equipment may not provide any power to the device regardless of whether power is available. | 12-29-2016 |
20160378506 | EFFICIENT POWER MANAGEMENT OF A SYSTEM WITH VIRTUAL MACHINES - Efficient power management of a system with virtual machines is disclosed. In particular, such efficient power management may enable coordination of system-wide power changes with virtual machines. Additionally, such efficient power management may enable coherent power changes in a system with a virtual machine monitor. Furthermore, such efficient power management may enable dynamic control and communication of power state changes. | 12-29-2016 |
20170235351 | APPARATUS AND METHOD FOR RESTARTING AN ELECTRONIC DEVICE | 08-17-2017 |
20170237293 | SYSTEMS AND METHODS FOR CONTROLLING WIRELESS POWER TRANSFER | 08-17-2017 |
20180024603 | SYSTEM AND METHOD FOR A DATA CENTER TO PROVIDE ENERGY ELASTICITY SERVICES AT DIFFERENT TIME SCALES | 01-25-2018 |
20180024608 | Flexible Power Support Redundancy Busway System | 01-25-2018 |
20180026477 | POWER MANAGEMENT SYSTEM | 01-25-2018 |
20190146563 | ELECTRONIC EQUIPMENT, POWER SUPPLY METHOD OF ELECTRONIC EQUIPMENT, POWER RECEPTION METHOD OF ELECTRONIC EQUIPMENT, AND INTERFACE CABLE | 05-16-2019 |
20190146570 | MODULE DEVICE AND BROADCAST SYSTEM | 05-16-2019 |
20220137687 | TWO-STAGE DYNAMIC POWER SUPPLY VOLTAGE ADJUSTMENT - Dynamic power supply voltage adjustment in a computing device may involve two stages. In a first stage, a first method for adjusting a power supply voltage may be disabled. While the first method remains disabled, a request to adjust the power supply voltage from an initial value to a target value using a second method may be received. The second method may be initiated in response to the request if a time interval has elapsed since a previous request to adjust the power supply voltage. In a second stage, the first method may be enabled when it has been determined that the power supply voltage has reached the target value. | 05-05-2022 |