Entries |
Document | Title | Date |
20080213703 | Imaging System With Mirror Group - An imaging system for imaging an off-axis object field arranged in an object surface of the imaging system onto an off-axis image field arranged in an image surface of the imaging system while creating at least one intermediate image has: an optical axis; an in-line mirror group having an object side mirror group entry, an image side mirror group exit and a mirror group plane aligned transversly to the optical axis and arranged geometrically between the mirror group entry and the mirror group exit, the mirror group including: a first mirror having a first mirror surface for receiving radiation coming from the object surface in a first reflecting area asymmetric to the optical axis; at least one second mirror having a second mirror surface facing the first mirror surface for receiving radiation coming from the first mirror in a second reflecting area asymmetric to the optical axis; at least one of the first and second mirrors being a concave mirror having a concave mirror surface defining a mirror axis on the optical axis; wherein the mirrors of the mirror group are arranged such that radiation coming from the mirror group entry passes at least four times through the mirror group plane and is reflected at least twice on a concave mirror surface of the mirror group prior to exiting the mirror group at the mirror group exit. A strong overcorrection of image field curvature can be effected in an axially compact design. | 09-04-2008 |
20080220376 | LITHOGRAPHY SIMULATION METHOD, METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND PROGRAM - A lithography simulation method of obtaining a resist image by simulation using first and second functions, obtaining the resist image by the simulation includes determining a mask transmission function from a mask layout, modulating the mask transmission function using the first function to determine a modulated mask transmission function, obtaining an optical image of the mask layout using the modulated mask transmission function, and applying the second function to the optical image to obtain the resist image of the mask layout. | 09-11-2008 |
20080220377 | PHOTO MASK, EXPOSURE METHOD USING THE SAME, AND METHOD OF GENERATING DATA - A photo mask formed with patterns to be transferred to a substrate using an exposure apparatus, the photo mask comprising a pattern row having three or more hole patterns surrounded by a shielding portion or a semitransparent film and arranged along one direction, and an assist pattern surrounded by the shielding portion or semitransparent film and having a longitudinal direction and a latitudinal direction, the assist pattern being located at a specified distance from the pattern row in a direction orthogonal to the one direction, the longitudinal direction of the assist pattern being substantially parallel with the one direction, the longitudinal length of the assist pattern being equivalent to or larger than the longitudinal length of the pattern row, the assist pattern being not transferred to the substrate. | 09-11-2008 |
20080261159 | Electrophoretic Display Device - An electrophoretic display device includes a multiplicity of individual reservoirs containing a display medium between conductive substrates, at least one of which is transparent, wherein the display medium includes one or more set of colored particles in a dielectric fluid, and wherein the multiplicity of individual reservoirs are defined by a unitary grid whose walls segregate the reservoirs. The gird may be formed via photolithography or from a master stamp derived from a mold of the grid pattern. | 10-23-2008 |
20080274431 | Resist pattern swelling material, and method for patterning using same - To provide a method for easily forming microscopic patterns exceeding the limit of exposure in the patterning technique utilizing the photolithography method in the vacuum deep ultraviolet ray region, a resist pattern swelling material is comprised by mixing a water-soluble or alkali-soluble composition comprising a resin and a cross linking agent and any one of a non-ionic interfacial active agent and an organic solvent selected from a group of the alcohol based, chain or cyclic ester based, ketone based, chain or cyclic ether based organic solvents. | 11-06-2008 |
20080286696 | Method for manufacturing multilayer printed wiring board - In one embodiment, the invention has a step of forming an inner layer circuit pattern portion and a lead pattern portion, a step of forming a dummy pattern that indicates the range of the lead pattern portion on the outer layer base material, a step of forming an interlayer adhesive layer on a surface of the outer layer base material where the dummy pattern has been formed, a step of applying, corresponding to the dummy pattern, a resin film to the interlayer adhesive layer, a step of layering the outer layer base material on the inner layer base material via the interlayer adhesive layer with the position of the resin film matched to the position of the lead pattern portion, a step of forming the outer layer circuit pattern portion corresponding to the inner layer circuit pattern portion, and a step of removing the interlayer adhesive layer and the outer layer base material layered on the resin film. | 11-20-2008 |
20080299496 | Manufacturing Apparatus and Manufacturing Method of Light-Emitting Device - Demands such as higher definition, higher opening aperture, and higher reliability on a full-color flat panel display have been increased. Such demands are big objects in advancing higher definition (increase in the number of pixels) of a light-emitting device and miniaturization of each display pixel pitch with reduction in size of the light-emitting device. An organic compound-containing layer is selectively deposited using a laser beam which passes through openings of a mask. An irradiated substrate provided with a light absorption layer and a material layer containing an organic compound and a deposition substrate provided with first electrodes are placed so as to face each other. The light absorption layer is heated by a laser beam which has passed through the openings of the mask, and the organic compound at a position overlapping with the heated region is vaporized, and accordingly the organic compound is selectively deposited over the deposition substrate. | 12-04-2008 |
20080305437 | MULTI-LAYER MASK METHOD FOR PATTERNED STRUCTURE ETHCING - A method for forming a patterned structure within a microelectronic structure uses a non-directly imageable organic material layer located over a substrate and a directly imageable inorganic material layer located upon the non-directly imageable organic material layer. The directly imageable inorganic material layer is directly imaged to form a patterned inorganic material layer. The patterned inorganic material layer is used as a first etch mask within a first etch method that etches the non-directly imageable organic material layer to form a patterned organic material layer. At least the patterned organic material layer is used as a second etch mask within a second etch method that etches the substrate to form a patterned structure within the substrate. | 12-11-2008 |
20080311528 | Methods of Patterning Photoresist, and Methods of Forming Semiconductor Constructions - The invention includes semiconductor constructions containing optically saturable absorption layers. An optically saturable absorption layer can be between photoresist and a topography, with the topography having two or more surfaces of differing reflectivity relative to one another. The invention also includes methods of patterning photoresist in which a saturable absorption layer is provided between the photoresist and a topography with surfaces of differing reflectivity, and in which the differences in reflectivity are utilized to enhance the accuracy with which an image is photolithographically formed in the photoresist. | 12-18-2008 |
20080318166 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - An object of the present invention is to provide a method of manufacturing semiconductor device, in which a water repellent layer on a resist film surface is removed to improve pattern-size controllability in a developing process. The pattern controllability of a resist pattern is improved by forming the resist pattern in such a manner that a resist film is formed on a surface of a semiconductor substrate, by using an exposure system, a liquid is filled between the resist film and a projection optical system to expose the resist film through the liquid, a water repellent layer formed on a surface of the resist film is removed after exposure, the substrate is thermally-processed after the water repellent layer is remove, and the resist film is developed. | 12-25-2008 |
20080318167 | Copolymer and composition for organic and antireflective layer - The present invention provides an organic anti-reflection coating composition comprising a copolymer represented by the following Formula 1, a light absorbent, a thermal acid generating agent, and a curing agent: | 12-25-2008 |
20090011368 | Exposure Method and Apparatus, and Electronic Device Manufacturing Method - An object is to provide a high-resolution and economical exposure method suitable for use in formation of a fine pattern for making up an electronic device. Two diffraction gratings (P | 01-08-2009 |
20090029294 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Disclosed is a method for manufacturing a semiconductor device. The method comprises: forming a resist layer over a substrate; exposing the resist layer to light thereby to form a first exposed pattern and a second exposed pattern on the resist layer, the second exposed pattern being used for forming one or more trenches; contacting the resist layer with a developing solution thereby to form a patterned resist having an opening corresponding to the first exposed pattern and to form one or more trenches corresponding to the second exposed pattern on a surface layer of the patterned resist; and conducting a bake process on the patterned resist. | 01-29-2009 |
20090029295 | MICROMACHINED IMAGING TRANSDUCER - The present invention generally relates to medical devices, and more particularly to an improved medical imaging device. In one embodiment, an imaging device includes a drive shaft having proximal and distal ends received within the lumen; and an imaging transducer assembly coupled to the distal end of the drive shaft and positioned at the distal portion of the elongate member. The imaging transducer assembly includes one or more imaging transducers formed with a piezoelectric composite plate using photolithography based micromachining. | 01-29-2009 |
20090035705 | METHOD OF FORMING PATTERN, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND CLEANING APPARATUS - A method of forming a pattern includes forming a resist layer on a substrate, cleaning a surface of the substrate under a control that a shear stress acting on an interface between a cleaning liquid and the substrate during the cleaning becomes larger than a shear stress acting on an interface between an immersion liquid and the substrate during immersion exposure, exposing the resist layer by the immersion exposure to form a latent image on the resist layer, and developing the resist layer to form a resist pattern on the substrate. | 02-05-2009 |
20090042145 | Method for Detecting Light Intensity Distribution for Gradient Filter and Method for Improving Line Width Consistency - A method for detecting light intensity distribution for a gradient filter, including: providing a mask plate which has patterns with identical line widths; providing a semiconductor substrate with a photosensitive material layer, and transferring the patterns of the mask plate to the photosensitive material layer, to form patterns of the photosensitive material layer; measuring line widths of the patterns of the photosensitive material layer at different positions on the semiconductor substrate, to obtain line width distribution of the patterns of the photosensitive material layer; inputting the measured line width distribution of the patterns of the photosensitive material layer into a function of light intensity distribution for a gradient filter versus line width distribution, to obtain light intensity distribution for the gradient filter. The present invention further provides a method for improving line width consistency in a photolithography process. The methods of the present invention are relatively simple, time-saving and cost-reducing. | 02-12-2009 |
20090061362 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD USING DOUBLE PATTERNING AND MASK - To provide a semiconductor device manufacturing method using double patterning, in which layout patterns are distributed avoiding yield reduction factors. The semiconductor device manufacturing method includes the steps of: preparing a plurality of masks for use in the double patterning; and performing the double patterning using the plurality of masks. The step of preparing the plurality of masks includes a step of distributing a group of layout patterns to the plurality of masks, in accordance with characteristics of exposure steps respectively using the plurality of masks, and in consideration of size of the layout patterns. | 03-05-2009 |
20090075213 | Method of forming an auto-calibration label using a laser - An auto-calibration circuit or label ( | 03-19-2009 |
20090075214 | MANUFACTURING METHOD OF LIGHT EMITTING DEVICE, AND EVAPORATION DONOR SUBSTRATE - An object is to provide a manufacturing method of a light emitting device, by which manufacturing costs in manufacturing a flat panel display can be reduced. A first substrate provided with a reflective layer having an opening over a first surface, and provided with a light absorption layer and an evaporation material over a second surface facing the first surface is used. Then, in a state where the second surface of the first substrate is disposed close to a first surface of a second substrate, light irradiation is performed from the first surface side of the first substrate. The irradiation light is absorbed by a portion of the light absorption layer overlapping with the opening in the reflective layer, thereby heating the evaporation material. The heated evaporation material is attached to the first surface of the second substrate. | 03-19-2009 |
20090104567 | APPARATUS FOR FABRICATING SEMICONDUCTOR DEVICE AND METHOD THEREOF - An apparatus for fabricating a semiconductor device and method thereof are disclosed, by which an angle of light diffracted by a reticle can be decreased in a manner of filling up an empty space between a reticle and a frame with a transparent substance of high purity to maximize real resolution on and/or over a semiconductor wafer. | 04-23-2009 |
20090130603 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes the steps of: forming a resist film above a semiconductor wafer having a layer to be processed, the resist film not being formed on a circumferential portion of the semiconductor wafer; exposing the resist film; after exposing the resist film, forming a resist pattern by developing the resist film; after forming the resist pattern by developing the resist film, cleaning the semiconductor wafer by supplying a thinner to the circumferential portion of the semiconductor wafer; and after cleaning the semiconductor wafer, processing the layer to be processed of the semiconductor wafer using the resist pattern. | 05-21-2009 |
20090142706 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor includes performing exposure using a first photomask having a pattern line in which hole patterns and assist patterns not transferred onto the semiconductor substrate are arrayed at an equal pitch on the mask, the pitch being converted a first pitch P | 06-04-2009 |
20090162794 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device is provided. The method includes forming an even number of first hard mask patterns over an etch target layer, forming sacrificial patterns on sidewalls of the first hard mask patterns and forming second hard mask patterns on sidewalls of the sacrificial patterns. The second hard mask patterns are formed to have a first space between the first hard mask patterns. The etch target layer is etched by using the first and the second hard mask patterns. | 06-25-2009 |
20090162795 | METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes forming an etch-target layer over a semiconductor substrate having a lower structure, forming a first mask pattern over the etch-target layer, forming a spacer material layer with a uniform thickness over the etch-target layer including the first mask pattern, forming a second mask pattern on an indented region of the space material layer, and etching the etch-target layer with the first mask pattern and the second mask pattern as an etch mask to form a fine pattern. | 06-25-2009 |
20090162796 | METHODS OF FORMING A PATTERN OF A SEMICONDUCTOR DEVICE - In polymers for an anti-reflective coating, compositions for an anti-reflective coating and methods of forming a pattern of a semiconductor device using the same, the compositions for an anti-reflective coating include a polymer that includes a first repeating unit having a basic side group, a second repeating unit having a light-absorbing group, and a third repeating unit having a cross-linkable group; a photoacid generator; a cross-linking agent; and a solvent. The polymer for the anti-reflective coating, which may have a basic side group chemically bound to a backbone of the polymer, may properly adjust diffusion of an acid in an anti-reflective coating layer to improve the profile of a pattern. | 06-25-2009 |
20090202950 | LASER IRRADIATION APPARATUS AND METHOD OF FABRICATING ORGANIC LIGHT EMITTING DISPLAY USING THE SAME - Provided are a laser irradiation apparatus and method of fabricating an organic light emitting display using the same. The laser irradiation apparatus includes a mask positioned below the laser generator, and the mask is patterned such that lengths of an upper portion and a lower portion of a mask pattern are patterned longer than a length of a middle portion of the mask pattern with respect to the scanning direction. The method of fabricating an organic light emitting display includes scanning a laser beam on a predetermined region of the donor substrate using the laser irradiation apparatus to form an organic layer pattern on the substrate. When the organic layer pattern is formed using a laser induced thermal imaging (LITI) method, the transfer may be carried out using a laser beam having low energy, laser beam efficiency may be enhanced, the organic layer may be less damaged, and the quality of the organic layer pattern to be transferred may also be enhanced. | 08-13-2009 |
20090214984 | METHODS FOR ENHANCING PHOTOLITHOGRAPHY PATTERNING - A method for fabricating a a semiconductor device that includes: providing a substrate prepared with a photoresist layer; providing a photomask comprising a first and a second pattern having a respective first and second pitch range; providing a composite aperture comprising a first and a second off-axis illumination aperture pattern, the first off-axis aperture pattern having a configuration that improves the process window of the first pitch range and the second off-axis aperture pattern having a configuration that improves the process window for a second pitch range; exposing the photoresist layer on the substrate with radiation from an exposure source through the composite aperture and the photomask; and developing the photoresist layer to pattern the photoresist layer. | 08-27-2009 |
20090220894 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A semiconductor device manufacturing method includes applying illumination light to a photomask, and projecting diffracted light components from the photomask via a projection optical system to form a photoresist pattern on a substrate. The photomask includes a plurality of opening patterns which are arranged on each of a plurality of parallel lines at regular second intervals in a second direction and which have regular first intervals in a first direction perpendicular to the second direction. The plurality of opening patterns arranged on the adjacent ones of the plurality of parallel lines are displaced from each other half the second interval in the second direction. Moreover, the dimensions of the plurality of opening patterns and the complex amplitude transmittance of nontransparent region in the photomask are set so that three of the diffracted light components passing through the pupil of the projection optical system have equal amplitude. | 09-03-2009 |
20090239177 | MASK PATTERN DATA GENERATION METHOD, MASK MANUFACTURING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND PATTERN DATA GENERATION PROGRAM - According to an aspect of the present invention, there is provided a mask pattern data generation method including: a first step of obtaining a mask data representing from a design pattern by performing a process simulation with a process parameter having a first value; a second step of obtaining a finished pattern from the mask data by performing the process simulation with the process parameter having a different value; a third step of verifying whether a dimensional error therebetween is within an allowable range; and a fourth step of: if the dimensional error is within the allowable range, determining the mask pattern data; and if the dimensional error is not within the allowable range, repeating the above steps by updating the process parameter until the dimensional error becomes within the allowable range. | 09-24-2009 |
20090246708 | Method of forming mask pattern - A mask pattern forming method which can form desired resist patterns accurately and stably on a substrate material like SiC having transparency to light. The method comprising forming a light reflective film on a semiconductor substrate having transparency to light; forming a photo-resist on the light reflective film; projecting exposure light through a master mask onto a focal position adjusted based on light reflected by the light reflective film when projecting focus detecting light onto the semiconductor substrate, thereby exposing the photo-resist; and removing exposed portions or portions other than the exposed portions of the photo-resist so as to pattern the photo-resist. | 10-01-2009 |
20090246709 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A manufacturing method of a semiconductor device includes preparing a first circuit pattern original plate including a first pattern part of a mark pattern, preparing a second circuit pattern original plate including a second pattern part of the mark pattern, transferring the first pattern part to a mask film on an underlying area to form a first transfer pattern part in the mask film, transferring the second pattern part to the mask film to form a second transfer pattern part in the mask film, and patterning the underlying area by using the mask film including a transfer mark pattern, which is obtained by combining the first transfer pattern part and the second transfer pattern part, as a mask to form an underlying mark pattern in the underlying area. | 10-01-2009 |
20090246710 | Pattern forming method and a semiconductor device manufacturing method - A pattern forming method is disclosed, which comprises forming a photo resist film on a substrate, irradiating the photo resist film with an energy ray to form a desired latent image pattern, placing the substrate on a spacer provided on a hot plate, heating the photo resist film by using the hot plate, and developing the photo resist film to form a photo resist pattern, wherein an amount of irradiation of the energy ray is set such that the amount of irradiation of the energy ray in an exposure region in which a distance between a back surface of the substrate and an upper surface of the hot plate is long is larger than the amount of irradiation of the energy ray in an exposure region in which a distance between the back surface of the substrate and the upper surface of the hot plate is short. | 10-01-2009 |
20090258319 | EXPOSURE METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - In an exposure method, an anti-reflection film and a photoresist are stacked in order on the surface of a substrate. A periodic pattern of a pitch P is formed on a pattern surface of a photomask. A medium having a refractive index n is present between a projection lens having a numerical aperture NAp and the substrate. The refractive index, coefficient of extinction and thickness of the anti-reflection film are selected so that the reflectance of exposure light of a wavelength λ at an interface between the photoresist and the anti-reflection film is less than or equal to a desired value when an angle of incidence θ is within a range determined by λ/P−NAp≦n×sin θ≦NAp. The angle of incidence θ is formed to a perpendicular line in the medium by light incident on the surface of the substrate. | 10-15-2009 |
20090263749 | METHOD OF FORMING FINE PATTERNS OF SEMICONDUCTOR DEVICE - A method of forming fine patterns of a semiconductor device, in which a plurality of conductive lines formed in a cell array region are integrally formed with contact pads for connecting the conductive lines to a peripheral circuit. In this method, a plurality of mold mask patterns, each including a first portion extending in a first direction and a second portion which is integrally formed with the first portion and extends in a second direction, are formed within a cell block on a substrate comprising a film which is to be etched. A first mask layer covering sidewalls and an upper surface of each of the plurality of mold mask patterns is formed on the substrate. First mask patterns are formed by partially removing the first mask layer so that a first area of the first mask layer remains and a second area of the first mask layer is removed. The first area of the first mask layer covers sidewalls of adjacent mold mask patterns from among the plurality of mold mask patterns by being located between the adjacent mold mask patterns, and the second area of the first mask layer covers portions of the sidewalls of the plurality of mold mask patterns, the portions corresponding to an outermost sidewall of a mold mask pattern block. | 10-22-2009 |
20090269704 | MANUFACTURING METHOD OF OPTO-ELECTRIC HYBRID BOARD - An opto-electric hybrid board manufacturing method which improves the alignment accuracy of an optical element with respect to a core of an optical waveguide. When a core ( | 10-29-2009 |
20090291397 | Methods Of Forming Structures Supported By Semiconductor Substrates - Some embodiments include methods of forming structures supported by semiconductor substrates. Radiation-imageable material may be formed over a substrate and patterned into at least two separated features. A second material may be formed over the features and across one or more gaps between the features. At least one substance may be released from the features and utilized to alter a portion of the second material. The altered portion of the second material may be selectively removed relative to another portion of the second material which is not altered. Also, the features of radiation-imageable material may be selectively removed relative to the altered portion of the second material. The second material may contain one or more inorganic components dispersed in an organic composition. The substance released from the features of radiation-imageable material may be acid which forms cross-links within such organic composition, an hydroxyl, or any other suitable substance. | 11-26-2009 |
20090297987 | Method of forming semiconductor device by using reduction projection aligner - Lithography process is conducted to expose chip patterns to light on a semiconductor wafer. The process includes exposing a plurality of chip patterns to light in a first shot region in one direction on the semiconductor wafer, and exposing a plurality of chip patterns to light in a second region obtained by rotating the first shot region by 90° in a region in which all the chip patterns in the first shot region at the periphery of the semiconductor wafer are regarded as ineffective. | 12-03-2009 |
20090297988 | MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - A manufacturing technique of a semiconductor integrated circuit device utilizing a defect correction technique of a reflection-type mask using extreme ultraviolet (EUV) light with a wavelength of about 13.5 nm as an exposure light source is provided. An auxiliary pattern having an opening diameter finer than that of an opening pattern in which a phase defect is generated is formed in an absorption layer in the vicinity of the opening pattern. The auxiliary pattern is a pattern for adjusting the exposure light amount at the time when the opening pattern is transferred to a photoresist film on a wafer. | 12-03-2009 |
20090305169 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device including: forming a resist film | 12-10-2009 |
20090311628 | METHOD FOR ETCHING AN ULTRA THIN FILM - A method for etching an ultra thin film is provided which includes providing a substrate having the ultra thin film formed thereon, patterning a photosensitive layer formed over the ultra thin film, etching the ultra thin film using the patterned photosensitive layer, and removing the patterned photosensitive layer. The etching process includes utilizing an etch material with a diffusion resistant carrier such that the etch material is prevented from diffusing to a region underneath the photosensitive layer and removing portions of the ultra thin film underneath the photosensitive layer. | 12-17-2009 |
20090317749 | METHOD FOR FORMING PATTERNS OF SEMICONDUCTOR DEVICE BY USING MIXED ASSIST FEATURE SYSTEM - Disclosed is a method for forming patterns of a semiconductor device, which includes: arranging dense patterns to be transferred in a dense pattern region of a wafer; inserting a first dummy pattern for restricting pattern distortion of the dense patterns in an outside of the array of the dense patterns; inserting a first assist feature for restricting pattern distortion of the first dummy pattern in an inside of the first dummy pattern; inserting an array of second assist features for additionally restricting pattern distortion of the first dummy pattern in an outside of the first dummy pattern, thereto designing a pattern layout to be transferred onto the wafer; and forming an array of the dense patterns and the first dummy patterns by transferring the pattern layout onto the wafer through an exposure. | 12-24-2009 |
20090317750 | METHOD FOR MANUFACTURING PIEZOELECTRIC/ELECTROSTRICTIVE FILM TYPE ELEMENT - A manufacturing method for a piezoelectric/electrostrictive film type element and a film constituting a laminated vibrator made of laminations of an electrode film and a piezoelectric/electrostrictive film in a plane position. The piezoelectric/electrostrictive film type element includes a substrate, a lower electrode film provided on the substrate, and a laminated vibrator made of laminations of a piezoelectric/electrostrictive film and an upper electrode film. The lower electrode film is formed by a photolithography method with the substrate, where a cavity is filled with a light shielding agent, as a mask. Thereafter, the piezoelectric/electrostrictive film is formed by electrophoresis of powder of a piezoelectric/electrostrictive material toward the lower electrode film, and the upper electrode film is formed by the photolithography method with the piezoelectric/electrostrictive film as a mask. The piezoelectric/electrostrictive film may be formed by the photolithography method with the lower electrode film as a mask. | 12-24-2009 |
20090325106 | Method for Implant Imaging with Spin-on Hard Masks - A semiconductor fabrication method that includes forming a patterned mask ( | 12-31-2009 |
20100009295 | METHOD OF FINE PATTERNING A THIN FILM AND METHOD OF MANUFACTURING A DISPLAY SUBSTRATE USING THE METHOD - A method of fine patterning a thin film and a method of manufacturing a display substrate by using the same, in which a fine photo pattern is formed on a base substrate, and a photoresist pattern is formed on the thin film. A fine photo pattern is formed by ashing the photoresist pattern. A fine pattern is formed by removing the thin film exposing through the fine photo pattern. A fine pattern is formed, and the fine pattern has a higher resolution than that of an exposure apparatus. The reliability of a process for manufacturing a display substrate and the display quality of a display device may be improved. | 01-14-2010 |
20100028811 | METHODS OF MAKING COMPOSITE ELECTRODES - A method of making a component for use in a touch sensor includes modifying a substrate having disposed on it a plurality of electrically isolated conductors. Subsets of the conductors are electrically coupled to form composite electrodes. The component can be used as a set of electrodes in a customized touch sensor. | 02-04-2010 |
20100035188 | METHOD OF MANUFACTURING ORGANIC ELECTRO-LUMINESCENCE DEVICE - A manufacturing method of an organic electro-luminescence device is disclosed. The manufacturing method of an organic electro-luminescence device includes sequential steps of: providing a substrate first; forming a first electrode layer on the substrate; forming an insulating layer on the substrate, wherein the insulating layer includes a plurality of openings for exposing the first layer; forming a conducting layer on the sidewall of the insulating layer and on the first electrode layer in the openings; forming a light-emitting layer on the conducting layer in the openings; and finally forming a second electrode layer on the light-emitting layer. The organic electro-luminescence device formed by the above manufacturing method is capable of providing the light-emitting layer with a uniform thickness and therefore raising of yield of the fabricating process and improving of the displaying quality of the organic electro-luminescence device. | 02-11-2010 |
20100040983 | Compensation of Process-Induced Displacement - A method of manufacturing integrated circuits includes determining a process-induced displacement (e.g., a stress-induced displacement) between primary structures on a substrate and providing a photomask with mask features assigned to the primary structures. The distances between the mask features are set such that the process-induced displacement is compensated. | 02-18-2010 |
20100040984 | METHOD OF FABRICATING MICRO ELECTRO-MECHANICAL COMPONENT - A method of manufacturing a micro electro-mechanical component having a three-dimensional structure includes preparing a conductive substrate, selectively insulating or removing the conductive substrate to form a functional structure for performing a desired electro-mechanical function, forming a plated structure serving as an electrical connection portion on at least one surface of the functional structure, and mounting the functional structure on a circuit substrate so that the electrical connection portion is connected to a circuit pattern of the circuit substrate. | 02-18-2010 |
20100055619 | METHOD AND SYSTEM FOR MANUFACTURING A RETICLE USING CHARACTER PROJECTION LITHOGRAPHY - A method for manufacturing a surface, the surface having a multiplicity of slightly different patterns, is disclosed with the method comprising the steps of designing a stencil mask having a set of characters for forming the patterns on the surface and reducing shot count or total write time by use of a character varying technique. A system for manufacturing a surface is also disclosed. | 03-04-2010 |
20100081093 | EXPOSURE APPARATUS INSPECTION METHOD AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A mask pattern includes a first pattern having a line-and-space pattern extending in a first direction, a second pattern formed as a line-and-space pattern having a larger period than the first pattern and extending in the first direction, a third pattern having a line-and-space pattern extending in a second direction, and a fourth pattern formed as a line-and-space pattern having a larger period than the third pattern and extending in the second direction. Illumination light is obliquely incident on the first pattern and the second pattern from a first oblique direction, illumination light is obliquely incident on the third pattern and the fourth pattern from a second oblique direction, and a relative distance from the first pattern to the second pattern transferred on to an image receptor and a relative distance from the third pattern to the fourth pattern transferred onto the image receptor are measured and an optical characteristic of an exposure apparatus is ascertained based on the relative distances. | 04-01-2010 |
20100086876 | TECHNIQUES FOR REDUCING DEGRADATION AND/OR MODIFYING FEATURE SIZE OF PHOTOMASKS - A photomask includes a light transmitting substrate and an absorber layer adjacent thereto. The absorber layer includes a silicide, such as molybdenum silicide, patterned into a plurality of features. The surrounding environment is controlled to prevent undesirable growth by oxidation of the absorber layer when the mask is exposed to light while being used to fabricate integrated circuits. In another aspect, the surrounding environment is controlled to encourage desirable growth by oxidation of the absorber layer when the mask is exposed to light. | 04-08-2010 |
20100092891 | PITCH REDUCED PATTERNS RELATIVE TO PHOTOLITHOGRAPHY FEATURES - Differently-sized features of an integrated circuit are formed by etching a substrate using a mask which is formed by combining two separately formed patterns. Pitch multiplication is used to form the relatively small features of the first pattern and conventional photolithography used to form the relatively large features of the second pattern. Pitch multiplication is accomplished by patterning a photoresist and then etching that pattern into an amorphous carbon layer. Sidewall spacers are then formed on the sidewalls of the amorphous carbon. The amorphous carbon is removed, leaving behind the sidewall spacers, which define the first mask pattern. A bottom anti-reflective coating (BARC) is then deposited around the spacers to form a planar surface and a photoresist layer is formed over the BARC. The photoresist is next patterned by conventional photolithography to form the second pattern, which is then is transferred to the BARC. The combined pattern made out by the first pattern and the second pattern is transferred to an underlying amorphous silicon layer and the pattern is subjected to a carbon strip to remove BARC and photoresist material. The combined pattern is then transferred to the silicon oxide layer and then to an amorphous carbon mask layer. The combined mask pattern, having features of difference sizes, is then etched into the underlying substrate through the amorphous carbon hard mask layer. | 04-15-2010 |
20100104984 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A template having a first recess pattern is brought into contact with a mask material formed on a substrate. The mask material with which the first recess pattern is filled is cured. A mask material pattern is formed on the substrate by releasing the template from the mask material. A resist pattern is formed to cover a part of the mask material pattern by forming a resist on the mask material pattern and selectively irradiating radiation onto the resist and thereafter developing the resist. The substrate is processed by using the mask material pattern and the resist pattern as a mask. | 04-29-2010 |
20100112488 | METHOD FOR FORMING MICROLENS OF IMAGE SENSOR AND METHOD FOR MANUFACTURING THE IMAGE SENSOR - Methods of forming a microlens are disclosed. In one embodiment, a method for forming a microlens of an image sensor includes: coating a photoresist for forming microlenses on a substrate of an image sensor; allowing laser light to be incident on the inside of the photoresist to create a standing wave, the laser light affecting portions of the photoresist positioned in the amplitude range of the laser light; and forming microlenses by curing the photoresist having the laser light affected portions. With the proposed method for forming the microlens, various sizes of microlenses can be formed and fine size of microlenses can be formed by, for example, adjusting the wavelength of the laser light. | 05-06-2010 |
20100112489 | EFFICIENT PITCH MULTIPLICATION PROCESS - Pitch multiplied and non-pitch multiplied features of an integrated circuit, e.g., features in the array, interface and periphery areas of the integrated circuit, are formed by processing a substrate through a mask. The mask is formed by patterning a photoresist layer which simultaneously defines mask elements corresponding to features in the array, interface and periphery areas of the integrated circuit. The pattern is transferred to an amorphous carbon layer. Sidewall spacers are formed on the sidewalls of the patterned amorphous carbon layer. A layer of protective material is deposited and then patterned to expose mask elements in the array region and in selected parts of the interface or periphery areas. Amorphous carbon in the array region or other exposed parts is removed, thereby leaving a pattern including free-standing, pitch multiplied spacers in the array region. The protective material is removed, leaving a pattern of pitch multiplied spacers in the array region and non-pitch multiplied mask elements in the interface and periphery areas. The pattern is transferred to a hard mask layer, through which an underlying substrate is etched. | 05-06-2010 |
20100119982 | ETCHING METHOD AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - An etching method according to an embodiment includes forming a resist film on a workpiece film, exposing the resist film, developing the resist film so as to form a resist pattern, selectively irradiating a particular place of the resist pattern with an energy beam so as to generate an acid component in the particular place of the resist pattern, forming a film including a cross-linking agent that causes a cross-linking reaction due to the acid component on the workpiece film so as to cover the particular place of the resist pattern where the acid component is generated, reacting the cross-linking agent with the resist pattern so as to form a cross-linked layer in a part of the resist pattern and processing the workpiece film by using the resist pattern and the cross-linked layer as a mask. | 05-13-2010 |
20100136488 | PATTERN CREATION METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND COMPUTER-READABLE STORAGE MEDIUM - A pattern creation method has extracting a first pattern and a second pattern which are different from each other from among first mask patterns created based on a first design pattern, calculating a best focus difference between the first pattern and the second pattern based on first exposure conditions, comparing the best focus difference to a predetermined threshold value, and if the best focus difference is larger than the threshold value, correcting the first design pattern to create a second design pattern. | 06-03-2010 |
20100143849 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A semiconductor device manufacturing method includes: forming a foundation film on a semiconductor wafer; after forming the foundation film, forming a reaction layer of the semiconductor wafer and the foundation film therebetween; removing the foundation film and leaving the reaction layer on the semiconductor wafer; forming a resist film on the reaction layer; patterning the resist film; and using the patterned resist film as a mask to perform processing on the semiconductor wafer. | 06-10-2010 |
20100143850 | Method of Manufacturing a Semiconductor Device - A method of manufacturing a semiconductor device by performing divisional exposure on a predetermined area on a wafer, through two or more reticles, on each of which a mask pattern region is formed. The method includes arranging the reticles such that an outer most periphery of a field circle of exposure light incident on the wafer aligns with at least one side of the mask pattern region formed in the reticle, and exposing, to exposure light, the wafer, through the reticles so arranged. | 06-10-2010 |
20100167213 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A semiconductor device manufacturing method includes: forming a first anti-reflective coating on a semiconductor wafer; forming a second anti-reflective coating on the first anti-reflective coating; forming a resist film on the second anti-reflective coating; selectively exposing the resist film to light; developing the resist film and the anti-reflective coatings after the light exposure; and processing the semiconductor wafer using as a mask a pattern of the resist film obtained by the development. The photosensitizer concentration of the first anti-reflective coating is higher than the photosensitizer concentration of the second anti-reflective coating. | 07-01-2010 |
20100178613 | DISPLAY DEVICE UNIFORMING LIGHT DISTRIBUTION THROUGHOUT AREAS AND METHOD FOR MANUFACTURING SAME - Disclosed are an optical display device producing uniform light distribution and a method of fabricating such devices. The optical display device has waveguides arranged in vertical and horizontal directions. The waveguide has a conical shape whose cross-section decreases towards the light-projection side thereof. At least one of the size, height, spacing, and refraction index of the waveguide is designed to be different for each section, depending on an incident angle and/or intensity of light inputted from a light source. Therefore, the intensity of projected light can be made uniform over all sections of the optical device. | 07-15-2010 |
20100183984 | MULTIPLEXING OF PULSED SOURCES - A process and related apparatus for generating an output radiation through an output aperture, including generating pulsed radiations by a plurality of radiation sources, each source being arranged for respectively (i) generating within a respective plasma a respective pulsed elementary radiation whose wavelengths include a respective desired range, and (ii) directing rays of its respective elementary radiation on the output aperture. For each source, refractive indices of rays are distributed in a respective control region through which its respective elementary radiation passes and located in its respective plasma, to selectively deviate rays of its respective elementary radiation as a function of their wavelength, and temporally multiplexing the radiation sources to obtain at the output aperture the output radiation. | 07-22-2010 |
20100183985 | PROCESS FOR PRODUCING SEMICONDUCTOR DEVICE - A process for producing a semiconductor device includes a circuit formation step of forming circuit wiring on a semiconductor wafer using a chemically-amplified resist, and a cured film formation step of forming a cured film that protects the circuit wiring after forming the circuit wiring, the cured film being formed of a cured material of a photosensitive resin composition that comprises an alkali-soluble resin having a polybenzoxazole structure or a polybenzoxazole precursor structure, a compound that generates an acid upon exposure to light, and a solvent. The photosensitive resin composition substantially does not contain N-methyl-2-pyrrolidone. The process can suppress a T-top phenomenon or the like that may occur when forming a circuit on a semiconductor wafer using a chemically-amplified resist in the production of semiconductor devices. | 07-22-2010 |
20100203455 | Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine - An apparatus and method maintain immersion fluid in the gap adjacent to the projection lens during the exchange of a work piece in a lithography machine. The apparatus and method include an optical assembly that projects an image onto a work piece and a stage assembly including a work piece table that supports the work piece adjacent to the optical assembly. An environmental system is provided to supply and remove an immersion fluid from the gap between the optical assembly and the work piece on the stage assembly. After exposure of the work piece is complete, an exchange system removes the work piece and replaces it with a second work piece. An immersion fluid containment system maintains the immersion liquid in the gap during removal of the first work piece and replacement with the second work piece. | 08-12-2010 |
20100203456 | METHOD OF FORMING RESIST PATTERN AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - The present invention improves the OPE characteristic generated by the difference between sparse and dense mask patterns and promotes fidelity in the design of the pattern. Because of this, the present invention includes a step of forming a resist having an acid dissociative dissolution suppression group on a substrate, a step of coating the resist with an acid polymer dissolved in an alcohol based solvent and forming an upper layer film, a step of exposing through a mask, a step of performing a baking process, and a step of processing with an alkali developer, and wherein in the step of performing a baking process, a mixing layer is formed on the resist by the upper layer film and in which a thicker mixing layer is formed in an unexposed part of a region where the pattern density of the mask pattern is high compared to a region where the pattern density is low. | 08-12-2010 |
20100209856 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD FOR WRITING A DIGITAL IMAGE - A device manufacturing method is provided. The method includes generating a first patterned beam, projecting the first patterned beam onto a substrate to form a first plurality of spot exposures on the substrate, scanning the substrate in a direction while projecting the first patterned beam, generating a second patterned beam, projecting the second patterned beam onto the substrate to form a second plurality of spot exposures on the substrate, and alternating spot exposures of the first plurality of spot exposures with respective spot exposures of the second plurality of spot exposures. | 08-19-2010 |
20100227277 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - In a liquid crystal exposure apparatus, base pads are respectively placed on two surface plates, and one step board is supported by the base pads. A device main body of an empty-weight cancelling device moves from above one of the surface plates to above the other by moving on the step board, and therefore, a boundary section between the two adjacent surface plates that are separately placed does not function as a guide surface used when the empty-weight cancelling device moves. Accordingly, a fine movement stage that holds a substrate can be guided along an XY plane with high accuracy although the two surface plates are placed apart. | 09-09-2010 |
20100227278 | MANUFACTURING PROCESS OF SEMICONDUCTOR DEVICE - A resist pattern thickening material has resin, a crosslinking agent and a compound having a cyclic structure, or resin having a cyclic structure at a part. A resist pattern has a surface layer on a resist pattern to be thickened with etching rate (nm/s) ratio of the resist pattern to be thickened the surface layer of 1.1 or more, under the same condition, or a surface layer to a resist pattern to be thickened. A process for forming a resist pattern includes applying the thickening material after forming a resist pattern to be thickened on its surface. A semiconductor device has a pattern formed by the resist pattern. A process for manufacturing the semiconductor device has applying, after forming a resist pattern to be thickened, the thickening material to the surface of the resist pattern to be thickened, and patterning the underlying layer by etching, the pattern as a mask. | 09-09-2010 |
20100248155 | Illumination Control Module, and Diffraction Illumination System and Photolithography System Including the Same, and Methods of Fabricating Semiconductors Using the Same - An illumination control module, which enables one diffraction optical element (DOE) to be applied to various photolithography processes, and a diffraction illumination system and a photolithography system including the same are provided. The illumination control module includes a convex-ring-shaped upper lens, and a concave-ring-shaped lower lens. | 09-30-2010 |
20100255424 | LIQUID DISCHARGE HEAD MANUFACTURING METHOD - Provided is a method for manufacturing a liquid discharge head including a flow path forming member connected to a discharge port on or above a substrate, the method including: providing a layer containing a photosensitive resin on or above the substrate; providing a mask layer that enables reduction of transmission of light with a photosensitive wavelength of the photosensitive resin, at an area on the layer containing the photosensitive resin, the area corresponding to the flow path; performing exposure for the layer containing the photosensitive resin using the mask layer to make the layer containing the photosensitive resin be a pattern having the shape of the flow path; providing a layer that becomes the flow path forming member, so as to cover the pattern; forming the discharge port at a part of the layer that becomes the flow path forming member; and forming the flow path by removing the pattern. | 10-07-2010 |
20100261118 | Intensity Selective Exposure Method And Apparatus - A gradated photomask is provided. The photomask includes a first region including a first plurality of sub-resolution features and a second region including a second plurality of sub-resolution features. The first region blocks a first percentage of the incident radiation. The second region blocks a second percentage of the incident radiation. The first and second percentage are different. An intensity selective exposure method is also provided. | 10-14-2010 |
20100261119 | METHOD OF FABRICATING CAPACITIVE TOUCH PANEL - The present disclosure relates to a method of fabricating a capacitive touch pane where a plurality of groups of first conductive patterns are formed along a first direction, a plurality of groups of second conductive patterns are formed along a second direction, and a plurality of connection components are formed on a substrate. Each first conductive pattern is electrically connected to another adjacent first conductive pattern in the same group by each connection component and each group of the second conductive patterns is interlaced with and insulated from each group of the first conductive patterns. Next, a plurality of curved insulation mounds are formed to cover the first connection components. Then, a plurality of bridge components are formed to electrically connect each second conductive pattern with another adjacent second conductive pattern in the same group. | 10-14-2010 |
20100266961 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - In a substrate stage device, when an X coarse movement stage moves in an X-axis direction, a Y coarse movement stage, an empty-weight cancelling device and a Y beam move integrally with the X coarse movement stage, and when the Y coarse movement stage moves in a Y-axis direction on the X-coarse movement stage, the empty-weight cancelling device moves integrally with the Y coarse movement stage in the Y-axis direction on the Y beam. Since the Y beam is arranged extending in the Y-axis direction in a state of covering a movement range of the empty-weight cancelling device in the Y-axis direction, the empty-weight cancelling device is constantly supported by the Y beam regardless of the position of the empty-weight cancelling device. Accordingly, a substrate can be guided along an XY plane with high accuracy, without providing a member (e.g. a surface plate or the like) having a guide surface that is large enough to cover the entire movement range of the empty-weight cancelling device. | 10-21-2010 |
20100266962 | Methods Of Forming A Plurality Of Capacitors - A method of forming a plurality of capacitors includes forming a plurality of individual capacitor electrodes using two masking steps. An earlier of the two masking steps is used to form an array of first openings over a plurality of storage node contacts. A later of the two masking steps is used to form an array of second openings received partially over and partially offset from the array of first openings. Overlapping portions of the first and second openings are received over the storage node contacts. After both of the two masking steps, conductive material of the individual capacitor electrodes is deposited into the overlapping portions of each of the first and second openings. The individual capacitor electrodes are incorporated into a plurality of capacitors. Other aspects and implementations are contemplated. | 10-21-2010 |
20100285410 | Method For Manufacturing A Semiconductor Device Using A Modified Photosensitive Layer - The present disclosure provides a method for manufacturing a semiconductor device. The method includes coating a photoresist on a substrate. The photoresist is exposed to radiation. The radiation exposed photoresist is baked. The radiation exposed and baked photoresist is developed to create an image pattern. The image pattern is treated with a treating material. An ion implantation process is performed to the substrate and the treated image pattern. The image pattern is stripped from the substrate. A carbon atom ratio of the treating material is less than a carbon atom ratio of the photoresist. | 11-11-2010 |
20100285411 | MICRO-FLUID EJECTION DEVICES WITH A POLYMERIC LAYER HAVING AN EMBEDDED CONDUCTIVE MATERIAL - Micro-fluid ejection devices, methods for making a micro-fluid ejection device, and methods for reducing a size of a substrate for a micro-fluid ejection head. One such micro-fluid ejection device has a polymeric layer adjacent a substrate and at least one conductive layer embedded in the polymeric layer. The polymeric layer comprises at least two layers of polymeric material. | 11-11-2010 |
20100304306 | FLEXIBLE MICRO/NANOFLUIDIC DEVICES - The present invention relates to a method for manufacturing micro/nanofluidic devices that incorporate overhanging micromechanical and metal components monolithically integrated with the fluidic circuitry. | 12-02-2010 |
20100304307 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - While one ends of ropes are respectively tied to a −Y side leg section and a +Y side leg section of a frame caster, the other ends of the ropes hang down in a −Z direction via a plurality of pulleys fixed to the upper sections of frames that are each separated from an apparatus main body, and a weight having a weight corresponding to a half the weight to be reduced is tied to each of the other ends. In this case, even if the apparatus main body oscillates in vertical or lateral directions (normal directions of the pulleys) owing to the action of a vibration isolation table, the position of the weight only vertically moves by rotation of the pulleys, and the reduced amount of the apparatus weight does not vary. Further, since any coil springs are not used, sympathetic vibration with the apparatus main body and the vibration isolation table does not occur, which allows the attenuation characteristics of the vibration isolation table to be improved. Therefore, the exposure apparatus can be increased in size without inducing the cost rise. | 12-02-2010 |
20100316958 | METHOD AND SYSTEM FOR CALIBRATING EXPOSURE SYSTEM FOR MANUFACTURING OF INTEGRATED CIRCUITS - Method and system for calibrating exposure system for manufacturing of integrated circuits. According to an embodiment, the present invention provides a method for determining one or more focus parameters for an exposure system. As an example, the exposure system is used for forming patterns on semiconductor wafer. The method includes a step for providing a semiconductor wafer. The semiconductor wafer is characterized by a diameter. The method also includes a step for forming a plurality of patterns using the exposure system on the semiconductor wafer. As an example, each of the plurality of patterns being associated with a focus reference value (e.g., focus distance, focus angle, etc.). The method additionally includes a step for determining a plurality of shift profiles, and each of the shift profile is associated one of the plurality of patterns. | 12-16-2010 |
20110045410 | Production Method of Liquid Crystal Display Device and Liquid Crystal Display Device - To provide a production method of a liquid crystal display device and a liquid crystal display device, in which generation of a joint line on a display screen is suppressed and yield can be improved even if a substrate is subjected to an alignment treatment by completing exposure for the substrate through several exposures in a liquid crystal display device including pixels each having two or more domains. The present invention is a production method of a production method of a liquid crystal display device, the liquid crystal display device including: a pair of opposed substrates; a liquid crystal layer formed between the pair of opposed substrates; and an alignment film arranged on a liquid crystal layer side surface of at least one of the pair of opposed substrates, and the liquid crystal display device having two or more regions which differ in alignment azimuth in a pixel, wherein the production method comprises an exposure step of exposing the alignment film in such a way that a substrate plane is divided into two or more exposure regions through a photomask in each exposure region, and in the exposure step, exposure is performed in such a way that adjacent two exposure regions have an overlapping exposure region where the adjacent two exposure regions partly overlap with each other, and the photomask has a halftone part corresponding to the overlapping exposure region. | 02-24-2011 |
20110045411 | FILM ADHESION DEVICE AND FILM ADHESION METHOD - A film adhesion device includes a table carrying a semiconductor wafer, a pressing member (pressing roller) which presses a film (photoresist film) onto a surface side of the semiconductor wafer placed on the table, a bumper member provided on an outer peripheral side of the table and including a contact surface which is contacted by the pressing member when the pressing member presses the film, and an elevating support mechanism which supports the bumper member elevatably and positions the bumper member at a predetermined height level. | 02-24-2011 |
20110053091 | SULFUR ATOM-CONTAINING RESIST UNDERLAYER FILM FORMING COMPOSITION AND METHOD FOR FORMING RESIST PATTERN - It is an object to provide a resist underlayer film forming composition having a selection ratio of dry etching rate larger than that of a resist film and exhibiting a low k value and a high n value at a short wavelength such as that of an ArF excimer laser, and enabling the formation of a resist pattern having a desired shape. When the composition is produced or used, it is required that odor due to a raw material monomer causes no problem. The object is solved by a resist underlayer film forming composition for lithography containing a polymer having in backbone thereof, a disulfide bond (S—S bond), and a solvent. The polymer may be a product of a reaction between at least one type of compound (diepoxy compound) containing two epoxy groups and at least one type of dicarboxylic acid containing a disulfide bond. | 03-03-2011 |
20110053092 | OBJECT PROCESSING APPARATUS, EXPOSURE APPARATUS AND EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A plurality of air levitation units that jet air to the lower surface of a substrate are placed below the substrate, and the substrate is supported in a noncontact manner so as to be substantially horizontal. Further, a portion subject to exposure of the substrate is held from below in a noncontact manner by a chuck main body that a fixed-point stage has, and a surface position of the portion subject to exposure is adjusted in a pinpoint manner. Consequently, exposure can be performed on the substrate with high precision. Since the chuck main body moves in a scanning direction according to the position of the substrate, the chuck main body can surely hold the substrate even when the substrate proceeds into an exposure area. | 03-03-2011 |
20110053093 | METHOD AND SYSTEM FOR MANUFACTURING A SURFACE USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH VARIABLE BEAM BLUR - A charged particle beam writer system is disclosed comprising a generator for a charged particle beam having a beam blur radius, wherein the beam blur radius may be varied from shot to shot, or between two or more groups of shots. A method for fracturing or mask data preparation or optical proximity correction is also disclosed comprising assigning a beam blur radius variation to each calculated charged particle beam writer shot. A method for forming a pattern on a surface is also disclosed comprising using a charged particle beam writer system and varying the beam blur radius from shot to shot. A method for manufacturing an integrated circuit using optical lithography is also disclosed, comprising using a charged particle beam writer system to form a pattern on a reticle, and varying the beam blur radius of the charged particle beam writer system from shot to shot. | 03-03-2011 |
20110059404 | RESIST UNDERLAYER FILM FORMING COMPOSITION AND FORMING METHOD OF RESIST PATTERN USING THE SAME - It is an object to provide a resist underlayer film forming composition having a large selection ratio of a dry etching rate, and having a k value and an n value at a short wavelength such as an ArF excimer laser, both of which exhibit desired values. There is provided a resist underlayer film forming composition containing a polymer obtained by reacting at least a tetracarboxylic dianhydride having an alicyclic structure or an aliphatic structure and a diepoxy compound having two epoxy groups with an organic solvent containing an alcohol-based compound having an OH group, and a solvent. | 03-10-2011 |
20110065050 | METHODS OF FORMING INTERMEDIATE SEMICONDUCTOR DEVICE STRUCTURES USING SPIN ON, PHOTOPATTERNABLE, INTERLAYER DIELECTRIC MATERIALS - A cap material may be formed over a photopatternable material on a semiconductor substrate. The cap material absorbs or reflects radiation and protects the photopatternable material from a first wavelength of radiation used in patterning the photoresist layer. Upon exposure to a first wavelength of radiation, the photopatternable material may be converted into a silicon dioxide-based material. The silicon dioxide-based material may be selectively removed. | 03-17-2011 |
20110081616 | PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE ELEMENT, RESIST PATTERN MANUFACTURING METHOD, AND PRINTED CIRCUIT BOARD MANUFACTURING METHOD - A photosensitive resin composition comprising a (A) binder polymer, a (B) photopolymerizing compound having ethylenic unsaturated bonds in the molecule, a (C) photopolymerization initiator and a (D) polymerization inhibitor, wherein the (C) photopolymerization initiator comprises an acridine compound, and the content of the (D) polymerization inhibitor is 20-100 ppm by weight. | 04-07-2011 |
20110129780 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - According to one embodiment, a manufacturing method includes performing lithography processes for manufacturing a semiconductor device that includes a three-dimensional stacked device. The stacked device includes layers stacked above a substrate. Each of the layers includes a device circuit. The lithography processes include a lithography process for forming a lower layer of the layers by using a first original plate that has quality not less than a certain level. The first original plate is selected from original plates. Each of the original plates includes a pattern corresponding to the device circuit. The original plates are ranked according to quality based on defect. The lithography processes further include a lithography process for forming a higher layer of the layers by using a second original plate that has quality lower than the certain level. The second original plate is selected from the original plates. | 06-02-2011 |
20110171583 | Process Solutions Containing Surfactants - Process solutions comprising one or more surfactants are used to reduce the number of defects in the manufacture of semiconductor devices. In certain embodiments, the process solution may reduce post-development defects such as pattern collapse or line width roughness when employed as a rinse solution either during or after the development of the patterned photoresist layer. Also disclosed is a method for reducing the number of defects such as pattern collapse and/or line width roughness on a plurality of photoresist coated substrates employing the process solution of the present invention. | 07-14-2011 |
20110171584 | METHOD OF MANUFACTURING HIGH RESOLUTION ORGANIC THIN FILM PATTERN - A method of forming a high resolution organic thin film pattern, the method including forming a first organic layer on a substrate; selectively removing the first organic layer by selectively irradiating light energy onto the first organic layer, and forming a remaining part of the first organic layer as a sacrifice layer; forming a second organic layer on the substrate and the entire surface of the sacrifice layer; and lifting off the second organic layer formed on the sacrifice layer by removing the sacrifice layer using a solvent, and forming the remaining second organic layer as a second organic layer pattern. | 07-14-2011 |
20110177457 | MASK PATTERN GENERATING METHOD, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, AND COMPUTER PROGRAM PRODUCT - According to the embodiment, a pattern after lithography is derived by using a mask pattern. The mask pattern is corrected by moving a first moving target pattern so that a first evaluation value calculated with respect to this pattern after lithography satisfies a first condition. Next, a pattern after lithography is derived by using the mask pattern after correction. The mask pattern after correction is further corrected by moving a second moving target pattern so that a second evaluation value calculated with respect to this pattern after lithography satisfies a second condition. | 07-21-2011 |
20110177458 | EXPOSURE DETERMINING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND COMPUTER PROGRAM PRODUCT - According to one embodiment, a deviation amount distribution of a two-dimensional shape parameter between a mask pattern formed on a mask and a desired mask pattern is acquired as a mask pattern map. Such that a deviation amount of the two-dimensional shape parameter between a pattern on substrate formed when the mask is subjected to exposure shot to form a pattern on a substrate and a desired pattern on substrate fits within a predetermined range, an exposure is determined for each position in the exposure shot in forming the pattern on substrate based on the mask pattern map. | 07-21-2011 |
20110183269 | Methods Of Forming Patterns, And Methods For Trimming Photoresist Features - Some embodiments include methods of forming patterns. Photoresist features may be formed over a base, with the individual photoresist features having heights and widths. The photoresist features may be exposed to a combination of chloroform, oxidant and additional carbon-containing material besides chloroform to reduce the widths of the photoresist features while substantially maintaining the heights of the photoresist features. The photoresist features may then be used as a mask to pattern the underlying base, and/or spacers may be formed to be aligned to sidewalls of the photoresist features, and the spacers may be used as the mask to pattern the underlying base. | 07-28-2011 |
20110207056 | Contact or proximity printing using a magnified mask image - Improvements in the fabrication of integrated circuits are driven by the decrease of the size of the features printed on the wafers. Current lithography techniques limits have been extended through the use of phase-shifting masks, off-axis illumination, and proximity effect correction. More recently, liquid immersion lithography has been proposed as a way to extend even further the limits of optical lithography. This invention described a methodology based on contact or proximity printing using a projection lens to define the image of the mask onto the wafer. As the imaging is performed in a solid material, larger refractive indices can be obtained and the resolution of the imaging system can be increased. | 08-25-2011 |
20110217659 | METHOD FOR PRODUCING A PROTON-CONDUCTING, STRUCTURED ELECTROLYTE MEMBRANE - In a method for producing a proton-conductive, structured electrolyte membrane, particularly for a fuel cell, a coating, which comprises at least one ion-conductive cross-linking component having at least one acid group and at least one photoactive substances interacting therewith, is applied onto a solid body surface. The coating is optically masked in that at least one region of the coating, in which the electrolyte membrane is supposed to be, is exposed such that the cross-linking component cross-links with the photoactive substances to form a polymer and/or copolymer network adhering to the solid body surface. At least one unexposed region of the coating is removed in order to structure the electrolyte membrane. | 09-08-2011 |
20110217660 | SYSTEM AND METHOD OF SELECTIVE OPTICAL PATTERN ENHANCEMENT FOR SEMICONDUCTOR MANUFACTURING - System and method of selective optical pattern enhancement for semiconductor manufacturing. A method for performing a photolithography process includes providing a reticle pattern for a photomask, the reticle pattern including one or more active areas, the photomask including at least a first active area and a first insulation area. The method also includes identifying a first structure pattern defined by the reticle pattern. Additionally, the method includes defining a block area covering the first structure, the block area being positioned within the active area. The method further includes applying at least a first optical proximity correction to the reticle pattern to form a corrected pattern, the first optical proximity correction being restricted to the block area. Also, the method includes transferring the corrected pattern to a wafer. | 09-08-2011 |
20110229824 | METHOD OF MANUFACTURING A SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - A circuit pattern having a size finer than a half of a wavelength of an exposure beam is transferred on a semiconductor wafer plane with an excellent accuracy by means of a mask whereupon an integrated circuit pattern is formed and a reduction projection aligner. The accuracy of transferring the circuit pattern on the semiconductor wafer is improved by synergic effects of super-resolution exposure, wherein a mask cover made of a transparent medium is provided on a pattern side of the integrated circuit mask so as to suppress the aberration of reduction projection alignment, and a method of increasing the number of actual apertures of the optical reduction projection lens system provided with the wafer cover made of the transparent medium on a photoresist side of the semiconductor wafer to which planarizing process is performed. | 09-22-2011 |
20110229825 | PRODUCTION METHOD OF LIQUID CRYSTAL DISPLAY DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE - To provide a production method of a liquid crystal display device and a liquid crystal display device, in which generation of a joint line on a display screen is suppressed and yield can be improved even if a substrate is subjected to an alignment treatment by completing exposure for the substrate through several exposures in a liquid crystal display device including pixels each having two or more domains. The present invention is a production method of a production method of a liquid crystal display device, the liquid crystal display device including: a pair of opposed substrates; a liquid crystal layer formed between the pair of opposed substrates; and an alignment film arranged on a liquid crystal layer side surface of at least one of the pair of opposed substrates, and the liquid crystal display device having two or more regions which differ in alignment azimuth in a pixel, wherein the production method comprises an exposure step of exposing the alignment film in such a way that a substrate plane is divided into two or more exposure regions through a photomask in each exposure region, and in the exposure step, exposure is performed in such a way that adjacent two exposure regions have an overlapping exposure region where the adjacent two exposure regions partly overlap with each other, and the photomask has a halftone part corresponding to the overlapping exposure region. | 09-22-2011 |
20110229826 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD TO FORM RESIST PATTERN, AND SUBSTRATE PROCESSING APPARATUS - This invention discloses a method to form a resist pattern on a to-be-processed substrate by immersion exposure. A resist film is formed on the central portion of the upper surface of the to-be-processed substrate, on a bevel portion of the upper surface, which is obtained by chamfering the peripheral portion of the to-be-processed substrate, and on the end portion of the to-be-processed substrate. Pattern exposure for forming the latent image of a desired pattern on the resist film is executed while a liquid whose refractive index is higher than that of air exists between the resist film and a constituent element of a projection optical system of an exposure apparatus, which is nearest to the to-be-processed substrate. The resist film formed on the end portion of the to-be-processed substrate is removed by supplying a rinse solution to the end portion of the to-be-processed substrate after executing pattern exposure. | 09-22-2011 |
20110244395 | APPARATUS AND METHOD FOR HAZE CONTROL IN A SEMICONDUCTOR PROCESS - A method for haze control in a semiconductor process, includes: providing an exposure tool with a photocatalyzer coating inside and exposing a wafer in the exposure tool in the presence of activation of the photocatalyzer coating. The photocatalyzer coating may be formed within an opaque region of a reticle. | 10-06-2011 |
20110244396 | EXPOSURE APPARATUS, EXCHANGE METHOD OF OBJECT, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A first carrier unit carries out a substrate tray that supports a substrate from below from a substrate holder by sliding the substrate tray in one axis direction (Y-axis direction) parallel to the substrate surface. Meanwhile, a second carrier unit carries in a substrate tray that supports a substrate subject to carry-in from below onto the substrate holder by sliding the substrate tray in the Y-axis direction, in parallel with the carry-out operation of the substrate (in a state where a part of the substrate tray that supports the substrate subject to carry-out is located on the substrate holder). Consequently, exchange of the substrate on the substrate holder can speedily be performed. | 10-06-2011 |
20110256484 | METHOD FOR PRODUCING COMB-SHAPED ELECTRODE - To provide a method for producing a comb-shaped electrode capable of precisely carrying a large amount of active materials on a surface of current collectors with a fine shape. The method for producing comb-shaped electrodes | 10-20-2011 |
20110262867 | METHOD OF CREATING AN EVALUATION MAP, SYSTEM, METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND COMPUTER PROGRAM PRODUCT - According to one embodiment, evaluation map creating method is disclosed. The method determines number (N) of times on changing division starting position of layout for segmenting the layout into areas M to create the map by segmenting the layout into areas m and obtaining evaluation value v corresponding to area m (P | 10-27-2011 |
20110262868 | METHOD OF DETECTING ALIGNMENT MARK AND METHOD OF MANUFACTURING PRINTED CIRCUIT BOARD - When an alignment mark does not exist within an area of an image obtained by a camera, the coordinate of the alignment mark is calculated based on an identification mark existing in the area of the image and a previously stored positional relationship between the alignment mark and the identification mark. A distance by which a long-sized base material is to be moved for causing the alignment mark to be positioned within the imaging area of the camera is calculated based on the calculated coordinate of the alignment mark, and the long-sized base material is moved by the calculated distance. | 10-27-2011 |
20110262869 | METHOD OF ALIGNING PHOTOMASK WITH BASE MATERIAL AND METHOD OF MANUFACTURING PRINTED CIRCUIT BOARD - An exposure system includes an exposure device and an image processing device. The exposure device includes a plurality of cameras. Each of the cameras is configured so as to be selectively set to a full scan mode and a partial scan mode. The camera transmits all of obtained image data in the full scan mode, and extracts part of the obtained image data and transmits the partial image data in the partial scan mode. The image processing device paratactically performs processing using the image data transmitted from the camera and processing using the image data transmitted from the camera. | 10-27-2011 |
20110294073 | PREPARING METHOD OF METAL POWDER AND METHOD OF MANUFACTURING INNER ELECTRODE OF MULTILAYER CERAMIC CAPACITOR USING THE SAME - The present invention provides a method for preparing metal powder, which includes the steps of: providing a base substrate; forming a pattern layer, having a concave-convex pattern of a predetermined shape, on the base substrate; forming a metal film separated from the pattern layer by the concave-convex pattern; and separating the metal film from the pattern layer, thereby naturally patterning the metal film in the predetermined shape, and a method for manufacturing inner electrodes of a multilayer ceramic capacitor using the same. | 12-01-2011 |
20110305992 | PATTERN FORMING METHOD, AND RESIST COMPOSITION, DEVELOPER AND RINSING SOLUTION USED IN THE PATTERN FORMING METHOD - A pattern forming method comprising a step of applying a resist composition whose solubility in a negative tone developer decreases upon irradiation with an actinic ray or radiation and which contains a resin having an alicyclic hydrocarbon structure and a dispersity of 1.7 or less and being capable of increasing the polarity by the action of an acid, an exposure step, and a development step using a negative tone developer; a resist composition for use in the method; and a developer and a rinsing solution for use in the method, are provided, whereby a pattern with reduced line edge roughness and high dimensional uniformity can be formed. | 12-15-2011 |
20110311918 | PRODUCTION METHOD OF LIQUID CRYSTAL DISPLAY DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE - To provide a production method of a liquid crystal display device and a liquid crystal display device, in which generation of a joint line on a display screen is suppressed and yield can be improved even if a substrate is subjected to an alignment treatment by completing exposure for the substrate through several exposures in a liquid crystal display device including pixels each having two or more domains. The present invention is a production method of a production method of a liquid crystal display device, the liquid crystal display device including: a pair of opposed substrates; a liquid crystal layer formed between the pair of opposed substrates; and an alignment film arranged on a liquid crystal layer side surface of at least one of the pair of opposed substrates, and the liquid crystal display device having two or more regions which differ in alignment azimuth in a pixel, wherein the production method comprises an exposure step of exposing the alignment film in such a way that a substrate plane is divided into two or more exposure regions through a photomask in each exposure region, and in the exposure step, exposure is performed in such a way that adjacent two exposure regions have an overlapping exposure region where the adjacent two exposure regions partly overlap with each other, and the photomask has a halftone part corresponding to the overlapping exposure region. | 12-22-2011 |
20110318694 | Source-collector module with GIC mirror and tin vapor LPP target system - A source-collector module (SOCOMO) for generating a laser-produced plasma (LPP) that emits EUV radiation, and a grazing-incidence collector (GIC) mirror arranged relative to the LPP and having an input end and an output end. The LPP is formed using an LPP target system having a light source portion and a target portion, wherein a pulsed laser beam from the light source portion irradiates Sn vapor from a Sn vapor source of the target portion. The GIC mirror is arranged relative to the LPP to receive the EUV radiation at its input end and focus the received EUV radiation at an intermediate focus adjacent the output end. A radiation collection enhancement device may be used to increase the amount of EUV radiation provided to the intermediate focus. An EUV lithography system that utilizes the SOCOMO is also disclosed. | 12-29-2011 |
20120009524 | MATERIAL AND METHOD FOR PHOTOLITHOGRAPHY - A photosensitive material for use in semiconductor manufacture comprises a copolymer that includes a plurality of photoresist chains and a plurality of hydrophobic chains, each hydrophobic chain attached to the end of one of the photoresist chains. The copolymer in response to externally applied energy will self-assemble to a photoresist layer and a hydrophobic layer. | 01-12-2012 |
20120015305 | DIGITAL OPTICAL CHEMISTRY MICROMIRROR IMAGER - An apparatus and method for catalyzing a reaction on a substrate ( | 01-19-2012 |
20120021359 | UPPER LAYER-FORMING COMPOSITION AND RESIST PATTERNING METHOD - A liquid immersion lithography upper-layer film-forming composition includes (A) a polymer that includes a structural unit (I) shown by the following formula (1), and (S) a solvent. R | 01-26-2012 |
20120028191 | Azide Functionalized Poly(3-Hexylthiophene) and Method of Forming Same - The invention relates azide functionalized poly(3-hexylthiophene)s. Various azide functionalized poly(3-hexylthiophene)s and intermediates are disclosed and described, as well as method for making novel monomers that are synthesized and transformed into P3HT-N | 02-02-2012 |
20120028192 | CONTROL METHOD AND CONTROL SYSTEM FOR EXPOSURE APPARATUS - According to one embodiment, a control method for an exposure apparatus is disclosed. The method can include retrieving, from a database, a correction amount of alignment correction at a time of exposure of a wafer and an inclination amount of a wafer stage with respect to an optical axis of an exposure optical system at the time of exposure. The method can include making a determination on the inclination amount based on a predetermined condition. The method can include making a determination on the correction amount based on the predetermined condition. In addition, the method can include issuing an alarm when the inclination amount and the correction amount both satisfy the condition. | 02-02-2012 |
20120040292 | TRANSFER METHOD, TRANSFER APPARATUS, AND METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING ELEMENT - A transfer method and a transfer apparatus capable of making the shape and quality of a transferred layer uniform and a method of manufacturing an organic light emitting element. A transfer method includes a step of disposing a transfer substrate and an acceptor substrate so as to face each other, a transfer layer being provided on the transfer substrate, and a plurality of areas being arranged in the acceptor substrate, and transferring the transfer layer to the plurality of areas by emitting a radiation ray from the transfer substrate side. The radiation ray is shaped in a band shape, and a short-axis width in a center portion in a long-axis direction of the radiation ray is set to be larger than that in an end portion. | 02-16-2012 |
20120040293 | REFLECTIVE MASK, MANUFACTURING METHOD FOR REFLECTIVE MASK, AND MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE - A reflective mask comprising: a reflective layer that is arranged on a surface on a side on which EUV light is irradiated and reflects the EUV light; a buffer layer containing Cr that is arranged on a side of the reflective layer on which the EUV light is irradiated and covers an entire surface of the reflective layer; and a non-reflective layer that is arranged on a side of the buffer layer on which the EUV light is irradiated and in which an absorber that absorbs the irradiated EUV light is arranged in a position corresponding to a mask pattern to be reduced and transferred onto a wafer. | 02-16-2012 |
20120082939 | ACTIVE LIGHT RAY SENSITIVE OR RADIOACTIVE RAY SENSITIVE RESIN COMPOSITION, AND ACTIVE LIGHT RAY SENSITIVE OR RADIOACTIVE RAY SENSITIVE FILM AND PATTERN FORMING METHOD USING THE SAME - An active light ray sensitive or radioactive ray sensitive resin composition which satisfies high sensitivity, high resolution, good pattern configuration, and good line edge roughness at the same time to a great extent, while having sufficiently good outgassing performance during exposure, and an active light ray sensitive or radioactive ray sensitive film formed by using the composition, and a pattern-forming method, are provided. | 04-05-2012 |
20120082940 | PHOTOLITHOGRAPHY PROCESS FOR SEMICONDUCTOR DEVICE - Provided is a non-transitory computer readable medium including instructions to generate a level sensor map and create a compensation map from the level sensor map. The level sensor map includes a first determination of a first height above a reference plane of a feature disposed on a semiconductor substrate, and a second determination of a second height above the reference plane of a second feature disposed on a semiconductor substrate. The first and second feature are in a single exposure field. The compensation map includes a determination of at least one parameter to be used during exposure of a single field during an exposure process for the semiconductor substrate. | 04-05-2012 |
20120088194 | METHOD OF FORMING PATTERNS - A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film, wherein the resist composition contains a resin capable of increasing the polarity by the action of the acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) forming a protective film on the resist film with a protective film composition after forming the resist film and before exposing the resist film, (c) exposing the resist film via an immersion medium, and (d) performing development with a negative developer. | 04-12-2012 |
20120088195 | ALIGNMENT TREATMENT OF LIQUID CRYSTAL DISPLAY DEVICE - A method for producing a liquid crystal display device comprising a pair of spaced and opposed substrates, an electrode and an alignment layer formed on one substrate, an electrode and an alignment layer formed on the other substrate, and a liquid crystal filled between the pair of substrates. The method includes the step of realizing an alignment with a pretilt angle, by irradiating the alignment layer exhibiting a vertically orienting property with ultraviolet rays in an inclined direction through a mask having an opening, wherein the ultraviolet rays are simultaneously irradiated onto a first region of a display portion through the one opening of said mask and onto a second region of the display portion through the one opening of the mask. | 04-12-2012 |
20120135356 | SEMICONDUCTOR DEVICE - A semiconductor device includes a memory cell array area, a peripheral circuit area on a periphery of the memory cell array area, and a boundary area having a specific width between the memory cell array area and the peripheral circuit area, the memory cell array area including a cell area including nonvolatile semiconductor memory cells, linear wirings extending from inside of the cell area to an area outside the cell area, and lower layer wirings in a lower layer than the linear wirings in the boundary area and electrically connected to the linear wirings, and wiring widths of the lower layer wirings being larger than widths of the linear wirings, the peripheral circuit area including a patterns electrically connected to the linear wirings via the lower layer wirings, the boundary area failing to be provided with the linear wirings and a wiring in same layer as the linear wirings. | 05-31-2012 |
20120141943 | Methods Of Forming Patterns - Some embodiments include methods of forming patterns of openings. The methods may include forming spaced features over a substrate. The features may have tops and may have sidewalls extending downwardly from the tops. A first material may be formed along the tops and sidewalls of the features. The first material may be formed by spin-casting a conformal layer of the first material across the features, or by selective deposition along the features relative to the substrate. After the first material is formed, fill material may be provided between the features while leaving regions of the first material exposed. The exposed regions of the first material may then be selectively removed relative to both the fill material and the features to create the pattern of openings. | 06-07-2012 |
20120141944 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device including: forming a resist film | 06-07-2012 |
20120148960 | METHOD OF MANUFACTURING PRINTED CIRCUIT BOARD - A method of manufacturing a printed circuit board, including: applying a conductive paste including carbon nanotubes and a photosensitive binder on a bump-forming area of a circuit substrate having a circuit layer for transferring electrical signals; and patterning the conductive paste, thus forming bumps. | 06-14-2012 |
20120156623 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD, EXPOSURE METHOD FOR EXPOSURE APPARATUS, EXPOSURE APPARATUS, AND LIGHT SOURCE FOR EXPOSURE APPARATUS - A semiconductor device manufacturing method which improves exposure characteristics. The method includes the step of making preparations for use of an exposure apparatus. The apparatus includes a light emitting unit with a first electrode and a second electrode for generating EUV light, a heating light source for heating the first electrode and the second electrode, and an exposure unit for projecting the EUV light on a substrate through a mask. The method also includes the following steps: heating the first electrode and the second electrode by the heating light source; after the heating step, applying a voltage between the first electrode and the second electrode and generating EUV light by plasma excitation of predetermined atoms; and leading the EUV light into the exposure unit and making an exposure on a photosensitive film formed over the substrate inside the exposure unit. | 06-21-2012 |
20120171622 | FILTER, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - A filter reflects first light having a first wavelength, and transmits second light having a second wavelength shorter than the first wavelength. The filter includes a plurality of plate members positioned parallel to each other with gaps therebetween in a first direction. An enveloping surface formed by end surfaces of the plurality of plate members forms a flat surface, which is nonparallel to the first direction. The filter transmits the second light to the second direction. | 07-05-2012 |
20120171623 | FABRICATION OF A HIGH FILL RATIO SILICON SPATIAL LIGHT MODULATOR - A method for forming an optical deflection device includes providing a semiconductor substrate comprising an upper surface region and a plurality of drive devices within one or more portions of the semiconductor substrate. The upper surface region includes one or more patterned structure regions and at least one open region to expose a portion of the upper surface region to form a resulting surface region. The method also includes forming a planarizing material overlying the resulting surface region to fill the at least one open region and cause formation of an upper planarized layer using the fill material. The method further includes forming a thickness of silicon material at a temperature of less than 300° C. to maintain a state of the planarizing material. | 07-05-2012 |
20120171624 | PRINTED CIRCUIT BOARD FOR OPTICAL WAVEGUIDE AND METHOD OF MANUFACTURING THE SAME - Disclosed herein is a printed circuit board for an optical waveguide, including a base board, and an optical waveguide formed on the base board. The optical waveguide includes a lower clad layer formed on the base board, an insulation layer formed on the lower clad layer and having a core-forming through-hole, a core part formed on a region of the lower clad layer, which is exposed through the through-hole, and an upper clad layer formed in the through-hole and on the insulation layer. | 07-05-2012 |
20120178026 | IMAGING DEVICES, METHODS OF FORMING SAME, AND METHODS OF FORMING SEMICONDUCTOR DEVICE STRUCTURES - An imaging device comprising at least one array pattern region and at least one attenuation region. A plurality of imaging features in the at least one array pattern region and a plurality of assist features in the at least one attenuation region are substantially the same size as one another and are formed substantially on pitch. Methods of forming an imaging device and methods of forming a semiconductor device structure are also disclosed. | 07-12-2012 |
20120183906 | MASK PATTERN GENERATING METHOD, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, AND COMPUTER PROGRAM PRODUCT - According to a mask pattern generating method of the embodiments, an undesired pattern, which is transferred onto a substrate due to an auxiliary pattern when an on-substrate pattern is formed on the substrate by using a mask pattern in which the auxiliary pattern is placed, is extracted as an undesired transfer pattern. Then, the mask pattern is corrected by changing a size of the auxiliary pattern according to a size and a position of the undesired transfer pattern. | 07-19-2012 |
20120196230 | LAYOUT DECOMPOSITION METHOD AND APPARATUS FOR MULTIPLE PATTERNING LITHOGRAPHY - An initial layout of at least a portion of a given layer of an integrated circuit design is decomposed into multiple sub-layouts by splitting each of a plurality of shapes of the initial layout into multiple segments, constructing a constraint graph to represent relationships between the segments, reducing the constraint graph to a stitch graph, determining at least one cut line of the stitch graph, and generating a decomposed layout based on the determined cut line. The decomposed layout in an illustrative embodiment includes first and second sub-layouts comprising respective disjoint subsets of the segments, with each of the sub-layouts of the decomposed layout being associated with a different pattern mask of a double patterning lithography process. The layout decomposition process advantageously minimizes the number of stitches between the sub-layouts without introducing excessive computational complexity. | 08-02-2012 |
20120202156 | CLEANING PROCESS FOR SEMICONDUCTOR DEVICE FABRICATION - A method of making an integrated circuit is provided. The method includes providing a substrate having a photosensitive layer. The photosensitive layer is exposed to a radiation beam. The exposed photosensitive layer is developed in a first chamber. In the first chamber, a cleaning process is performed on the developed photosensitive layer. The cleaning process includes using a rinse solution including at least one of ozone, hydrogen peroxide, and oxalic acid. | 08-09-2012 |
20120225387 | METHOD OF FORMING SEMICONDUCTOR DEVICE BY USING REDUCTION PROJECTION ALIGNER - A method of forming a semiconductor device, including exposing a first shot to light on a semiconductor wafer, the first shot including a plurality of elongated chip patterns, the plurality of elongated chip patterns being arranged in parallel to each other and exposing a second shot to light on the semiconductor wafer, the second shot including a plurality of elongated chip patterns, so that the plurality of elongated chip patterns of the second shot and the plurality of elongated chip patterns of the first shot are arranged perpendicular to each other. The plurality of elongated chip patterns of the second shot are generated by rotating the plurality of elongated chip patterns of the first shot by 90 degrees. | 09-06-2012 |
20120231395 | ITERATIVE RINSE FOR SEMICONDUCTOR FABRICATION - An iterative rinse for fabrication of semiconductor devices is described. The iterative rinse includes a plurality of rinse cycles, wherein each of the plurality of rinse cycles has a different resistivity. The plurality of rinse cycles may include a first rinse of a semiconductor substrate with de-ionized (DI) water and carbon dioxide (CO | 09-13-2012 |
20120231396 | RESIN PATTERN, METHOD FOR PRODUCING THE PATTERN, METHOD FOR PRODUCING MEMS STRUCTURE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND METHOD FOR PRODUCING PLATED PATTERN - There is provided that a method for producing a resin pattern, and the method includes at least the steps (1) to (7) in this order; (1) a coating step of coating a photosensitive resin composition on a substrate; (2) a solvent removal step of removing the solvent from the applied photosensitive resin composition; (3) an exposure step of patternwise exposing the photosensitive resin composition from which the solvent has been removed, to an active radiation; (4) a development step of developing the exposed photosensitive resin composition using an aqueous developer liquid; (5) an overcoating step of providing an overcoat layer on the developed photosensitive resin composition; (6) a heat-treating step of heat-treating the photosensitive resin composition on which the overcoat layer has been provided; and (7) a removal step of removing the overcoat layer. | 09-13-2012 |
20120237878 | METHOD AND SYSTEM FOR PROVIDING A SIDE SHIELD FOR A PERPENDICULAR MAGNETIC RECORDING POLE - A method for fabricating a magnetic transducer having a nonmagnetic intermediate layer is described. A pole is provided on the intermediate layer. The pole has sides, a bottom, a top wider than the bottom and a leading bevel proximate to an ABS location. A side gap is provided adjacent to at least the sides of the pole. A bottom antireflective coating (BARC) layer is provided on the intermediate layer. The BARC layer is removable using a wet etchant and is adjacent to at least a portion of the side gap. A mask layer is provided on the BARC layer. A pattern is photolithographically transferred into the mask layer, forming a shield mask. Part of the BARC layer is exposed to the wet etchant such that the sides of the pole and the side gap are free of the BARC layer. At least a magnetic side shield is provided. | 09-20-2012 |
20120237879 | PATTERN FORMING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND PHASE SHIFT PHOTOMASK HAVING DUMMY GATE PATTERNS - A method for manufacturing a semiconductor device that includes a plurality of gate patterns in parallel with each other within one circuit block provided over a semiconductor substrate includes preparing a first photomask, performing a first photolithography process upon a photoresist layer within a circuit block by using the first photomask, preparing a second photomask that includes a trim photomask having at least one trim opening corresponding to a dummy gate pattern to remove a portion of the photoresist layer corresponding to the dummy gate pattern, and performing a second photolithography process upon the photoresist layer by using the second photomask. | 09-20-2012 |
20120244475 | METHOD TO PRODUCE A FUEL CELL FLOWFIELD WITH PHOTOPOLYMER WALLS - A method for fabricating a flowfield for a fuel cell includes the steps of: providing a substrate; providing a plurality of radiation sources configured to generate a plurality of radiation beams; disposing a radiation-sensitive material on the substrate; placing an imaging mask between the plurality of radiation sources and the radiation-sensitive material; and exposing the radiation-sensitive material to the plurality of radiation beams through a first portion of the radiation-transparent apertures and a second portion of the radiation-transparent apertures in the imaging mask to form the plurality of truss elements and the plurality of wall elements in the radiation-sensitive material, the truss elements forming a plurality of trusses configured to support an adjacent diffusion medium layer, and the wall elements defining a fluid path along a length of the substrate. | 09-27-2012 |
20120244476 | PHOTOLITHOGRAPHY METHOD INCLUDING TECHNIQUE OF DETERMINING DISTRIBUTION OF ENERGY OF EXPOSURE LIGHT PASSING THROUGH SLIT OF EXPOSURE APPARATUS - The energy distribution of exposure light directed passing through the slit of an exposure apparatus is determined. A photoresist layer on a substrate is exposed over a plurality of shots while changing the intensity of the exposure light for each shot. Then the photoresist layer is developed to form a sample photoresist layer. An image of the developed sample photoresist layer is analyzed for color intensity. Values of the color intensity across a selected one of the shots are correlated with values of the intensity of the exposure light to produce an energy distribution of the exposure light along the length of the slit. The energy distribution is used to change the slit so that a more desirable energy distribution may be realized when the slit is used in a process of manufacturing a semiconductor device. | 09-27-2012 |
20120264064 | Method for fabricating an amplification gap of an avalanche particle detector - The invention relates to an improved method for fabricating the amplification gap of an avalanche particle detector in which two parallel electrodes are spaced apart by dielectric spacer elements. A foil including a bulk layer made of dielectric material sandwiched by two mutually parallel metallic electrodes is provided, and holes are formed in one of the metallic layers by means of photolithography. The amplification gap is then formed in the bulk layer by means of carefully controlled etching of the bulk material through the holes formed in one of the metallic layers. The invention not only provides a simplified fabrication process, but also results in a detector with enhanced spatial and energy resolution. | 10-18-2012 |
20120276483 | PATTERNING PROCESS - The invention provides a patterning process, comprising at least a step of forming a silicon-containing film on a body to be processed by using a composition for the silicon-containing film, a step of forming, on the silicon-containing film, a photoresist film by using a resist composition, a step of exposing to the photoresist film after heat treatment thereof, and a step of forming a negative pattern by dissolving an unexposed area of the photoresist film by using a developer of an organic solvent; wherein a composition giving the silicon-containing film whose pure-water contact angle in the part corresponding to the exposed area of the photoresist film becomes in the range of 35° or more to lower than 70° after exposure is used as the composition. There can be optimum patterning process as a patterning process of a negative resist pattern to be formed by adopting organic solvent-based development. | 11-01-2012 |
20120276484 | METHOD FOR MANUFACTURING ORGANIC EL DISPLAY DEVICE - A method for manufacturing an organic EL display device is provided in which an organic compound layer is formed by vapor deposition after a layer used for patterning the organic compound layer has been formed, so that the organic compound layer is formed without being affected by the surface tension of the sides of the layer for the patterning. | 11-01-2012 |
20120308936 | EXPOSURE APPARATUS FOR PHOTOALIGNMENT PROCESS AND METHOD FOR MANUFACTURING LIQUID CRYSTAL DISPLAY - An exposure apparatus for a photoalignment process includes; a first photomask including a plurality of transmission parts; and a second photomask including a plurality of transmission parts, where the first photomask and the second photomask partially overlap each other such that each of the first photomask and the second photomask includes an overlapping region and a non-overlapping region, the overlapping region of at least one of the first photomask and the overlapping region of the second photomask includes at least two subregions, and shapes or arrangements of the transmission parts in the at least two subregions are different from each other. | 12-06-2012 |
20120328991 | METHOD OF FABRICATING A THIN FILM TRANSISTOR SUBSTRATE AND A PHOTOSENSITIVE COMPOSITION USED IN THE THIN FILM TRANSISTOR SUBSTRATE - Disclosed is a method of producing a thin film transistor substrate having high light sensitivity, heat-resistance, impact resistance, and a photosensitive composition used by the same, the method including forming data wires on an insulating substrate, forming an organic insulating film on the data wires by applying a photosensitive composition comprising a terpolymer, where the terpolymer is derived from monomers of an unsaturated carboxylic acid, an unsaturated carboxylic acid anhydride, or a mixture thereof, an unsaturated epoxy group-containing compound, and an olefinic compound. | 12-27-2012 |
20120328992 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A semiconductor device manufacturing method includes applying illumination light to a photomask, and projecting diffracted light components from the photomask via a projection optical system to form a photoresist pattern on a substrate. The photomask includes a plurality of opening patterns which are arranged on each of a plurality of parallel lines at regular second intervals in a second direction and which have regular first intervals in a first direction perpendicular to the second direction. The plurality of opening patterns arranged on the adjacent ones of the plurality of parallel lines are displaced from each other half the second interval in the second direction. Moreover, the dimensions of the plurality of opening patterns and the complex amplitude transmittance of nontransparent region in the photomask are set so that three of the diffracted light components passing through the pupil of the projection optical system have equal amplitude. | 12-27-2012 |
20130017495 | INTERFERENCE EXPOSURE APPARATUS, INTERFERENCE EXPOSURE METHOD, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICEAANM Kodera; KatsuyoshiAACI KanagawaAACO JPAAGP Kodera; Katsuyoshi Kanagawa JPAANM Tanaka; SatoshiAACI KanagawaAACO JPAAGP Tanaka; Satoshi Kanagawa JP - According to one embodiment, an interference exposure apparatus of the embodiment includes a light path changing section in which a changing element adapted to change a light path direction and a light path length of a plurality of light beams with respect to the plurality of light beams having coherency with respect to each other is arranged substantially axisymmetrically; and an adjusting section for adjusting one part of the light beam entering a substrate by intensity changing or phase changing one part of the light beam corresponding to a pattern shape to form on the substrate. A light beam exit from the light path changing section and the adjusting section is interfered on the substrate to carry out an interference exposure on the substrate. | 01-17-2013 |
20130040244 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes the step of forming a mask by application of a masking ink to a semiconductor substrate and the step of forming a diffusion layer. The method includes at least one of the step of heating the masking ink and the step of irradiating the masking ink with light, at at least one of a timing before, a timing during, and a timing after the application of said masking ink. | 02-14-2013 |
20130084531 | METHOD OF PRODUCING ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - Provided is a method of producing an organic electroluminescence display device including patterning by photolithography, the method including: forming an organic compound layer containing a low-molecular organic electroluminescence material and an intermediate layer for protecting the organic compound layer; forming a resist layer on the intermediate layer; irradiating the resist layer with ultraviolet light through a photomask to partially remove the resist layer in a region irradiated with the ultraviolet light; and removing the organic compound layer in a region from which the resist layer is removed, in which the resist layer includes a layer formed of a positive resist, and the intermediate layer includes a layer formed of a high-molecular organic material having a chain structure, capable of being selectively dissolved in a solvent that dissolves the organic compound layer. | 04-04-2013 |
20130122429 | PATTERN FORMING METHOD AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A disclosed manufacturing method of a semiconductor device includes laminating a substrate, an etched film, an anti-reflective coating film, and a resist film; forming a pattern made of the resist film using a photolithographic technique; forming the third mask pattern array by a mask pattern forming method; and a seventh step of forming a fourth mask pattern array by processing the etched film using the third mask pattern array. | 05-16-2013 |
20130122430 | METHOD OF MANUFACTURING PRINTED CIRCUIT BOARD FOR OPTICAL WAVEGUIDE - A method of manufacturing a printed circuit board for an optical waveguide includes forming an insulation layer having a through hole on a substrate; forming a lower clad layer on a bottom of the through hole; forming a core part on the lower clad layer; and forming an upper clad layer covering the core part on the lower clad layer and the core part. | 05-16-2013 |
20130122431 | PEDESTAL COVERS - Examples of novel semiconductor processing pedestals, and apparatuses including such pedestals, are described. These pedestals are specifically configured to provide uniform heat transfer to semiconductor substrates and to reduce maintenance complexity and/or frequency. Specifically, a pedestal may include a removable cover positioned over a metal platen of the pedestal. The removable cover is configured to maintain a consistent and uniform temperature profile of its substrate-facing surface even though the platen's upper-surface, which supports the cover and is in thermal communication with the cover, may have a much less uniform temperature profile. The cover may be made from certain ceramic materials and shaped as a thin plate. These materials are resistant to the processing environments and maintain their thermal characteristics over many processing cycles. The cover can be easily removed from the platen and replaced with a new one without a need for major disassembly of the entire apparatus. | 05-16-2013 |
20130130180 | Method for Producing a GaNLED Device - A method for producing a GaNLED device, wherein a stack of layers comprising at least a GaN layer is texturized, is disclosed. The method involves (i) providing a substrate comprising on its surface said stack of layers, (ii) depositing a resist layer directly on said stack, (iii) positioning a mask above said resist layer, said mask covering one or more first portions of said resist layer and not covering one or more second portions of said resist layer, (iv) exposing said second portions of said resist layer to a light source, (v) removing the mask, and (vi) bringing the resist layer in contact with a developer comprising potassium, wherein said developer removes said resist portions that have been exposed and texturizes the surface of at least the top layer of said stack by wet etching said surface, in the areas situated underneath said resist portions that have been exposed. | 05-23-2013 |
20130164685 | METHOD AND APPARATUS FOR DRYING A WAFER - The present disclosure provides a method of fabricating a semiconductor device. The method includes dispensing a liquid on a wafer. The method includes raising the wafer. The method includes lowering the wafer after the raising. The wafer is spun as it is lowered, thereby removing at least a portion of the liquid from the wafer. The present disclosure also provides an apparatus for fabricating a semiconductor device. The apparatus includes a wafer chuck that is operable to hold a semiconductor wafer and secure the wafer thereto. The wafer has a front surface and a back surface. The apparatus includes a dispenser that is operable to dispense a liquid to the front surface of the wafer. The apparatus includes a mechanical structure that is operable to: spin the wafer chuck in a horizontal direction; and move the wafer chuck downwards in a vertical direction while the wafer chuck is being rotated. | 06-27-2013 |
20130164686 | Method for Patterning a Photosensitive Layer - The method of patterning a photosensitive layer includes providing a substrate including a first layer formed thereon, treating the substrate including the first layer with cations, forming a first photosensitive layer over the first layer, patterning the first photosensitive layer to form a first pattern, treating the first pattern with cations, forming a second photosensitive layer over the treated first pattern, patterning the second photosensitive layer to form a second pattern, and processing the first layer using the first and second patterns as a mask. | 06-27-2013 |
20130177856 | METHOD FOR PRODUCING A LED DEVICE - A method is provided for producing a LED device, comprising a stack of layers comprising a light producing layer the light producing layer not being the top or bottom layer of the stack, wherein a layer at the top or bottom of the stack is subjected to a texturization aimed at enhancing the light extraction efficiency of the LED, wherein the texturization comprises the step of producing on the top or bottom surface a plurality of surface features, the surface features being arranged according to a pattern defined by starting from a regular pattern of features and subjecting each feature of the regular pattern to a deviation from the location in the regular pattern, the deviation being in a random direction and/or having a random amplitude. According to another embodiment, a random deviation is applied to one or more dimensions of the features in the regular pattern. | 07-11-2013 |
20130196269 | PHOTONIC HEATING OF SILVER GRIDS - A method of improving conductivity of a metal pattern ( | 08-01-2013 |
20130209940 | COMPOSITION FOR FORMING RESIST OVERLAYER FILM FOR EUV LITHOGRAPHY - There is provided a composition for forming an EUV resist overlayer film that is used in an EUV lithography process, that does not intermix with the EUV resist, that blocks unfavorable exposure light for EUV exposure, for example, UV light and DUV light and selectively transmits EUV light alone, and that can be developed with a developer after exposure. A composition for forming an EUV resist overlayer film used in an EUV lithography process including a resin containing a naphthalene ring in a main chain or in a side chain and a solvent, in which the resin may include a hydroxy group, a carboxy group, a sulfo group, or a monovalent organic group having at least one of these groups as a hydrophilic group. | 08-15-2013 |
20130236834 | CARBON NANOTUBE SLURRY, METHOD FOR MAKING THE SAME, AND METHOD FOR MAKING CATHOD EMITTER USING THE SAME - A kind of photosensitive carbon nanotube slurry is disclosed. The photosensitive carbon nanotube slurry includes a first mixture and a second mixture. The first mixture includes carbon nanotubes, conducting particles, and a first organic carrier. The second mixture includes a photo polymerization monomer, a photo initiator, and a second organic carrier. The weight percentage of the first mixture and the second mixture ranges from about 50% to about 80% and about 20% to about 50%, respectively. Methods for making the photosensitive carbon nanotube slurry and methods for making cathode emitters using the photosensitive carbon nanotube slurry are also disclosed. | 09-12-2013 |
20130236835 | SINGLE FIELD ZERO MASK FOR INCREASED ALIGNMENT ACCURACY IN FIELD STITCHING - A method for stitching a first field mask to a second field mask on a wafer includes providing a photomask with a first set of targets and a second set of targets, printing images of the first set of targets and the second set of targets onto the wafer where the photomask is applied to the wafer having no previous alignment marks formed thereon for the photomask to align to. A first set of alignment marks is formed from the first set of targets and a second set of alignment marks is formed from the second set of targets. The method includes aligning a first field mask to the first set of alignment marks and aligning a second field mask to the second set of alignment marks. The images of the first field mask and the second field mask are thereby stitched together on the wafer. | 09-12-2013 |
20130252175 | Litho Cluster and Modulization to Enhance Productivity - The present disclosure relates to a lithographic tool arrangement for semiconductor workpiece processing. The lithographic tool arrangement groups lithographic tools into clusters, and selectively transfers a semiconductor workpiece between a plurality of lithographic tools of a first type in a first cluster to a plurality of lithographic tools of a second type in a second cluster. The selective transfer is achieved though a transfer assembly, which is coupled to a defect scan tool that identifies defects generated in the lithographic tool of the first type. The disclosed lithographic tool arrangement also utilizes shared structural elements such as a housing assembly, and shared functional elements such as gases and chemicals. The lithographic tool arrangement may consist of baking, coating, exposure, and development units configured to provide a modularization of these various components in order to optimize throughput and efficiency for a given lithographic fabrication process. | 09-26-2013 |
20130252176 | METHOD FOR MAKING CORRECTION MAP OF DOSE AMOUNT, EXPOSURE METHOD, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - According to one embodiment, a method for making a correction map of a dose amount of EUV light used when exposing with the EUV light, includes estimating an exposure result based on an initial correction map of the dose amount and flare of the EUV light, determining a goodness of the exposure result, and correcting the initial correction map in the case where the exposure result is unacceptable. And, the correcting of the initial correction map, the estimating of the exposure result, and the determining of the goodness are repeated until the exposure result is good. | 09-26-2013 |
20130252177 | METHOD FOR MANUFACTURING A FINE METAL ELECTRODE - In a method for manufacturing a fine metal electrode, the method includes coating an organometallic ink on a first base substrate to form a solution layer. The first base substrate on which the solution layer is formed is pre-baked, to self-produce a nanoparticle in the solution layer. The first base substrate on which the solution layer is formed is aligned with a photomask. A laser is irradiated on the photomask. The photomask is detached from the base substrate on which the solution layer is formed. The solution layer is cleaned. | 09-26-2013 |
20130280661 | METHOD FOR MANUFACTURING LIQUID CRYSTAL DISPLAY DEVICE - In a method of manufacturing a liquid crystal display device in which a plurality of pixels are arranged in a matrix, each of the pixels has an insulator wall structure at a boundary of the pixels, and a wall electrode is provided at least at a side of the wall structure, the wall structure being formed by: using a chemically amplified resist as a material of the wall structure, a step of applying the chemically amplified resist; a step of exposing and developing the chemically amplified resist; a step of irradiating light on an entire surface to perform post exposure; a step of pre-calcinating the chemically amplified resist at a temperature lower than a main calcination temperature; and a step of performing main calcination at a temperature higher than a pre-calcination temperature. | 10-24-2013 |
20130309612 | ENHANCED SCANNER THROUGHPUT SYSTEM AND METHOD - A method and system to improve scanner throughput is provided. An image from a reticle is projected onto a substrate using a continuous linear scanning procedure in which an entire column of die or cells of die is scanned continuously, i.e. without stepping to a different location. Each scan includes translating a substrate with respect to a fixed beam. While the substrate is translated, the reticle is also translated. When a first die or cell of die is projected onto the substrate, the reticle translates along a direction opposite the scan direction and as the scan continues along the same direction, the reticle then translates in the opposite direction of the substrate thereby forming an inverted pattern on the next die or cell. The time associated with exposing the substrate is minimized as the stepping operation only occurs after a complete column of cells is scanned. | 11-21-2013 |
20130309613 | Liquid Based Films - Inorganic films made by providing a solution comprising a metallic salt, an organo-metallic compound, or combinations thereof in a polar aprotic solvent, depositing the solution onto a substrate to form a coating on the substrate, and annealing the coating. | 11-21-2013 |
20130323649 | High Heat Load Optics with Vibration Isolated Hoses in an Extreme Ultraviolet Lithography System - Methods and apparatus for reducing vibrations in an extreme ultraviolet (EUV) lithography system associated with the cooling of minors are described. According to one aspect of the present invention, an apparatus includes a first assembly, a structure, a vibration isolator, and a hose arrangement. The first assembly includes a heat exchanger and a mirror assembly. The structure is subject to vibrations, and the vibration isolator is arranged to attenuate the vibrations when the vibrations are transmitted through the hose arrangement. The hose arrangement being coupled between the heat exchanger and the structure, and the vibration isolator is coupled to the hose arrangement. | 12-05-2013 |
20140030658 | METHOD OF MANUFACTURING COPPER ELECTRODE - A method for manufacturing an electrode comprising the steps of: applying onto a substrate a conductive paste to form a conductive paste layer comprising; (i) 100 parts by weight of a copper powder coated with a metal oxide selected from the group consisting of silicon oxide (SiO | 01-30-2014 |
20140038109 | ANTIREFLECTIVE COATING COMPOSITION AND PROCESS THEREOF - The invention relates to an antireflective coating composition comprising a crosslinkable polymer, where the crosslinkable polymer comprises at least one unit of fused aromatic moiety, at least one unit with a phenylene moiety in the backbone of the polymer, and at least one hydroxybiphenyl unit, furthermore where the polymer comprises a crosslinking moiety of structure (4), | 02-06-2014 |
20140045124 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device includes: forming an etching mask layer on a semiconductor substrate having an etching target layer, patterning the etching mask layer to form a plurality of etching mask patterns, and forming a subsidiary layer surrounding the etching mask patterns having a uniform critical dimension and gap to form hard mask patterns including the subsidiary layer and the etching mask patterns. | 02-13-2014 |
20140045125 | PROCESS OF SEMICONDUCTOR FABRICATION WITH MASK OVERLAY ON PITCH MULTIPLIED FEATURES AND ASSOCIATED STRUCTURES - Spacers are formed by pitch multiplication and a layer of negative photoresist is deposited on and over the spacers to form additional mask features. The deposited negative photoresist layer is patterned, thereby removing photoresist from between the spacers in some areas. During patterning, it is not necessary to direct light to the areas where negative photoresist removal is desired, and the clean removal of the negative photoresist from between the spacers is facilitated. The pattern defined by the spacers and the patterned negative photoresist is transferred to one or more underlying masking layers before being transferred to a substrate. | 02-13-2014 |
20140065553 | CHUCK AND SEMICONDUCTOR PROCESS USING THE SAME - An apparatus of semiconductor process including a chuck and a vacuum source is provided. The chuck has a plurality of holes for holding a semiconductor substrate, and the vacuum source is used for providing vacuum suction through the holes to make the semiconductor substrate be subjected to varied suction intensities according to a warpage level thereof. | 03-06-2014 |
20140065554 | Method and Apparatus for Developing Process - The present disclosure involves a method of fabricating a semiconductor device. The method includes providing a substrate having a material layer formed thereon; depositing a photoresist layer on the material layer, the photoresist layer having a vertical dimension; exposing a region of the photoresist layer to radiation, the exposed region having a horizontal dimension, wherein a first ratio of the vertical dimension to the horizontal dimension exceeds a predetermined ratio; and developing the photoresist layer to remove the exposed region at least in part through applying a developer solution containing a first chemical and a second chemical, wherein: the first chemical is configured to dissolve the exposed region of the photoresist layer through a chemical reaction; the second chemical is configured to enhance flow of the first chemical that comes into contact with the photoresist layer; and an optimized second ratio exists between the first chemical and the second chemical. | 03-06-2014 |
20140065555 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - According to one embodiment, a manufacturing method includes forming a desired pattern containing an uneven pattern on a substrate, subjecting the surface of the desired pattern to a water repellent treatment, forming a resist film on the desired pattern, performing an exposure treatment to expose the uneven pattern, rinsing the substrate with water, and drying the substrate. | 03-06-2014 |
20140080067 | METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT FABRICATION - A method of fabricating a semiconductor integrated circuit (IC) is disclosed. The method includes providing a substrate having two different topography areas adjacent to each other. A step-forming material (SFM) is deposited over the substrate. A patterned SFM is formed in the low topography area of the two areas. The formation of the patterned SFM provides a fairly planar surface across over the substrate. | 03-20-2014 |
20140080068 | METHOD OF FORMING PATTERNS - A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film, wherein the resist composition contains a resin capable of increasing the polarity by the action of the acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) forming a protective film on the resist film with a protective film composition after forming the resist film and before exposing the resist film, (c) exposing the resist film via an immersion medium, and (d) performing development with a negative developer. | 03-20-2014 |
20140087312 | METHOD FOR MANUFACTURING OF ORGANIC LIGHT EMITTING DISPLAY DEVICE - Disclosed is a method of manufacturing an organic light emitting display device. The method include forming a driving thin film transistor and passivation layer on a substrate, forming a bank layer at a boundary portion between adjacent sub-pixels, on the passivation layer, laminating a first photoresist film on the bank layer, forming a first photoresist pattern by irradiating IR light on the first photoresist film in an area except a first sub-pixel, depositing a first organic emission layer in the first sub-pixel area exposed by the first photoresist pattern, removing the first photoresist pattern, laminating a second photoresist film on the bank layer, forming a second photoresist pattern by irradiating IR light on the second photoresist film in an area except a second sub-pixel, depositing a second organic emission layer in the second sub-pixel area exposed by the second photoresist pattern, and removing the second photoresist pattern. | 03-27-2014 |
20140170566 | METHOD AND APPARATUS FOR MERGING MULTIPLE GEOMETRICAL PIXEL IMAGES AND GENERATING A SINGLE MODULATOR PIXEL IMAGE - The present invention relates to customizing individual workpieces, such as chip, flat panels or other electronic devices produced on substrates, by direct writing a custom pattern. Customization can be per device, per substrate, per batch or at some other small volume that makes it impractical to use a custom mask or mask set. In particular, it relates to customizing a latent image formed in a patterning sensitive layer over a substrate, merging standard and custom pattern data to form a custom pattern used to produce the customized latent image. A wide variety of substrates can benefit from the technology disclosed. | 06-19-2014 |
20140170567 | RESIST UNDERLAYER FILM-FORMING COMPOSITION FOR EUV LITHOGRAPHY CONTAINING CONDENSATION POLYMER - There is provided a resist underlayer film composition for EUV lithography that is used in a device production process using EUV lithography, reduces adverse effects of EUV, and is effective for obtaining a good resist pattern, and to a method for forming a resist pattern that uses the resist underlayer film composition for EUV lithography. A resist underlayer film-forming composition for EUV lithography, including: a polymer having a repeating unit structure of formula (1): | 06-19-2014 |
20140193755 | Amplification Method For Photoresist Exposure In Semiconductor Chip Manufacturing - An electrical field is applied through an extreme ultraviolet (EUV) photoresist layer along a direction perpendicular to an interface between the EUV photoresist layer and an underlying layer. Secondary electrons and thermal electrons are accelerated along the direction of the electrical field, and travel with directionality before interacting with the photoresist material for a chemical reaction. The directionality increases the efficiency of electron photoacid capture, reducing the required EUV dose for exposure. Furthermore, this directionality reduces lateral diffusion of the secondary and thermal electrons, and thereby reduces blurring of the image and improves the image resolution of feature edges formed in the EUV photoresist layer. The electrical field may be generated by applying a direct current (DC) and/or alternating current (AC) bias voltage across an electrostatic chuck and a conductive plate placed over the EUV photoresist layer with a hole for passing the EUV radiation through. | 07-10-2014 |
20140205954 | METHOD FOR FORMING PATTERNS OF SEMICONDUCTOR DEVICE BY USING MIXED ASSIST FEATURE SYSTEM - A method for forming patterns of a semiconductor device includes providing a photomask that includes an array of contact holes in an active region, a plurality of first dummy contact holes for restricting pattern distortion of the contact holes in an area outside of the array of the contact holes, a plurality of first assist features for restricting pattern distortion of the first dummy contact holes disposed inside a corresponding one of the first dummy contact holes, and an array of second assist features for additionally restricting pattern distortion of the first dummy contact holes. The array of second assist features is disposed outside of the first dummy contact holes. The method also includes forming an array of contact holes and first dummy contact holes on a wafer by using the photomask as an exposure mask. | 07-24-2014 |
20140212817 | METHOD AND APPARATUS FOR FABRICATING WAFER BY CALCULATING PROCESS CORRECTION PARAMETERS - A method of calculating an overlay correction model in a unit for the fabrication of a wafer is disclosed. The method comprises measuring overlay deviations of a subset of first overlay marks and second overlay marks by determining the differences between the subset of first overlay marks generated in the first layer and corresponding ones of the subset of second overlay marks generated in the second layer. | 07-31-2014 |
20140220493 | Self Aligned Patterning With Multiple Resist Layers - A method for using self aligned multiple patterning with multiple resist layers includes forming a first patterned resist layer onto a substrate, forming a spacer layer on top of the first patterned resist layer such that spacer forms on side walls of features of the first resist layer, and forming a second patterned resist layer over the spacer layer and depositing a masking layer. The method further includes performing a planarizing process to expose the first patterned resist layer, removing the first resist layer, removing the second resist layer, and exposing the substrate. | 08-07-2014 |
20140248564 | AROMATIC POLYCARBONATE COMPOSITION - The present invention relates to a polymer composition comprising the following components: a) 76,6-99,9 mass % of aromatic polycarbonate, b) 0,5-20 mass % of laser direct structuring additive, c) 0-2,4 mass % of rubber like polymer, and d) 0,01-1 mass % of acid and/or acid salt wherein the mass % is calculated relative to the sum of a), b), c) and d). The invention also relates to a moulded part containing this composition, to a circuit carrier containing such moulded part and to a process for producing such circuit carrier. | 09-04-2014 |
20140255851 | Photoresist Defect Reduction System and Method - A system and method for reducing defects in photoresist processing is provided. An embodiment comprises cleaning the photoresist after development using an alkaline environment. The alkaline environment may comprise a neutral solvent and an alkaline developer. The alkaline environment will modify the attraction between residue leftover from development and a surface of the photoresist such that the surfaces repel each other, making the removal of the residue easier. By removing more residue, there will be fewer defects in the photolithographic process. | 09-11-2014 |
20140272716 | Photoresist System and Method - A system and method for photoresists is provided. In an embodiment the photoresist is exposed in a photoresist track system and developed in an offline developing system. After the photoresist is exposed, the photoresist may be idled for a time period prior to being developed in the offline developing system. | 09-18-2014 |
20140272717 | System and Method for Lithography Exposure with Correction of Overlay Shift Induced by Mask Heating - A method of exposing a wafer substrate includes receiving an integrated circuit (IC) design layout defining a pattern; determining a temperature profile of a mask based on the IC design layout, the pattern being formed on the mask; calculating a pre-corrected overlay shift for the mask based on the calculated temperature profile; and exposing a resist layer coated on a substrate using the mask with overlay compensation based on the pre-corrected overlay shift. | 09-18-2014 |
20140295353 | MANUFACTURING METHOD OF CIRCUIT STRUCTURE - A manufacturing method of a circuit structure is provided. A metal layer having an upper surface is provided. A surface passivation layer is formed on the metal layer. The surface passivation layer exposes a portion of the upper surface of the metal layer, and a material of the metal layer is different from a material of the surface passivation layer. A covering layer is formed on the surface passivation layer, and the covering layer covers the surface passivation layer. | 10-02-2014 |
20140302441 | METHOD FOR MANUFACTURING A MAGNETORESISTIVE SENSOR USING SIMULTANEOUSLY FORMED HARD BIAS AND ELECTRICAL LAPPING GUIDE - A method for manufacturing a magnetic sensor using an electrical lapping guide deposited and patterned simultaneously with a hard bias structure of the sensor material. The method includes depositing a sensor material, and patterning and ion milling the sensor material to define a track width of the sensor. A magnetic, hard bias material is then deposited and a second patterning and ion milling process is performed to simultaneously define the back edge of an electrical lapping guide and a back edge of the sensor. | 10-09-2014 |
20140329180 | Method Of Fabricating Substrate For Organic Light-Emitting Device - A substrate for an organic light-emitting device which can improve the light extraction efficiency of an organic light-emitting device while realizing an intended level of transmittance, a method of fabricating the same, and an organic light-emitting device having the same. Light emitted from the OLED is emitted outward through the substrate. The substrate includes a substrate body and a number of crystallized particles disposed inside the substrate body, the number of crystallized particles forming a pattern inside the substrate body. | 11-06-2014 |
20140363771 | DISPLAY PANEL AND MANUFACTURING METHOD THEREOF AND IMAGE DISPLAY SYSTEM - An embodiment of the invention provides a manufacturing method of a display panel. The method includes: providing a first substrate, a second substrate and a liquid crystal layer sandwiched therebetween, wherein the liquid crystal layer is doped with a photopolymerization monomer, and the first substrate has a first surface facing the liquid crystal layer, and the second substrate has a second surface facing the liquid crystal layer; and performing an irradiation process on the liquid crystal layer by using a photomask to polymerize the photopolymerization monomer, so as to form a first alignment layer with a first trench pattern on the first surface and a second alignment layer with a second trench pattern on the second surface. | 12-11-2014 |
20140370446 | COMPOSITION FOR PHOTORESIST DEVELOPMENT AND METHOD OF DEVELOPING PHOTORESIST USING THE SAME - The present invention provides a composition for developing a photoresist containing a carboxyl group (—COOH) and a method of developing a photoresist using the composition. The composition includes: a first solution including a salt containing a monovalent cationic component; and a second solution including a salt containing a bivalent cationic component. The composition for photoresist development is advantageous in that the developing depth of a photoresist can be controlled, and the developed surface of a photoresist is flat, thereby enabling the photoresist to be developed to realize precise three-dimensional packaging. | 12-18-2014 |
20140370447 | SEMICONDUCTOR DEVICE RESOLUTION ENHANCEMENT BY ETCHING MULTIPLE SIDES OF A MASK - A mask is disclosed which includes a plurality of first phase shift regions disposed on a first side of the mask, and a plurality of second phase shift regions disposed on a second side of the mask. The first phase shift regions and second phase shift regions may be alternating phase shift regions in which phase shift of the first phase shift regions is out of phase, for instance by 180 degrees, from phase shift of the second phase shift regions. A method for forming the mask, and a semiconductor device fabrication method using the mask is also disclosed. | 12-18-2014 |
20150050597 | SOLUTION COMPOSITION FOR PASSIVATION LAYER, THIN FILM TRANSISTOR ARRAY PANEL, AND MANUFACTURING METHOD FOR THIN FILM TRANSISTOR ARRAY PANEL - A passivation layer solution composition is provided A passivation layer solution composition according to an exemplary embodiment of the present invention includes an organic siloxane resin represented by Chemical Formula 1 below. | 02-19-2015 |
20150079523 | POLYMER SHEET PATTERNING AND ITS ASSEMBLY USING SLIT CHANNEL LITHOGRAPHY - Synthesizing polymeric sheets in a slit fluidic channel by projection of a pulse of illumination to the channel. A slit channel can include a polymeric device with a plane's width larger than 1 mm. A glass plate is placed above the channel to prevent the channel from sagging. A photocurable prepolymer is flowed through the channel. The flow is paused and an illumination is projected to the channel through a photomask, produces a polymer sheet. The polymer sheet is then flushed out by resuming the flow. This process is repeated enabling continuous synthesis of polymeric sheets. The sheets can obtain any patterns defined by the photomask design, such as micropores and other geometrical patterns. These polymer sheets can be used in many emerging areas of technologies such as lab-on-a-chip, tissue engineering and organic electronics. | 03-19-2015 |
20150132702 | Photo-Resist with Floating Acid - A method for fabricating a semiconductor product includes applying a photo-resist layer to a substrate, the photo-resist layer including a higher acid concentration at an upper portion of the photo-resist layer than at a lower portion of the photo-resist layer. The method also includes exposing the photo-resist layer to a light source through a mask including a feature, the photo-resist layer including a floating, diffusing acid that will diffuse into a region of the photo-resist layer affected by the feature while not diffusing into a feature formed by the mask. | 05-14-2015 |
20150348775 | Projection Patterning With Exposure Mask - A process for fabricating an integrated circuit is provided. The process includes providing a substrate and forming a hard mask on the substrate. The hard mask may be formed by atomic-layer deposition (ALD) or molecular-layer deposition (MLD). The process also includes disposing an exposure mask over the hard mask and exposing the exposure mask to a patterning particle to pattern a gap in the hard mask. The patterning particle may be, for example, a photon or a charged particle. | 12-03-2015 |
20150351249 | IMAGING ON SUBSTRATES WITH AQUEOUS ALKALINE SOLUBLE UV BLOCKING COMPOSITIONS AND AQUEOUS SOLUBLE UV TRANSPARENT FILMS - Substrates, such as printed circuit boards, are coated with an aqueous alkaline developable UV photosensitive material followed by applying an aqueous soluble UV transparent film to coat the UV photosensitive material. An aqueous alkaline soluble UV blocking composition is selectively applied to the surface of the UV blocking film to function as a mask. UV light is applied to portions of the UV photosensitive material not covered by the mask. The UV blocking composition, UV transparent film and selective sections of the UV photosensitive material are simultaneously removed with an aqueous alkaline developer solution to form an image on the substrate. | 12-03-2015 |
20160018350 | FLEXIBLE MULTI-MODULED NANOPARTICLE-STRUCTURED SENSOR ARRAY ON POLYMER SUBSTRATE AND METHODS FOR MANUFACTURE - A flexible chemiresistor (CR) sensor for sensing a molecule of interest in a fluid (liquid or gas) is provided. The flexible CR sensor comprises a flexible chemiresistor (CR) module. The flexible CR module comprises a flexible substrate such polyethylene terephthalate (PET), polyethylene naphthalate (PEN) or polyimide (PI), and a thin film nanoparticle assembly assembled on the flexible substrate. The thin film nanoparticle assembly comprises metal or metal alloy core, ligand-capped nanoparticles and molecular linkers connecting the nanoparticles. The flexible CR sensor and an intelligent pattern recognition engine can be incorporated in a handheld device that can detect a molecule of interest in a fluid (e.g., a liquid or gas) accurately, rapidly, and without false positives. Any sensing array nanomaterial, pattern recognition, and compact/or electronic hardware can be integrated to achieve a desired detection limit and response speed. | 01-21-2016 |
20160018740 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - According to one embodiment, an exposure method is disclosed. The method includes irradiating a first light and a second light on a mask including a plurality of light transmitting portions arranged in a periodic pattern. The first light has a peak of intensity at a first wavelength. The second light has a peak of intensity at a second wavelength. The first wavelength is shorter than a distance between the mask and a substrate disposed to be separated from the mask. The second wavelength is longer than the first wavelength. The method includes irradiating a first interference light transmitted through the light transmitting portions and a second interference light transmitted through the light transmitting portions on the substrate. | 01-21-2016 |
20160042942 | PATTERN FORMING METHOD AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A pattern forming method includes forming a spin on dielectric film on a substrate, washing the spin on dielectric film by using a washing liquid, drying a surface of the spin on dielectric film after the washing, forming a photosensitive film on the dried coating type insulation film, emitting energy rays to a predetermined position of the photosensitive film in order to form a latent image on the photosensitive film, developing the photosensitive film in order to form a photosensitive film pattern which corresponds to the latent image, and processing the spin on dielectric film with the photosensitive film pattern serving as a mask. | 02-11-2016 |
20160055291 | Grid Refinement Method - The present disclosure provides an embodiment of a method, for a lithography process for reducing a critical dimension (CD) by a factor n wherein n<1. The method includes providing a pattern generator having a first pixel size S | 02-25-2016 |
20160097972 | PHASE SHIFT MASK AND METHOD OF MANUFACTURING DISPLAY APPARATUS USING THE SAME - Provided is a method of manufacturing a display apparatus, the method including forming an amorphous silicon layer on a substrate; changing amorphous silicon in the amorphous silicon layer into crystalline silicon by irradiating the amorphous silicon with a laser beam emitted through a phase shift mask; and forming a display device, the phase shift mask including a base substrate; a barrier layer on the base substrate and including a plurality of transmissive portions which are spaced apart from each other in a first direction; and phase shift portions which alternately fill the plurality of transmissive portions in the first direction. | 04-07-2016 |
20160097977 | Orthogonal Processing of Organic Materials Used in Electronic and Electrical Devices - An orthogonal process for photolithographic patterning organic structures is disclosed. The disclosed process utilizes fluorinated solvents or supercritical CO | 04-07-2016 |
20160152642 | FLOURINE-CONTAINING COMPOUND, SUBSTRATE FOR PATTERNING, PHOTODEGRADABLE COUPLING AGENT, PATTERNING METHOD, AND COMPOUND | 06-02-2016 |
20160162624 | METHODS FOR OPTICAL PROXIMITY CORRECTION IN THE DESIGN AND FABRICATION OF INTEGRATED CIRCUITS USING EXTREME ULTRAVIOLET LITHOGRAPHY - A method of optical proximity correction (OPC) in extreme ultraviolet lithography (EUV) lithography includes providing a patterned layout design including first and second design polygons that correspond with the pre-pattern opening, wherein the first and second design polygons are separated by a separation distance, and correcting the patterned layout design using OPC by generating (1) a third polygon that has dimensions corresponding to a combination of the first and second design polygons and the separation distance and (2) and filled polygon within the third polygon, thereby generating an OPC-corrected patterned layout design. EUV photomasks may be manufactured from the OPC-corrected patterned layout design, and integrated circuits may be fabricated using such EUV photomasks. | 06-09-2016 |
20160252815 | Photoresist with Floating-OOB-Absorption Additive | 09-01-2016 |
20190146348 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR PROCESSING SYSTEM | 05-16-2019 |