Entries |
Document | Title | Date |
20080217616 | Semiconductor integrated circuit device and a method of fabricating the same - A method of fabricating a semiconductor integrated circuit includes forming a first dielectric layer on a semiconductor substrate, patterning the first dielectric layer to form a first patterned dielectric layer, forming a non-single crystal seed layer on the first patterned dielectric layer, removing a portion of the seed layer to form a patterned seed layer, forming a second dielectric layer on the first patterned dielectric layer and the patterned seed layer, removing portions of the second dielectric layer to form a second patterned dielectric layer, irradiating the patterned seed layer to single-crystallize the patterned seed layer, removing portions of the first patterned dielectric layer and the second patterned dielectric layer such that the single-crystallized seed layer protrudes in the vertical direction with respect to the first and/or the second patterned dielectric layer, and forming a gate electrode in contact with the single-crystal active pattern. | 09-11-2008 |
20080224139 | THIN FILM TRANSISTOR - A thin film transistor including a substrate, a gate, a gate insulator layer, a semiconductor layer, an ohmic contact layer, a source and a drain is provided. The gate is disposed on the substrate while the gate insulator layer is disposed on the substrate and covers the gate. The semiconductor layer is disposed on the gate insulator layer above the gate. The semiconductor layer includes an undoped amorphous silicon layer and a first undoped microcrystalline silicon (μc-Si) layer, wherein the first undoped μc-Si layer is disposed on the undoped amorphous silicon layer. The ohmic contact layer is disposed on part of the semiconductor layer and the source and the drain are disposed on the ohmic contact layer. Therefore, the thin film transistor has better quality control and electrical characteristics. | 09-18-2008 |
20080224140 | Semiconductor device and manufacturing method thereof - It is an object of the present invention to provide a semiconductor device mounted with a memory which can be driven in the ranges of a current value and a voltage value which can be generated from a wireless signal. It is another object to provide a write-once read-many memory into which data can be written anytime after manufacture of a semiconductor device. An antenna, an antifuse-type ROM, and a driver circuit are formed over a substrate having an insulating surface. A stacked layer of a silicon film and a germanium film is interposed between a pair of electrodes included in the antifuse-type ROM. The antifuse-type ROM having this stacked layer can reduce fluctuation in writing voltage. | 09-18-2008 |
20080237594 | PIXEL STRUCTURE AND MANUFACTURING METHOD THEREOF - A method of manufacturing a pixel structure is provided. A first patterned conductive layer including a gate and a data line is formed on a substrate. A gate insulating layer is formed to cover the first patterned conductive layer and a semiconductor channel layer is formed on the gate insulating layer above the gate. A second patterned conductive layer including a scan line, a common line, a source and a drain is formed on the gate insulating layer and the semiconductor channel layer. The scan line is connected to the gate and the common line is located above the data line. The source and drain are located on the semiconductor channel layer, and the source is connected to the data line. A passivation layer is formed on the substrate to cover the second patterned conductive layer. A pixel electrode connected to the drain is formed on the passivation layer. | 10-02-2008 |
20080237595 | THIN FILM TRANSISTOR INCLUDING TITANIUM OXIDES AS ACTIVE LAYER AND METHOD OF MANUFACTURING THE SAME - Disclosed herein is a method of manufacturing a thin film transistor including titanium oxides as an active layer and the structure of the thin film transistor film manufactured using the method. The thin film transistor includes: a substrate; an active layer formed on the substrate using polycrystalline or amorphous titanium oxides; and an insulating layer formed on the active layer. Further, the method of manufacturing the thin film transistor includes: forming a substrate; forming an active layer on the substrate using polycrystalline or amorphous titanium oxides; and forming an insulating layer on the active layer. The present invention is advantageous in that the performance of the thin film transistor can be improved, the thin film transistor can be manufactured at low cost, harmful environmental problems can be solved, and the thin film transistor can be widely applied to various electronic apparatuses including, but not limited to, integrated drivers in active-matrix displays and transparent electronic devices. | 10-02-2008 |
20080258146 | THIN-FILM TRANSISTOR AND FABRICATION METHOD THEREOF - A fabrication method of a TFT includes successively forming four thin films containing a first conductive layer, an insulation layer, a semiconductor layer, and a second conductive layer on a substrate, performing a first PEP process to pattern the four thin films for forming a semiconductor island and a gate electrode with the semiconductor layer and the first conductive layer respectively. Then, a laser ablation process is performed to define a channel pattern in the four thin films and remove a portion of the second conductive layer so that unconnected source electrode and drain electrode are formed with the second conductive layer. | 10-23-2008 |
20080277663 | Thin film transistor and method of manufacturing the same - Provided is a thin film transistor that includes a substrate on which an insulating layer is formed, a gate formed on a region of the insulating layer, a gate insulating layer formed on the insulating layer and the gate, a channel region formed on the gate insulating layer on a region corresponding to the location of the gate, a source and a drain respectively formed by contacting either side of the channel region; and a passivation layer formed of a compound made of a group II element and a halogen element on the channel region. | 11-13-2008 |
20080308802 | Capacitor-Less Memory - It is an object of the present invention to provide a capacitor-less memory which can prevent a change of a threshold voltage due to flowing out of carriers and improve the memory retention property without a complicated structure. In the capacitor-less memory which uses a transistor, the transistor includes a source region, a drain region, an active layer region which is provided between the source region and the drain region, and a gate electrode which is adjacent to the active layer region with an insulating film interposed therebetween. The source region is formed of a semiconductor having a larger band gap than a band gap of a semiconductor of the active layer region and a band gap of a semiconductor of the drain region, and a heterojunction is formed at the interface between the source region and the active layer region. | 12-18-2008 |
20080315199 | THIN FILM TRANSISTOR MANUFACTURING METHOD, THIN FILM TRANSISTOR AND DISPLAY DEVICE USING THE SAME - A thin film transistor manufacturing method includes the steps of: forming a gate electrode, gate insulating film and amorphous silicon film in succession on an insulating substrate; forming a channel protective film only in the region which will serve as a channel region of the amorphous silicon film; and forming an n-plus silicon film and metal layer on top of the channel protective film and amorphous silicon film in succession. The method further includes the step of patterning the amorphous silicon film and n-plus silicon film to selectively leave the region associated with source and drain electrodes, using the channel protective film as an etching stopper to selectively remove the region of the n-plus silicon film and metal layer associated with the channel region so as to form source and drain regions from the n-plus silicon film and also form source and drain electrodes from the metal layer. | 12-25-2008 |
20080315200 | Oxide semiconductors and thin film transistors comprising the same - Oxide semiconductors and thin film transistors (TFTs) including the same are provided. An oxide semiconductor includes Zn atoms and at least one of Hf and Cr atoms added thereto. A thin film transistor (TFT) includes a channel including an oxide semiconductor including Zn atoms and at least one of Hf and Cr atoms added thereto. | 12-25-2008 |
20090020757 | Flash Anneal for a PAI, NiSi Process - A structure and a method for mitigation of the damage arising in the source/drain region of a MOSFET is presented. A substrate is provided having a gate structure comprising a gate oxide layer and a gate electrode layer, and a source and drain region into which impurity ions have been implanted. A PAI process generates an amorphous layer within the source and drain region. A metal is deposited and is reacted to create a silicide within the amorphous layer, without exacerbating existing defects. Conductivity of the source and drain region is then recovered by flash annealing the substrate. | 01-22-2009 |
20090045401 | Semiconductor device and manufacturing method thereof - The present invention relates to a semiconductor device including a thin film transistor comprising a microcrystalline semiconductor which forms a channel formation region and includes an acceptor impurity element, and to a manufacturing method thereof. A gate electrode, a gate insulating film formed over the gate electrode, a first semiconductor layer which is formed over the gate insulating film and is formed of a microcrystalline semiconductor, a second semiconductor layer which is formed over the first semiconductor layer and includes an amorphous semiconductor, and a source region and a drain region which are formed over the second semiconductor layer are provided in the thin film transistor. A channel is formed in the first semiconductor layer when the thin film transistor is placed in an on state. | 02-19-2009 |
20090050888 | Semiconductor device and manufacturing method thereof - The present invention has an object to provide an active-matrix liquid crystal display device that realizes the improvement in productivity as well as in yield. In the present invention, a laminate film comprising the conductive film comprising metallic material and the second amorphous semiconductor film containing an impurity element of one conductivity type and the amorphous semiconductor film is selectively etched with the same etching gas to form a side edge of the first amorphous semiconductor film | 02-26-2009 |
20090090909 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - To improve field effect mobility of an inverted-staggered TFT using amorphous silicon. In an inverted-staggered TFT, a thin amorphous semiconductor layer which is made to have n-type conductivity is formed between a gate insulating film and an amorphous semiconductor layer. By depositing an amorphous semiconductor layer after a substrate over which up to a gate insulating film is formed is exposed to an atmosphere which contains a phosphine gas in a small amount, an amorphous semiconductor layer which contains phosphorus is formed during the early stage of deposition of the amorphous semiconductor layer. The thus obtained amorphous semiconductor layer has the concentration peak of phosphorus around the surface of the gate insulating film. | 04-09-2009 |
20090101899 | STACKED STRUCTURE AND METHOD OF PATTERNING THE SAME AND ORGANIC THIN FILM TRANSISTOR AND ARRAY HAVING THE SAME - A stacked structure including a soluble organic semiconductor material and a water soluble photosensitive material is provided. The water soluble photosensitive material is disposed on the surface of the soluble organic semiconductor material. | 04-23-2009 |
20090140250 | SEMICONDUCTOR DEVICE - An object is to reduce off-current of a thin film transistor. Another object is to improve electric characteristics of a thin film transistor. Further, it is still another object to improve image quality of a display device using the thin film transistor. An aspect of the present invention is a thin film transistor including a semiconductor film formed over a gate electrode and in an inner region of the gate electrode which does not reach an end portion of the gate electrode, with a gate insulating film interposed therebetween, a film covering at least a side surface of the semiconductor film, and a pair of wirings over the film covering the side surface of the semiconductor film; in which an impurity element serving as a donor is added to the semiconductor film. | 06-04-2009 |
20090140251 | THIN FILM TRANSISTOR, DISPLAY DEVICE INCLUDING THIN FILM TRANSISTOR, AND METHOD FOR MANUFACTURING THE SAME - A thin film transistor having excellent electric characteristics, a display device including the thin film transistor, and a manufacturing method thereof are provided. In a thin film transistor in which a microcrystalline germanium film, a gate insulating film in contact with one surface of the microcrystalline germanium film, and a gate electrode overlap with one another and a display device including the thin film transistor, a buffer layer is formed over the other surface of the microcrystalline germanium film. By using a microcrystalline germanium film for a channel formation region, a thin film transistor with high field-effect mobility and high on-current can be manufactured, and by providing a buffer layer between the microcrystalline germanium film functioning as a channel formation region and a source and drain regions, a thin film transistor with low off-current can be manufactured, that is, a thin film transistor with excellent electric characteristics can be manufactured. | 06-04-2009 |
20090152550 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device including a microcrystalline semiconductor film with favorable quality and a method for manufacturing the semiconductor device. In a thin film transistor formed using a microcrystalline semiconductor film, yttria-stabilized zirconia having a fluorite structure is formed in the uppermost layer of a gate insulating film in order to improve quality of a microcrystalline semiconductor film to be formed in the initial stage of deposition. The microcrystalline semiconductor film is deposited on the yttria-stabilized zirconia, so that the microcrystalline semiconductor film around an interface with a base particularly has favorable crystallinity while by crystallinity of the base. | 06-18-2009 |
20090159884 | THIN-FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND DISPLAY DEVICE - A method of manufacturing a thin-film transistor according to an embodiment of the present invention includes the step of forming a gate insulator on a gate electrode. The gate insulator includes at least a first region being in contact with a hydrogenated amorphous silicon film, and a second region positioned below the first region. The first and second regions are deposited using a source gas including NH | 06-25-2009 |
20090159885 | DIODE AND DISPLAY DEVICE INCLUDING DIODE - A thin film transistor which includes a microcrystalline semiconductor film over a gate electrode with a gate insulating film interposed therebetween to be in an inner region in which end portions of microcrystalline semiconductor film are in an inside of end portions of the gate electrode, an amorphous semiconductor film which covers top and side surfaces of the microcrystalline semiconductor film, and an impurity semiconductor film to which an impurity element imparting one conductivity is added, and which forms a source region and a drain region, wherein the microcrystalline semiconductor film includes an impurity element serving as a donor is provided to reduce off current of a thin film transistor, to reduce reverse bias current of a diode, and to improve an image quality of a display device using a thin film transistor. | 06-25-2009 |
20090166629 | REDUCING GATE CD BIAS IN CMOS PROCESSING - A method of forming an integrated circuit having an NMOS transistor and a PMOS transistor is disclosed. The method includes performing pre-gate processing in a NMOS region and a PMOS region over and/or in a semiconductor body, and depositing a polysilicon layer over the semiconductor body in both the NMOS and PMOS regions. The method further includes performing a first type implant into the polysilicon layer in one of the NMOS region and PMOS region, and performing an amorphizing implant into the polysilicon layer in both the NMOS and PMOS regions, thereby converting the polysilicon layer into an amorphous silicon layer. The method further includes patterning the amorphous silicon layer to form gate electrodes, wherein a gate electrode resides in both the NMOS and PMOS regions. | 07-02-2009 |
20090166630 | Array substrate of liquid crystal display and method for fabricating the same - A thin film transistor (TFT) for a liquid crystal display device includes a gate electrode, a source electrode, a drain electrode, an active region including a first semiconductor layer and a second semiconductor layer interposed within the first semiconductor layer, and an ohmic contact layer formed on the active region, wherein the source and drain electrodes are formed on the ohmic contact layer. | 07-02-2009 |
20090166631 | THIN FILM TRANSISTOR AND DISPLAY DEVICE INCLUDING THE SAME - One object of the present invention is reduction of off current of a thin film transistor. Another object of the present invention is improvement of electric characteristics of the thin film transistor. Further, another object of the present invention is improvement of image quality of the display device including the thin film transistor. The thin film transistor includes a semiconductor film containing germanium at a concentration greater than or equal to 5 at. % and less than or equal to 100 at. % or a conductive film which is provided over a gate electrode with the gate insulating film interposed therebetween and which is provided in an inner region of the gate electrode so as not to overlap with an end portion of the gate electrode, a film covering at least a side surface of the semiconductor film containing germanium at a concentration greater than or equal to 5 at. % and less than or equal to 100 at. % or the conductive film, a pair of wirings formed over the film covering the side surface of the semiconductor film containing germanium at a concentration greater than or equal to 5 at. % and less than or equal to 100 at. % or the conductive film. | 07-02-2009 |
20090173941 | METHOD FOR FABRICATING A SEMICONDUCTOR STRUCTURES AND STRUCTURES THEREOF - Methods of fabricating a semiconductor structure with a non-epitaxial thin film disposed on a surface of a substrate of the semiconductor structure; and semiconductor structures formed thereof are disclosed. The methods provide selective non-epitaxial growth (SNEG) or deposition of amorphous and/or polycrystalline materials to form a thin film on the surface thereof. The surface may be a non-crystalline dielectric material or a crystalline material. The SNEG on non-crystalline dielectric further provides selective growth of amorphous/polycrystalline materials on nitride over oxide through careful selection of precursors-carrier-etchant ratio. The non-epitaxial thin film forms resultant and/or intermediate semiconductor structures that may be incorporated into any front-end-of-the-line (FEOL) fabrication process. Such resultant/intermediate structures may be used, for example, but are not limited to: source-drain fabrication; hardmask strengthening; spacer widening; high-aspect-ratio (HAR) vias filling; micro-electro-mechanical-systems (MEMS) fabrication; FEOL resistor fabrication; lining of shallow trench isolations (STI) and deep trenches; critical dimension (CD) tailoring and claddings. | 07-09-2009 |
20090200551 | MICROCRYSTALLINE SILICON THIN FILM TRANSISTOR - Methods for forming a microcrystalline silicon layer in a thin film transistor structure are provided. In one embodiment, a method for forming a microcrystalline silicon layer includes providing a substrate in a processing chamber, supplying a first gas mixture having a hydrogen containing gas to a silicon containing gas flow rate ratio greater than about 200:1 into the processing chamber, maintaining a first process pressure greater than about 6 Torr in the processing chamber to deposit a first microcrystalline silicon containing layer in presence of a plasma formed from the first gas mixture, supplying a second gas mixture into the processing chamber, and maintaining a second process pressure less than about 5 Torr in the processing chamber to deposit a second microcrystalline silicon containing layer in presence of a plasma formed from the second gas mixture. | 08-13-2009 |
20090200552 | MICROCRYSTALLINE SILICON THIN FILM TRANSISTOR - Methods for forming a microcrystalline silicon layer in a thin film transistor structure are provided. In one embodiment, a method for forming a microcrystalline silicon layer includes providing a substrate in a processing chamber, supplying a gas mixture having a hydrogen-based gas, a silicon-based gas and an argon gas into the processing chamber, the gas mixture having a volumetric flow ratio of the hydrogen-based gas to the silicon-based gas greater than about 100:1, wherein a volumetric flow ratio of the argon gas to the total combined flow of hydrogen-based gas and the silicon-based gas is between about 5 percent and about 40 percent, and maintaining a process pressure of the gas mixture within the processing chamber at greater than about 3 Torr while depositing a microcrystalline silicon layer on the substrate. | 08-13-2009 |
20090200553 | HIGH TEMPERATURE THIN FILM TRANSISTOR ON SODA LIME GLASS - The present invention generally comprises a low cost TFT and a method of manufacturing a TFT. For TFTs, soda lime glass would be an attractive alternative to non-alkali glass, but a soda lime glass substrate will permit sodium to diffuse into the active layer and degrade the performance of the TFT. Substrates comprising a polyimide, because they are flexible, would also be attractive to utilize instead of non-alkali glass substrates, but the plastic substrates permit carbon to diffuse into the active layer. By depositing a silicon oxynitride adhesion layer over the soda lime glass substrate and a silicon rich barrier layer over the adhesion layer, diffusion may be reduced and deposition may occur at high temperatures. Thus, a lower cost TFT may be produced with a soda lime glass substrate or a substrate comprising a polyimide as compared to a non-alkali glass substrate. | 08-13-2009 |
20090212286 | METHOD FOR MAKING AMORPHOUS POLYCRYSTALLINE SILICON THIN-FILM CIRCUITS - The invention relates to the fabrication of thin-film transistors made of amorphous silicon and of polycrystalline silicon on one and the same substrate. A polycrystalline silicon island ( | 08-27-2009 |
20090212287 | THIN FILM TRANSISTOR AND METHOD FOR FORMING THE SAME - A thin film transistor (TFT) and the method of forming the same is provided. The method of forming the TFT on a surface of a substrate, includes the steps of: forming a gate electrode; deposing a gate dielectric on the gate electrode; forming a nanocrystalline silicon (nc-Si) layer and an amorphous silicon (a-Si:H) layer above the gate dielectric, so that the thickness of the nc-Si layer is less than 30 nm thereby reducing off-current; and forming a source/drain electrode. The TFT includes: a gate electrode on a substrate, a gate dielectric on the gate electrode; a nc-Si layer having a thickness less than 30 nm, thereby reducing off-current; an a-Si:H layer; and a source/drain electrode. | 08-27-2009 |
20090212288 | THIN FILM TRANSISTOR, DISPLAY DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE DISPLAY DEVICE - A display device including the thin film transistor, and a method of manufacturing the display device are provided. The thin film transistor comprising a first gate electrode, a second gate electrode formed on the first gate electrode, a first semiconductor formed on the first gate electrode and including a polycrystalline semiconductor, a second semiconductor formed on the second gate electrode and including an amorphous semiconductor. | 08-27-2009 |
20090212289 | THIN FILM TRANSISTOR AND METHOD FOR FABRICATING SAME - A method for forming a thin film transistor on a substrate is disclosed. A gate electrode and a gate insulation layer are disposed on a surface of the substrate. A deposition process is performed by utilizing hydrogen diluted silane to form a silicon-contained thin film on the gate insulation layer first. A hydrogen plasma etching process is thereafter performed. The deposition process and the etching process are repeated for at least one time to form an interface layer. Finally, an amorphous silicon layer, n+ doped Si layers, a source electrode, and a drain electrode are formed on the interface layer. | 08-27-2009 |
20090218568 | THIN FILM TRANSISOTR AND DISPLAY DEVICE - To improve problems with on-state current and off-state current of thin film transistors, a thin film transistor includes a pair of impurity semiconductor layers to which an impurity element imparting one conductivity type is added, provided with a space therebetween; a conductive layer which is overlapped, over the gate insulating layer, with the gate electrode and one of the pair of impurity semiconductor layers to which an impurity element imparting one conductivity type is added; and an amorphous semiconductor layer which is provided successively between the pair of impurity semiconductor layers to which an impurity element imparting one conductivity type is added in such a manner that the amorphous semiconductor layer extends over the gate insulating layer from the conductive layer and is in contact with both of the pair of impurity semiconductor layers to which an impurity element imparting one conductivity type is added. | 09-03-2009 |
20090256150 | THIN FILM TRANSISTOR ARRAY PANEL AND METHOD FOR MANUFACTURING THE SAME - A thin film transistor array panel according to an exemplary embodiment of the present invention comprises a substrate; a first signal line and a second signal line disposed on the substrate; a switching thin film transistor connected to the first signal line and the second signal line, and comprising a first insulating layer; a driving thin film transistor connected to the switching thin film transistor and comprising a second insulating layer; and a discharge thin film transistor connected to one of the first signal line and the second signal line, and comprising the first insulating layer and the second insulating layer. | 10-15-2009 |
20090261328 | THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME - Disclosed is a thin film transistor which includes, over a substrate having an insulating surface, a gate insulating layer covering a gate electrode; a semiconductor layer which functions as a channel formation region; and a semiconductor layer including an impurity element imparting one conductivity type. The semiconductor layer exists in a state that a plurality of crystalline particles is dispersed in an amorphous silicon and that the crystalline particles have an inverted conical or inverted pyramidal shape. The crystalline particles grow approximately radially in a direction in which the semiconductor layer is deposited. Vertexes of the inverted conical or inverted pyramidal crystal particles are located apart from an interface between the gate insulating layer and the semiconductor layer. | 10-22-2009 |
20090261329 | DISPLAY DEVICE - Provided is a display device using a TFT serving as a switching element, in which image deterioration of the display device is prevented by suppressing a photo leakage current to be small, and in particular, in which a density of defects which become positive fixed charges by light present in a protective insulating film of the TFT is defined to suppress the photo leakage current. In the display device using the TFT, the TFT includes an insulating film, an amorphous silicon film, a drain electrode and a source electrode, and a protective insulating film laminated on a gate electrode covering a part of a surface of an insulating substrate in the stated order, in which the protective insulating film includes a defect which becomes a positive fixed charge under light irradiation. A surface density of the defects is preferably 2.5×10 | 10-22-2009 |
20090261330 | THIN FILM TRANSISTOR AND MANUFACTURING METHOD THEREOF - It is an object to control quality of a microcrystalline semiconductor film or a semiconductor film including crystal grains so that operation characteristics of a semiconductor element typified by a TFT can be improved. It is another object to improve characteristics of a semiconductor element typified by a TFT by controlling a deposition process of a microcrystalline semiconductor film or a semiconductor film including crystal grains. In addition, it is another object to increase on-state current of a thin film transistor and to reduce off-state current of the thin film transistor. In a semiconductor layer including a plurality of crystalline regions in an amorphous structure, generation positions and generation density of crystal nuclei from which the crystalline regions start to grow are controlled, whereby quality of the semiconductor layer is controlled. In addition, after generation of crystal nuclei from which the crystalline regions start to grow in the semiconductor layer, an impurity element serving as a donor is added to the semiconductor layer, whereby crystallinity of the semiconductor layer is increased and the resistivity of the semiconductor layer is reduced. | 10-22-2009 |
20090261331 | LOW TEMPERATURE THIN FILM TRANSISTOR PROCESS, DEVICE PROPERTY, AND DEVICE STABILITY IMPROVEMENT - A method and apparatus for forming a thin film transistor is provided. A gate dielectric layer is formed, which may be a bilayer, the first layer deposited at a low rate and the second deposited at a high rate. In some embodiments, the first dielectric layer is a silicon rich silicon nitride layer. An active layer is formed, which may also be a bilayer, the first active layer deposited at a low rate and the second at a high rate. The thin film transistors described herein have superior mobility and stability under stress. | 10-22-2009 |
20090267067 | THIN FILM TRANSISTOR - A thin film transistor has a gate electrode; a gate insulating layer provided so as to cover the gate electrode layer; a pair of impurity semiconductor layers forming source and drain regions which is provided so that at least part of each of them overlaps the gate electrode layer and which are provided with a space therebetween; a microcrystalline semiconductor layer provided over the gate insulating layer in part of a channel length; a semiconductor layer provided over the gate insulating layer so as to cover at least the microcrystalline semiconductor layer; and an amorphous semiconductor layer provided between the semiconductor layer and the pair of impurity semiconductor layers. An impurity element which reduces the coordination number of silicon and generates dangling bonds is made to exist in the semiconductor layer. | 10-29-2009 |
20090267068 | THIN FILM TRANSISTOR - The thin film transistor includes a gate insulating layer covering a gate electrode, over a substrate having an insulating surface; a semiconductor layer forming a channel formation region, in which a plurality of crystal regions is included in an amorphous structure; an impurity semiconductor layer imparting one conductivity type which forms a source region and a drain region; and a buffer layer formed from an amorphous semiconductor, which is located between the semiconductor layer and the impurity semiconductor layer. The thin film transistor includes the crystal region which includes minute crystal grains and inverted conical or inverted pyramidal grain each of which grows approximately radially from a position away from an interface between the gate insulating layer and the semiconductor layer toward a direction in which the semiconductor layer is deposited in a region which does not reach the impurity semiconductor layer. | 10-29-2009 |
20090267069 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device includes a p-type TFT having a first semiconductor layer, and an n-type TFT having a second semiconductor layer. A tilted portion, which is widened toward the insulating substrate side, is formed in at least a part of an outer edge portion of the first semiconductor layer. A tilt angle of a surface of the tilted portion to a surface of an insulating substrate, which is an angle formed inside the first semiconductor layer, is smaller than an angle of a side surface of an outer edge portion of the second semiconductor layer to the surface of the insulating substrate, which is an angle formed inside the second semiconductor layer. | 10-29-2009 |
20090272976 | METHOD FOR PRODUCING NMOS AND PMOS DEVICES IN CMOS PROCESSING - A method for producing one or more nMOSFET devices and one or more pMOSFET devices on the same semiconductor substrate is disclosed. In one aspect, the method relates to the use of a single activation anneal that serves for both Si NMOS and Ge pMOS. By use of a solid phase epitaxial regrowth (SPER) process for the Si nMOS, the thermal budget for the Si NMOS can be lowered to be compatible with Ge pMOS. | 11-05-2009 |
20090278126 | METAL LINE SUBSTRATE, THIN FILM TRANSISTOR SUBSTRATE AND METHOD OF FORMING THE SAME - A metal line substrate and a method of fabricating thereof, the metal line substrate including an insulating layer and a capping layer disposed on an insulating substrate, a trench defined by the insulating layer and the capping layer disposed on the insulating substrate, a seed layer pattern disposed on the insulating substrate, and a low-resistive conductive layer pattern disposed in the trench and contacting the seed layer pattern. The capping layer pattern includes a protrusion region which is in contact with the low-resistive conductive layer pattern. | 11-12-2009 |
20090289254 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A TFT formed on an insulating substrate source, drain and channel regions, a gate insulating film formed on at least the channel region and a gate electrode formed on the gate insulating film. Between the channel region and the drain region, a region having a higher resistivity is provided in order to reduce an Ioff current. A method for forming this structure comprises the steps of anodizing the gate electrode to form a porous anodic oxide film on the side of the gate electrode; removing a portion of the gate insulating using the porous anodic oxide film as a mask so that the gate insulating film extends beyond the gate electrode but does not completely cover the source and drain regions. Thereafter, an ion doping of one conductivity element is performed. The high resistivity region is defined under the gate insulating film. | 11-26-2009 |
20090294767 | Isolated Sensor Structures Such As For Flexible Substrates - A photosensor structure includes a pixel metal layer disposed in physical and electrical contact with a pixel thin film transistor and a lower sensor layer of a p-i-n photosensor. The pixel metal layer extends laterally to an extent less that the lower sensor layer such that an overhang region is defined below the lower sensor layer and the adjacent the lateral edge of the pixel metal layer. When the relatively thick intrinsic sensor layer is formed over the lower sensor layer, it attaches to the upper surface and, due to the presence of the overhang region, the lateral edge of the lower sensor layer, forming a discrete intrinsic sensor layer structure over the pixel which is physically isolated from adjacent corresponding structures. This isolation allows for thermal expansion and contraction during formation of the intrinsic sensor layer without cracking the intrinsic sensor layer structure. | 12-03-2009 |
20090294768 | SELF-ALIGNED THIN-FILM TRANSISTOR AND METHOD OF FORMING SAME - A method of manufacturing a thin-film transistor or like structure provides conductive “tails” below an overhang region formed by a top gate structure. The tails increase in thickness as they extend outward from a point under the overhang to the source and drain contacts. The tails provide a low resistance conduction path between the source and drain regions and the channel, with low parasitic capacitance. The thickness profile of the tails is controlled by the deposition of material over and on the lateral side surfaces of the gate structure. | 12-03-2009 |
20090294769 | SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING THE SAME - According to a method of manufacturing a semiconductor device of the present invention, a gate electrode is formed above a substrate, and a insulating film is formed above the gate electrode. Then, an amorphous semiconductor film is formed above the insulating film, laser annealing is performed on the amorphous semiconductor film, and the amorphous semiconductor film is changed to a crystalline semiconductor film. After that, hydrofluoric acid processing is performed on the crystalline semiconductor film, and an amorphous semiconductor film is formed above the crystalline semiconductor film where the hydrofluoric acid processing is performed so that pattern ends of the amorphous semiconductor film are arranged outside pattern ends of the crystalline semiconductor film and the amorphous semiconductor film contacts with the insulating film near the pattern ends. | 12-03-2009 |
20090294770 | SEMICONDUCTOR DEVICE - A semiconductor device includes a substrate, first, second, and third gate lines disposed over the substrate, the first and second gate lines defining a first trench with a first aspect ratio, the second and third gate lines defining a second trench with a second aspect ratio, a first insulating layer formed to decrease the first and second aspect ratios, and a second insulating layer disposed over the first insulating layer to fill the first and second trenches. | 12-03-2009 |
20090321737 | THIN FILM TRANSISTOR - A thin film transistor includes, as a buffer layer, a semiconductor layer which contains nitrogen and includes crystal regions in an amorphous structure between a gate insulating layer and source and drain regions, at least on the source and drain regions side. As compared to a thin film transistor in which an amorphous semiconductor is included in a channel formation region, on-current of a thin film transistor can be increased. In addition, as compared to a thin film transistor in which a microcrystalline semiconductor is included in a channel formation region, off-current of a thin film transistor can be reduced. | 12-31-2009 |
20100001270 | AMORPHOUS SILICON MONOS OR MAS MEMORY CELL STRUCTURE WITH OTP FUNCTION - A semiconductor device with an amorphous silicon (a-Si) metal-oxide-nitride-oxide-silicon (MONOS) or metal-aluminum oxide-silicon (MAS) memory cell structure with one-time programmable (OTP) function. The device includes a substrate, a first dielectric layer overlying the substrate, and one or more source or drain regions embedded in the first dielectric layer with a co-planar surface of n-type a-Si and the first dielectric layer. Additionally, the device includes a p-i-n a-Si diode junction. The device further includes a second dielectric layer on the a-Si p-i-n diode junction and a metal control gate overlying the second dielectric layer. Optionally the device with OTP function includes a conductive path formed between n-type a-Si layer and the metal control gate. A method of making the same memory cell structure is provided and can be repeated to integrate the structure three-dimensionally. | 01-07-2010 |
20100001271 | SEMICONDUCTOR DEVICE WITH AMORPHOUS SILICON MAS MEMORY CELL STRUCTURE AND MANUFACTURING METHOD THEREOF - A semiconductor device with an amorphous silicon (a-Si) metal-aluminum oxide-semiconductor (MAS) memory cell structure. The device includes a substrate, a dielectric layer overlying the substrate, and one or more source or drain regions embedded in the dielectric layer with a co-planar surface of n-type a-Si and the dielectric layer. Additionally, the device includes a p-i-n a-Si diode junction. The device further includes an aluminum oxide charge trapping layer on the a-Si p-i-n diode junction and a metal control gate overlying the aluminum oxide layer. A method is provided for making the a-Si MAS memory cell structure and can be repeated to integrate the structure three-dimensionally. | 01-07-2010 |
20100001272 | THIN FILM TRANSISTORS USING MULTIPLE ACTIVE CHANNEL LAYERS - Embodiments disclosed herein generally relate to TFTs and methods of fabricating the TFTs. In TFTs, the active channel carries the current between the source and drain electrodes. By tailoring the composition of the active channel, the current can be controlled. The active channel may be divided into three layers, a gate control layer, a bulk layer, and an interface control layer. The separate layers may have different compositions. Each of the gate control, bulk and interface control layers may additionally comprise multiple layers that may have different compositions. The composition of the various layers of the active channel comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, indium, cadmium, tin, gallium and combinations thereof. By varying the composition among the layers, the mobility, carrier concentration and conductivity of the various layers may be controlled to produce a TFT having desired properties. | 01-07-2010 |
20100001273 | SEMICONDUCTOR DEVICE, PRODUCTION METHOD THEREOF, AND ELECTRONIC DEVICE - The present invention provides a semiconductor device which includes a thin film transistor as a resistance element, wherein a variation in resistance of the thin film transistor is suppressed without increasing an area of the resistance element and the resistance element can be produced through simplified production steps. The semiconductor device of the present invention is a semiconductor device including a first thin film transistor and a second thin film transistor on a substrate, the first thin film transistor being used as a resistance element, the second thin film transistor including a semiconductor layer having a low concentration drain region and a high concentration drain region, the low concentration drain region and the high concentration drain region being different in impurity concentration, wherein an impurity concentration of a channel region of a semiconductor layer in the first thin film transistor is the same as an impurity concentration of the low concentration drain region of the semiconductor layer in the second thin film transistor. | 01-07-2010 |
20100001274 | Capping Layers for Metal Oxynitride TFTS - A capping layer may be deposited over the active channel of a thin film transistor (TFT) in order to protect the active channel from contamination. The capping layer may affect the performance of the TFT. If the capping layer contains too much hydrogen, nitrogen, or oxygen, the threshold voltage, sub threshold slope, and mobility of the TFT may be negatively impacted. By controlling the ratio of the flow rates of the nitrogen, oxygen, and hydrogen containing gases, the performance of the TFT may be optimized. Additionally, the power density, capping layer deposition pressure, and the temperature may also be controlled to optimize the TFT performance. | 01-07-2010 |
20100001275 | THIN-FILM TRANSISTOR SUBSTRATE AND METHOD OF FABRICATING THE SAME - A thin-film transistor (“TFT”) substrate and a method of fabricating the same include: an insulating substrate; gate wiring which is disposed on the insulating substrate and includes a gate line and a gate electrode; a semiconductor pattern which is disposed on the gate electrode; data wiring which is disposed on the semiconductor pattern and includes a data line, a source electrode, and a drain electrode; a passivation layer which includes a first sub-passivation layer and a second sub-passivation layer deposited on the data wiring; and a pixel electrode which is electrically connected to the drain electrode through a contact hole disposed in the passivation layer, wherein the second sub-passivation layer has a lower density than the first sub-passivation layer. | 01-07-2010 |
20100012935 | CU ALLOY WIRING FILM, TFT ELEMENT FOR FLAT-PANEL DISPLAY USING THE CU ALLOY WIRING FILM, AND CU ALLOY SPUTTERING TARGET FOR DEPOSITING THE CU ALLOY WIRING FILM - An object of the present invention is to provide: a Cu alloy wiring film that makes it possible to use Cu having a low electrical resistivity as a wiring material, exhibit a high adhesiveness to a glass substrate, and avoid the danger of peel off from the glass substrate; a TFT element for a flat-panel display produced with the Cu alloy wiring film; and a Cu alloy sputtering target used for the deposition of the Cu alloy wiring film. | 01-21-2010 |
20100012936 | MANUFACTURING METHOD OF FLEXIBLE SEMICONDUCTOR DEVICE AND FLEXIBLE SEMICONDUCTOR DEVICE - A layered film of a three-layer clad foil formed with a first metal layer | 01-21-2010 |
20100025686 | SEMICONDUCTOR DEVICE WITH AMORPHOUS SILICON MONOS MEMORY CELL STRUCTURE AND METHOD FOR MANUFACTURING THEREOF - A semiconductor device with an amorphous silicon (a-Si) metal-oxide-nitride-oxide-semiconductor (MONOS) memory cell structure. The device includes a substrate, a dielectric layer overlying the substrate, and one or more source or drain regions embedded in the dielectric layer with a co-planar surface of n-type a-Si and the dielectric layer. Additionally, the device includes a p-i-n a-Si diode junction. The device further includes an oxide-nitride-oxide (ONO) charge trapping layer overlying the a-Si p-i-n diode junction and a metal control gate overlying the ONO layer. A method for making the a-Si MONOS memory cell structure is provided and can be repeated to expand the structure three-dimensionally. | 02-04-2010 |
20100038641 | THIN FILM FIELD EFFECT TRANSISTOR - A thin film field effect transistor has at least a gate electrode | 02-18-2010 |
20100044707 | THIN FILM TRANSISTOR ARRAY SUBSTRATE, METHOD FOR MANUFACTURING THE SAME AND SYSTEM FOR INSPECTING THE SUBSTRATE - Disclosed is a thin film transistor substrate and a system for inspecting the same. The thin film transistor substrate comprises gate wiring formed on an insulation substrate and including gate lines, and gate electrodes and gate pads connected to the gate lines; a gate insulation layer covering the gate wiring; a semiconductor layer formed over the gate insulation layer; data wiring formed over the gate insulation layer and including data pads; a protection layer covering the data wiring; auxiliary pads connected to the data pads through contact holes formed in the protection layer; and a pad auxiliary layer formed protruding a predetermined height under the data pads. The inspection system for determining whether a thin film transistor substrate is defective, in which the thin film transistor substrate comprises gate wiring including gate lines, gate electrodes and gate pads, and data wiring including source electrodes and drain electrodes, includes a probe pin for contacting the gate pads or data pads and transmitting a corresponding signal, wherein a contact tip at a distal end of the probe pin for contacting the gate pads or the data pads is rounded, and a radius of the rounded contact tip is 2 μm or less, or the rounded contact tip is coated with gold (Au). | 02-25-2010 |
20100051947 | AMORPHOUS INSULATOR FILM AND THIN-FILM TRANSISTOR - An amorphous insulator film is provided which is composed of silicon (Si) oxide, in which the amorphous insulator film includes Ar and an amount of Ar included therein is equal to or larger than 3 at. % in terms of atomic ratio with respect to Si. | 03-04-2010 |
20100051948 | THIN FILM TRANSISTOR, ELECTRO-OPTIC DEVICE, AND ELECTRONIC APPARATUS - A thin film transistor includes a gate electrode and a semiconductor layer. The semiconductor layer includes a channel region, a source region, a drain region, a low-concentration impurity region provided between the channel region and the source or drain region and a high-concentration impurity region. The high-concentration impurity region overlaps with the gate electrode. | 03-04-2010 |
20100051949 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A thin film transistor structure in which a source electrode and a drain electrode formed from a metal material are in direct contact with an oxide semiconductor film may lead to high contact resistance. One cause of high contact resistance is that a Schottky junction is formed at a contact plane between the source and drain electrodes and the oxide semiconductor film. An oxygen-deficient oxide semiconductor layer which includes crystal grains with a size of 1 nm to 10 nm and has a higher carrier concentration than the oxide semiconductor film serving as a channel formation region is provided between the oxide semiconductor film and the source and drain electrodes. | 03-04-2010 |
20100059749 | THIN FILM TRANSISTOR - A thin film transistor is provided, which includes a gate electrode layer over a substrate, a gate insulating layer over the gate electrode layer, a layer including an amorphous semiconductor over the gate insulating layer, a pair of crystal regions over the layer including the amorphous semiconductor, and source and drain regions over and in contact with the pair of crystal regions. The source and drain regions include a microcrystalline semiconductor layer to which an impurity imparting one conductivity type is added. | 03-11-2010 |
20100059750 | BOTTOM GATE THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a bottom gate thin film transistor (“TFT”) in which a polycrystalline channel region having a large grain size is formed relatively simply and easily. The method of manufacturing a bottom gate thin film transistor includes forming a bottom gate electrode on a substrate, forming a gate insulating layer on the substrate to cover the bottom gate electrode, forming an amorphous semiconductor layer, an N-type semiconductor layer and an electrode layer on the gate insulating layer sequentially, etching an electrode region and an N-type semiconductor layer region formed on the bottom gate electrode sequentially to expose an amorphous semiconductor layer region, melting the amorphous semiconductor layer region using a laser annealing method, and crystallizing the melted amorphous semiconductor layer region to form a laterally grown polycrystalline channel region. | 03-11-2010 |
20100059751 | THIN-FILM TRANSISTOR AND PROCESS FOR ITS FABRICATION - A bottom gate type thin-film transistor constituted of at least a substrate, a gate electrode, a gate insulating layer, a semiconductor layer, a source electrode and a drain electrode. At an interface between the gate electrode and the gate insulating layer, the interface has a difference between hill tops and dale bottoms of unevenness in the vertical direction, of 30 nm or less. | 03-11-2010 |
20100072474 | Semiconductor Device - A semiconductor device including a memory cell is provided. The memory cell comprises a transistor, a memory element and a capacitor. One of first and second electrodes of the memory element and one of first and second electrodes of the capacitor are formed by a same metal film. The metal film functioning as the one of first and second electrodes of the memory element and the one of first and second electrodes of the capacitor is overlapped with a film functioning as the other of first and second electrodes of the capacitor. | 03-25-2010 |
20100072475 | SELF-ALIGNED MASKS USING MULTI-TEMPERATURE PHASE-CHANGE MATERIALS - A method of forming a pattern includes forming a first layer on a substrate, forming a second layer on the first layer, depositing a multi-temperature phase-change material on the second layer, patterning the second layer using the multi-temperature phase-change material as a mask, reflowing the multi-temperature phase-change material, and patterning the first layer using the reflowed multi-temperature phase-change material as a mask. | 03-25-2010 |
20100078639 | THIN FILM SEMICONDUCTOR DEVICE FABRICATION METHOD AND THIN FILM SEMICONDUCTOR DEVICE - The present invention provides a method for making a thin film semiconductor device having a bottom-gate, bottom-contact-type thin film transistor structure finer in size with satisfactory characteristics, in which the interface between a gate insulating film and a thin film semiconductor layer can be maintained at satisfactory conditions without being affected by formation of source/drain electrodes. A first gate insulating film ( | 04-01-2010 |
20100090220 | THIN FILM TRANSISTOR AND SEMICONDUCTOR DEVICE USING THE SAME - The present invention aims at providing a high-performance semiconductor device such as display, IC tag, sensor or the like at a low cost by using an organic thin film transistor most members of which can be formed by printing, as a switching element. The present invention relates to a thin film transistor composed of members on a dielectric substrate, which are a gate electrode, a dielectric film, source/drain electrodes, and a semiconductor layer, wherein on said semiconductor layer there are formed at least two passivation films of a first passivation film capping said semiconductor layer to protect it and a second passivation film covering larger area than that of said first passivation film to protect all of said members. | 04-15-2010 |
20100096630 | Bottom-Gate Thin Film Transistor and Method of Fabricating the Same - A bottom-gate thin film transistor includes a gate electrode, a gate insulating layer and a microcrystalline silicon layer. The gate electrode is disposed on a substrate. The gate insulating layer is made up of silicon nitride and disposed on the gate electrode and the substrate. The microcrystalline silicon layer is disposed on the gate insulating layer and corresponds to the gate electrode, in which a contact interface between the gate insulating layer and the microcrystalline silicon layer has a plurality of oxygen atoms, and concentration of the oxygen atoms ranges between 10 | 04-22-2010 |
20100096631 | THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME - A thin film transistor includes, over a substrate having an insulating surface, a gate insulating layer covering a gate electrode; a semiconductor layer which includes a plurality of crystalline regions in an amorphous structure and which forms a channel formation region, in contact with the gate insulating layer; a semiconductor layer including an impurity element imparting one conductivity type, which forms source and drain regions; and a buffer layer including an amorphous semiconductor between the semiconductor layer and the semiconductor layer including an impurity element imparting one conductivity type. The crystalline regions have an inverted conical or inverted pyramidal crystal particle which grows approximately radially in a direction in which the semiconductor layer is deposited, from a position away from an interface between the gate insulating layer and the semiconductor layer. | 04-22-2010 |
20100117085 | THIN FILM TRANSISTOR AND METHOD FOR PREPARING THE SAME - The present invention relates to a thin film transistor and a method of manufacturing the same. More particularly, the present invention relates to a thin film transistor that includes a zinc oxide (ZnO series) electrode having one or more of Si, Mo, and W as a source electrode and a drain electrode, and a method of manufacturing the same. | 05-13-2010 |
20100117086 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SEMICONDUCTOR DEVICE - An object is to suppress deterioration of element characteristics even when an oxide semiconductor is formed after a gate insulating layer, a source electrode layer, and a drain electrode layer are formed. A gate electrode layer is formed over a substrate. A gate insulating layer is formed over the gate electrode layer. A source electrode layer and a drain electrode layer are formed over the gate insulating layer. Surface treatment is performed on surfaces of the gate insulating layer, the source electrode layer, and the drain electrode layer which are formed over the substrate. After the surface treatment is performed, an oxide semiconductor layer is formed over the gate insulating layer, the source electrode layer, and the drain electrode layer. | 05-13-2010 |
20100127261 | THIN FILM TRANSISTOR - The thin film transistor includes, over a substrate having an insulating surface, a gate insulating layer covering a gate electrode, an amorphous semiconductor layer over the gate insulating layer, a semiconductor layer including an impurity element imparting one conductivity type over the amorphous semiconductor layer. The amorphous semiconductor layer comprises an NH radical. Defects of the amorphous semiconductor layer are reduced by cross-linking dangling bonds with the NH radical in the amorphous semiconductor layer. | 05-27-2010 |
20100127262 | Semiconductor Element - A semiconductor element including a substrate and at least one shallow junction formed in the substrate wherein doping atoms are disposed in the shallow junction. A plurality of carbide precipitates and micro-cavities is disposed in the substrate below the at least one shallow junction. | 05-27-2010 |
20100140620 | FLAT-PANEL DISPLAY SEMICONDUCTOR PROCESS FOR EFFICIENT MANUFACTURING - An embodiment is a method and apparatus to fabricate a flat panel display. A poly-last structure is formed for a display panel using an amorphous silicon or amorphous silicon compatible process. The poly-last structure has a channel silicon precursor. The display panel is formed from the poly-last structure using a polysilicon specific or polysilicon compatible process. | 06-10-2010 |
20100148175 | THIN FILM TRANSISTOR AND DISPLAY DEVICE - Off current of a bottom gate thin film transistor in which a semiconductor layer is shielded from light by a gate electrode is reduced. A thin film transistor includes a gate electrode layer; a first semiconductor layer; a second semiconductor layer, provided on and in contact with the first semiconductor layer; a gate insulating layer between and in contact with the gate electrode layer and the first semiconductor layer; impurity semiconductor layers in contact with the second semiconductor layer; and source and drain electrode layers partially in contact with the impurity semiconductor layers and the first and second semiconductor layers. The entire surface of the first semiconductor layer on the gate electrode layer side is covered by the gate electrode layer; and a potential barrier at a portion where the first semiconductor layer is in contact with the source or drain electrode layer is 0.5 eV or more. | 06-17-2010 |
20100163874 | DRIVER CIRCUIT AND SEMICONDUCTOR DEVICE - The silicon nitride layer | 07-01-2010 |
20100176399 | BACK-CHANNEL-ETCH TYPE THIN-FILM TRANSISTOR, SEMICONDUCTOR DEVICE AND MANUFACTURING METHODS THEREOF - A back-channel-etch type TFT includes a gate electrode, an SiN film that is formed on the gate electrode, and an SiO film that is formed and patterned on the SiN film. The TFT further includes an polycrystalline semiconductor film that is formed and patterned on the SiO film in contact with the SiO film in such a way that all pattern ends of the polycrystalline semiconductor film are located in close proximity to pattern ends of the SiO film. | 07-15-2010 |
20100187531 | PIXEL STRUCTURE - A pixel structure including a gate, a gate dielectric layer, a patterned semiconductor layer having a channel area disposed above the gate, a patterned dielectric layer having an etching-stop layer disposed above the gate and a number of bumps, a patterned metal layer having a reflective pixel electrode, a source and a drain, an overcoat dielectric layer, and a transparent pixel electrode sequentially disposed on a substrate is provided. The source and the drain respectively cover portions of the channel area. The reflective pixel electrode connects the drain and covers the bumps to form an uneven surface. The overcoat dielectric layer disposed on a transistor constituted by the gate, the gate dielectric layer, the patterned semiconductor layer, the source and the drain has a contact opening exposing a portion of the reflective pixel electrode. The transparent pixel electrode is electrically connected to the reflective pixel electrode through the contact opening. | 07-29-2010 |
20100193789 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object is to provide a semiconductor device mounted with memory which can be driven in the ranges of a current value and a voltage value which can be generated from a wireless signal. Another object is to provide write-once read-many memory to which data can be written anytime after manufacture of a semiconductor device. An antenna, antifuse-type ROM, and a driver circuit are formed over an insulating substrate. Of a pair of electrodes included in the antifuse-type ROM, the other of the pair of the electrodes is also formed through the same step and of the same material as a source electrode and a drain electrode of a transistor included in the driver circuit. | 08-05-2010 |
20100200855 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The present invention has an object to provide an active-matrix liquid crystal display device that realizes the improvement in productivity as well as in yield. In the present invention, a laminate film comprising the conductive film comprising metallic material and the second amorphous semiconductor film containing an impurity element of one conductivity type and the amorphous semiconductor film is selectively etched with the same etching gas to form a side edge of the first amorphous semiconductor film | 08-12-2010 |
20100207120 | PRODUCTION METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - The present invention provides a production method of a semiconductor device and a semiconductor device that permits suppression of a leakage current. A production method of a semiconductor device includes a structure in which a semiconductor layer, an insulating film, and a gate electrode are stacked on a main surface of a substrate in this order,
| 08-19-2010 |
20100224878 | SEMICONDUCTOR DEVICE - A semiconductor device includes a semiconductor layer over a substrate; a gate insulating film covering the semiconductor layer; a gate wiring including a gate electrode, which is provided over the gate insulating film and is formed by stacking a first conductive layer and a second conductive layer; an insulating film covering the semiconductor layer and the gate wiring including the gate electrode; and a source wiring including a source electrode, which is provided over the insulating film, is electrically connected to the semiconductor layer, and is formed by stacking a third conductive layer and a fourth conductive layer. The gate electrode is formed using the first conductive layer. The gate wiring is formed using the first conductive layer and the second conductive layer. The source electrode is formed using the third conductive layer. The source wiring is formed using the third conductive layer and the fourth conductive layer. | 09-09-2010 |
20100224879 | THIN FILM TRANSISTOR - A thin film transistor includes a gate insulating layer covering a gate electrode, a semiconductor layer in contact with the gate insulating layer, and impurity semiconductor layers which are in contact with part of the semiconductor layer and which form a source region and a drain region. The semiconductor layer includes a microcrystalline semiconductor layer formed on the gate insulating layer and a microcrystalline semiconductor region containing nitrogen in contact with the microcrystalline semiconductor layer. The thin film transistor in which off-current is small and on-current is large can be manufactured with high productivity. | 09-09-2010 |
20100230676 | TFT ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME - A TFT array substrate includes a substrate, at least one gate line and gate electrode, a gate insulating layer, and at least one channel component, source electrode, drain electrode and data line. The gate line and gate electrode are disposed on the substrate, wherein both of the gate line and gate electrode have first and second conductive layers, the first conductive layer is formed on the substrate, the first conductive layer contains molybdenum nitride, the second conductive layer is formed on the first conductive layer, and the second conductive layer contains copper. The gate insulating layer is disposed on the gate line, gate electrode and the substrate. The channel component is disposed on the gate insulating layer. The source electrode and drain electrode are disposed on the channel component, and data line is disposed on the gate insulating layer. | 09-16-2010 |
20100230677 | THIN FILM TRANSISTOR AND MANUFACTURING METHOD THEREOF - A thin film transistor in which deterioration at initial operation is not likely to be caused and a manufacturing method thereof. A transistor which includes a gate insulating layer at least whose uppermost surface is a silicon nitride layer, a semiconductor layer over the gate insulating layer, and a buffer layer over the semiconductor layer and in which the concentration of nitrogen in the vicinity of an interface between the semiconductor layer and the gate insulating layer, which is in the semiconductor layer is lower than that of the buffer layer and other parts of the semiconductor layer. Such a thin film transistor can be manufactured by exposing the gate insulating layer to an air atmosphere and performing plasma treatment on the gate insulating layer before the semiconductor layer is formed. | 09-16-2010 |
20100230678 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A space is provided under part of a semiconductor layer. Specifically, a structure in which an eaves portion (a projecting portion, an overhang portion) is formed in the semiconductor layer. The eaves portion is formed as follows: a stacked-layer structure in which a conductive layer, an insulating layer, and a semiconductor layer are stacked in this order is etched collectively to determine a pattern of a gate electrode; and a pattern of the semiconductor layer is formed while side-etching is performed. | 09-16-2010 |
20100244031 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - The drain voltage of a transistor is determined depending on the driving voltage of an element connected to the transistor. With downsizing of a transistor, intensity of the electric field concentrated in the drain region is increased, and hot carriers are easily generated. An object is to provide a transistor in which the electric field hardly concentrates in the drain region. Another object is to provide a display device including such a transistor. End portions of first and second wiring layers having high electrical conductivity do not overlap with a gate electrode layer, whereby concentration of an electric field in the vicinity of a first electrode layer and a second electrode layer is reduced; thus, generation of hot carriers is suppressed. In addition, one of the first and second electrode layers having higher resistivity than the first and second wiring layers is used as a drain electrode layer. | 09-30-2010 |
20100244032 | ALUMINUM-NICKEL ALLOY WIRING MATERIAL, DEVICE FOR A THIN FILM TRANSISTOR AND A THIN FILM TRANSISTOR SUBSTRATE USING THE SAME, AND METHOD OF MANUFACTURING THE THIN FILM TRANSISTOR SUBSTRATE - An Aluminum-Nickel alloy wiring material includes Aluminum, Nickel, Cerium, and Boron. A thin film transistor includes the Aluminum-Nickel alloy wiring material. A sputtering target comprises Aluminum, Nickel, Cerium and Boron. A method of manufacturing a thin film transistor substrate comprises disposing a thin film transistor on a substrate, wherein the thin film transistor includes a wiring circuit layer comprising Aluminum, Nickel, Cerium, and Boron. The Nickel, Cerium and Boron satisfy the following inequalities; 0.5≦X≦5.0, 0.01≦Y≦1.0, and 0.01≦Z≦1.0, respectively, wherein X represents an atomic percentage of Nickel content, Y represents an atomic percentage of Cerium content, and Z represents an atomic percentage of Boron content. | 09-30-2010 |
20100252832 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a thin film transistor using an oxide semiconductor layer, in which contact resistance between the oxide semiconductor layer and source and drain electrode layers is reduced and electric characteristics are stabilized. The thin film transistor is formed in such a manner that a buffer layer including a high-resistance region and low-resistance regions is formed over an oxide semiconductor layer, and the oxide semiconductor layer and source and drain electrode layers are in contact with each other with the low-resistance region of the buffer layer interposed therebetween. | 10-07-2010 |
20100258801 | SEMICONDUCTOR COMPONENT INCLUDING A LATERAL TRANSISTOR COMPONENT - A semiconductor component including a lateral transistor component is disclosed. One embodiment provides an electrically insulating carrier layer. On the carrier layer a first and a second semiconductor layer are arranged on above another and are separated from another by a dielectric layer and from which at least the first semiconductor layer includes a polycrystalline semiconductor material, an amorphous semiconductor material or an organic semiconductor material. In the first semiconductor layer: a source zone, a body zone, a drift zone and a drain zone are provided. In the second semiconductor layer; a drift control zone is arranged adjacent to the drift zone, including a control terminal at a first lateral end for applying a control potential, and is coupled to the drain zone via a rectifying element at a second lateral end. A gate electrode is arranged adjacent to the body zone and is dielectrically insulated from the body zone by a gate dielectric layer. | 10-14-2010 |
20100258802 | Semiconductor Device and Method for Manufacturing the Same - An object is to provide an n-channel transistor and a p-channel transistor having a preferred structure using an oxide semiconductor. A first source or drain electrode which is electrically connected to a first oxide semiconductor layer and is formed using a stacked-layer structure including a first conductive layer containing a first material and a second conductive layer containing a second material, and a second source or drain electrode which is electrically connected to a second oxide semiconductor layer and is formed using a stacked-layer structure including a third conductive layer containing the first material and a fourth conductive layer containing the second material are included. The first oxide semiconductor layer is in contact with the first conductive layer of the first source or drain electrode, and the second oxide semiconductor layer is in contact with the third and the fourth conductive layers of the second source or drain electrode. | 10-14-2010 |
20100264416 | SEMICONDUCTOR DEVICE AND PRODUCTION METHOD THEREOF - Provided is a crystalline silicon thin film semiconductor device which is capable of reducing off-state leakage current and has excellent current rising characteristics. The thin film transistor includes a semiconductor layer formed of an amorphous silicon layer and a crystalline silicon layer. A drain electrode is provided in direct contact with the crystalline silicon layer of the semiconductor layer, to thereby improve the current rising characteristics. | 10-21-2010 |
20100276691 | METHOD FOR FABRICATING FLEXIBLE SEMICONDUCTOR DEVICE AND LAYERED FILM USED THEREFORE - A method for fabricating a flexible semiconductor device includes: preparing a layered film | 11-04-2010 |
20100283054 | FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME - There is provided a method for manufacturing a flexible semiconductor device characterized by comprising (i) a step of forming an insulating film on the upper surface of metal foil, (ii) a step of forming an extraction electrode pattern on the upper surface of the metal foil, (iii) a step of forming a semiconductor layer on the insulating film in such a manner that the semiconductor layer is in contact with the extraction electrode pattern, (iv) a step of forming a sealing resin layer on the upper surface of the metal foil in such a manner that the sealing resin layer covers the semiconductor layer and the extraction electrode pattern, and (v) a step of forming electrodes by etching the metal foil, wherein the metal foil is used as a support for the insulating film, the extraction electrode pattern, the semiconductor layer, and the sealing resin layer formed in (i) to (iv) and used as a constituent material for the electrodes in (v). A TFT element can be fabricated by a simple process because the metal foil serving as the support need not be finally stripped off. Further, a high-temperature process can be introduced to the fabrication of the insulating film and the semiconductor layer because the metal foil is used as the support, whereby the TFT characteristic is improved. | 11-11-2010 |
20100295046 | SEMICONDUCTOR THIN FILM AND SEMICONDUCTOR DEVICE - After an amorphous semiconductor thin film is crystallized by utilizing a catalyst element, the catalyst element is removed by performing a heat treatment in an atmosphere containing a halogen element. A resulting crystalline semiconductor thin film exhibits { | 11-25-2010 |
20100295047 | SEMICONDUCTOR ELEMENT AND METHOD FOR MANUFACTURING THE SAME - To provide a semiconductor device which achieves a high ON current and a low OFF current at the same time, and a fabrication method thereof. | 11-25-2010 |
20100301336 | Method to Improve Nucleation of Materials on Graphene and Carbon Nanotubes - Techniques for forming a thin coating of a material on a carbon-based material are provided. In one aspect, a method for forming a thin coating on a surface of a carbon-based material is provided. The method includes the following steps. An ultra thin silicon nucleation layer is deposited to a thickness of from about two angstroms to about 10 angstroms on at least a portion of the surface of the carbon-based material to facilitate nucleation of the coating on the surface of the carbon-based material. The thin coating is deposited to a thickness of from about two angstroms to about 100 angstroms over the ultra thin silicon layer to form the thin coating on the surface of the carbon-based material. | 12-02-2010 |
20100301337 | ELECTRONIC DEVICE WITH SELF-ALIGNED ELECTRODES FABRICATED USING ADDITIVE LIQUID DEPOSITION - The invention provides a multilayer electronic device having electrodes, formed on a laterally extending first layer, the lateral position of each of at least two adjacent electrodes being defined by a channel in the first layer. Each channel is adjacent a deposition region, the material which forms each electrode substantially covering the deposition region to form a continuous conductive structure. | 12-02-2010 |
20100301338 | THIN FILM DEVICE, FLEXIBLE CIRCUIT BOARD INCLUDING THIN FILM DEVICE, AND METHOD FOR MANUFACTURING THIN FILM DEVICE - A thin film device includes: a substrate; an electric field shielding plate formed above the substrate, the electric filed shielding plate having a conductive material; and a thin film element formed on the electric field shielding plate, the, the electric field shielding plate being connected to a potential of any electrode of the thin film element or a ground potential. | 12-02-2010 |
20100301339 | METHOD OF PRODUCING THIN FILM TRANSISTOR AND THIN FILM TRANSISTOR - [Object] To provide a method of producing a thin film transistor superior in productivity and capable of preventing variation in transistor characteristics among devices from occurring to improve carrier mobility, and a thin film transistor. | 12-02-2010 |
20100314621 | METHOD OF MANUFACTURING ELECTRONIC APPARATUS AND ELECTRONIC APPARATUS - An electronic apparatus having a substrate with a bottom gate p-channel type thin film transistor; a resist pattern over the substrate; and a light shielding film operative to block light having a wavelength shorter than 260 nm over at least a channel part of said thin film transistor. | 12-16-2010 |
20100320463 | Method of Fabricating a Semiconductor Device - A method of fabricating an electrode structure for a multilayer semiconductor device comprising a semiconductor layer having a first electrode layer in contact therewith and a second electrode layer separated there-from by a dielectric layer ( | 12-23-2010 |
20100320464 | THIN FILM TRANSISTOR, PHOTO MASK FOR DEFINING THIN FILM TRANSISTOR, AND METHOD OF MAKING THIN FILM TRANSISTOR - A photo-mask includes a first opaque pattern, a second opaque pattern, a transparent single slit, and a translucent pattern. The transparent single slit is disposed between the first opaque pattern and the second opaque pattern, and the width of the transparent single slit is substantially between 1.5 micrometers and 2.5 micrometers. The translucent pattern is connected to the first opaque pattern and the second opaque pattern. | 12-23-2010 |
20100320465 | SEMICONDUCTOR DEVICE WITH MULTI-FUNCTIONAL DIELECTRIC LAYER - A composite dielectric layer including a tensile stressed nitride layer over an oxide layer serves the dual function of acting as an SMT (stress memorization technique) film while an annealing operation is carried out and then remains partially intact as it is patterned to further serve as an RPO film during a subsequent silicidation process. The composite dielectric layer covers part of a semiconductor substrate that includes a gate structure. The tensile stressed nitride layer protects the oxide layer and alleviates oxide damage during a pre-silicidation PAI (pre-amorphization implant) process. Portions of the gate structure and the semiconductor substrate not covered by the composite dielectric layer include amorphous portions that include the PAI implanted dopant impurities. A silicide material is disposed on the gate structure and portions of the semiconductor substrate not covered by the composite dielectric layer. | 12-23-2010 |
20100327281 | THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a thin film transistor with small off current, large on current, and high field-effect mobility. A silicon nitride layer and a silicon oxide layer which is formed by oxidizing the silicon nitride layer are stacked as a gate insulating layer, and crystals grow from an interface of the silicon oxide layer of the gate insulating layer to form a microcrystalline semiconductor layer; thus, an inverted staggered thin film transistor is manufactured. Since crystals grow from the gate insulating layer, the thin film transistor can have a high crystallinity, large on current, and high field-effect mobility. In addition, a buffer layer is provided to reduce off current. | 12-30-2010 |
20100327282 | SEMICONDUCTOR DEVICE AND ELECTRONIC APPARATUS - A semiconductor device includes: a substrate; a p-type organic transistor including an organic semiconductor layer arranged on or above the substrate; and an n-type inorganic transistor including an inorganic semiconductor layer arranged on or above the organic transistor, wherein a channel region of the inorganic transistor overlaps a channel region of the organic transistor at least partially in a plan view. | 12-30-2010 |
20100327283 | THIN FILM TRANSISTOR SUBSTRATE AND FABRICATING METHOD THEREOF - The present invention relates to a thin film transistor substrate. The thin film transistor according to one embodiment of the present invention comprises: a gate wire and a data wire formed to cross each other on an insulating substrate and define a pixel area; a thin film transistor formed on the intersection of the gate wire and the data wire; an inorganic insulating layer covering the thin film transistor and having a surface that a prominence and depression pattern formed on; and a reflective layer provided on the prominence and depression pattern. Thus, the present invention provides a thin film transistor substrate which reduces the time required in the process and enhance the productivity. | 12-30-2010 |
20110012112 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An aperture ratio of a semiconductor device is improved. A driver circuit and a pixel are provided over one substrate, and a first thin film transistor in the driver circuit and a second thin film transistor in the pixel each include a gate electrode layer, a gate insulating layer over the gate electrode layer, an oxide semiconductor layer over the gate insulating layer, source and drain electrode layers over the oxide semiconductor layer, and an oxide insulating layer in contact with part of the oxide semiconductor layer over the gate insulating layer, the oxide semiconductor layer, and the source and drain electrode layers. The gate electrode layer, the gate insulating layer, the oxide semiconductor layer, the source and drain electrode layers, and the oxide insulating layer of the second thin film transistor each have a light-transmitting property. | 01-20-2011 |
20110012113 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME - To provide a manufacturing method in which LDD regions with different widths are formed in a self-aligned manner, and the respective widths are precisely controlled in accordance with each circuit. By using a photomask or a reticle provided with an auxiliary pattern having a light intensity reduction function formed of a diffraction grating pattern or a semi-transparent film, the width of a region with a small thickness of a gate electrode can be freely set, and the widths of two LDD regions capable of being formed in a self-aligned manner with the gate electrode as a mask can be different in accordance with each circuit. In one TFT, both of two LDD regions with different widths overlap a gate electrode. | 01-20-2011 |
20110017993 | TFT SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - There is provided a TFT substrate including a gate electrode having a thick film part and a thin film part with a smaller film thickness than the thick film part, a semiconductor active film formed above the thick film part and the thin film part of the gate electrode, an ohmic contact film formed on an inside of the semiconductor active film and on the semiconductor active film corresponding to the thin film part on an outside of the thick film part, and an electrode film constituting a source electrode and a drain electrode, having a planar shape identical to or on an inside of the ohmic contact film, and formed on the ohmic contact film. | 01-27-2011 |
20110024750 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a semiconductor device having a structure with which parasitic capacitance between wirings can be sufficiently reduced. An oxide insulating layer serving as a channel protective layer is formed over part of an oxide semiconductor layer overlapping with a gate electrode layer. In the same step as formation of the oxide insulating layer, an oxide insulating layer covering a peripheral portion of the oxide semiconductor layer is formed. The oxide insulating layer which covers the peripheral portion of the oxide semiconductor layer is provided to increase the distance between the gate electrode layer and a wiring layer formed above or in the periphery of the gate electrode layer, whereby parasitic capacitance is reduced. | 02-03-2011 |
20110024751 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - In a bottom-gate thin film transistor using the stack of the first oxide semiconductor layer and the second oxide semiconductor layer, an oxide insulating layer serving as a channel protective layer is formed over and in contact with part of the oxide semiconductor layer overlapping with a gate electrode layer. In the same step as formation of the insulating layer, an oxide insulating layer covering a peripheral portion (including a side surface) of the stack of the oxide semiconductor layers is formed. | 02-03-2011 |
20110024752 | THIN FILM TRANSISTOR, METHOD OF FABRICATING THE SAME, AND DISPLAY APPARATUS HAVING THE SAME - A method of fabricating a thin film transistor includes forming a gate electrode on a substrate, forming a semiconductor layer on the gate electrode, forming a source electrode on the semiconductor layer, forming a drain electrode on the semiconductor layer spaced apart from the source electrode, forming a copper layer pattern on the source electrode and the drain electrode, exposing the copper layer pattern on the source electrode and the drain electrode to a fluorine-containing process gas to form a copper fluoride layer pattern thereon, and patterning the semiconductor layer. | 02-03-2011 |
20110031495 | Liquid Crystal Display Device, Electronic Device Having the Same, and Manufacturing Method of the Same - A liquid crystal display device with improved productivity and a manufacturing method of the same. A liquid crystal display device according to the invention comprises in a region in which a scan line and a data line intersect with each other a first substrate comprising a first thin film transistor using either an amorphous semiconductor or an organic semiconductor for a channel portion, a second substrate, a liquid crystal layer interposed between the first substrate and the second substrate, and a third substrate comprising a second thin film transistor using a crystalline semiconductor for a channel portion. In the liquid crystal display device of the invention, a crystal grain boundary in the crystalline semiconductor extends along the flow of electrons or holes in the second thin film transistor, the first substrate is attached to the second substrate so that the first substrate is exposed, a first region for forming the second thin film transistor and a second region for forming an input terminal and an output terminal are formed on the third substrate, and the short side length of the third substrate is 1 to 6 mm and the short side length of the first region is 0.5 to 1 mm. | 02-10-2011 |
20110037070 | THIN FILM TRANSISTOR ARRAY PANEL AND METHOD FOR MANUFACTURING THE SAME - A thin film transistor substrate includes a substrate including a display area and a peripheral area surrounding the display area, gate lines formed on the substrate including gate electrodes, an auxiliary insulating layer formed on the gate lines, a gate insulating layer formed on the auxiliary insulating layer and the gate lines, a semiconductor layer formed on the gate insulating layer, data lines formed on the semiconductor layer including source electrodes and drain electrodes, a passivation layer formed on the data lines, pixel electrodes formed on the passivation layer and electrically connected to the drain electrode, wherein the boundary line of the auxiliary insulating layer is located at or within the boundary of the gate line. | 02-17-2011 |
20110042674 | PRODUCTION METHODS OF PATTERN THIN FILM, SEMICONDUCTOR ELEMENT, AND CIRCUIT SUBSTRATE, AND RESIST MATERIAL, SEMICONDUCTOR ELEMENT, AND CIRCUIT SUBSTRATE - A production method of a semiconductor element having a channel includes forming a resist pattern film on a thin film formed on a substrate, and pattering the thin film by etching. The production method also includes forming a second resist pattern film by applying a fluid resist material inside a channel groove after channel etching or inside a resist groove formed above a channel region before channel etching. The production method may also include forming a gate electrode, a gate insulating film, a semiconductor film, and a conductive film on an insulating substrate. The method may include applying the fluid resist material inside the channel groove, thereby forming the second resist pattern film, and patterning the semiconductor film using at least the second resist pattern film. | 02-24-2011 |
20110049518 | SEMICONDUCTOR DEVICE INCLUDING A TRANSISTOR, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - An object is to prevent contamination of a semiconductor film in a transistor or a semiconductor device including the transistor. Another object is to suppress variation in electrical characteristics and deterioration. A transistor including: a gate electrode layer provided over a substrate; a gate insulating film provided over the gate electrode layer; a semiconductor layer which is provided over the gate insulating film and which overlaps the gate electrode layer; a carbide layer provided over and in contact with a surface of the semiconductor layer; and a source electrode layer and a drain electrode layer which are electrically connected to the semiconductor layer is provided. | 03-03-2011 |
20110062443 | THIN BODY SEMICONDUCTOR DEVICES HAVING IMPROVED CONTACT RESISTANCE AND METHODS FOR THE FABRICATION THEREOF - Embodiments of a method for fabricating a semiconductor device are provided. In one embodiment, the method includes the step of producing a partially-completed semiconductor device including a substrate, source/drain (S/D) regions, a channel region between the S/D regions, a gate stack over the channel region, and sidewall spacers laterally adjacent the gate stack. The method further includes the steps of amorphizing the S/D regions, depositing a silicide-forming material over the amorphized S/D regions, and heating the partially-completed semiconductor device to a predetermined temperature at which the silicide-forming material reacts with the amorphized S/D regions. | 03-17-2011 |
20110068338 | METHOD FOR PRODUCING THIN FILM TRANSISTOR AND THIN FILM TRANSISTOR - A metallic wiring film, which is not exfoliated even when exposed to plasma of hydrogen, is provided. A metallic wiring film is constituted by an adhesion layer in which Al is added to copper and a metallic low-resistance layer which is disposed on the adhesion layer and made of pure copper. When a copper alloy including Al and oxygen are included in the adhesion layer and a source electrode and a drain electrode are formed from it, copper does not precipitate at an interface between the adhesion layer and the silicon layer even when being exposed to the hydrogen plasma, which prevents the occurrence of exfoliation between the adhesion layer and the silicon layer. If the amount of Al increases, since widths of the adhesion layer and the metallic low-resistance layer largely differ after etching, the maximum addition amount for permitting the etching to be performed is the upper limit. | 03-24-2011 |
20110068339 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A TFT formed on an insulating substrate source, drain and channel regions, a gate insulating film formed on at least the channel region and a gate electrode formed on the gate insulating film. Between the channel region and the drain region, a region having a higher resistivity is provided in order to reduce an Ioff current. A method for forming this structure comprises the steps of anodizing the gate electrode to form a porous anodic oxide film on the side of the gate electrode; removing a portion of the gate insulating using the porous anodic oxide film as a mask so that the gate insulating film extends beyond the gate electrode but does not completely cover the source and drain regions. Thereafter, an ion doping of one conductivity element is performed. The high resistivity region is defined under the gate insulating film. | 03-24-2011 |
20110073860 | SEMICONDUCTOR DEVICE AND DISPLAY DEVICE - A thin film transistor comprising an insulating film, a gate electrode embedded in a superficial portion of the insulating film, a gate insulating film on the gate electrode and the insulating film, a semiconductor film on the gate insulating film, a channel protection film on a portion of the semiconductor film with end surfaces which have a forward tapered slope, a first electrode on the semiconductor film which mounts onto one tapered side of the channel protection film, and a second electrode on the semiconductor film which mounts onto the other tapered side of the channel protection film, where an edge of the gate electrode closest to the first electrode is offset towards the second electrode from the point where the first electrode abuts the semiconductor film. | 03-31-2011 |
20110073861 | INTEGRATED CIRCUIT DEVICE AND METHOD FOR MANUFACTURING INTEGRATED CIRCUIT DEVICE - An object of the present invention is to provide a structure of a thin film circuit portion and a method for manufacturing a thin film circuit portion by which an electrode for connecting to an external portion can be easily formed under a thin film circuit. A stacked body including a first insulating film, a thin film circuit formed over one surface of the first insulating film, a second insulating film formed over the thin film circuit, an electrode formed over the second insulating film, and a resin film formed over the electrode, is formed. A conductive film is formed adjacent to the other surface of the first insulating film of the stacked body to be overlapped with the electrode. The conductive film is irradiated with a laser. | 03-31-2011 |
20110079780 | METHOD OF CRYSTALLIZING AMORPHOUS SEMICONDUCTOR FILM, THIN-FILM TRANSISTOR, SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND METHOD OF MANUFACTURING THE SAME - A method of crystallizing an amorphous semiconductor film, the method comprising the steps of: forming a gate electrode on a transparent insulating substrate; forming a gate insulating film on the transparent insulating substrate and on an upper part of the gate electrode; forming an amorphous semiconductor film on the gate insulating film; forming a light-transmissive insulating film on the amorphous semiconductor film; forming a metal film having an opening on the light-transmissive insulating film; irradiating laser light onto both a region of the light-transmissive insulating film exposed by the opening and the metal film, which is used as a mask for shielding the laser light; and performing laser annealing to make the laser light to be absorbed through the light-transmissive insulating film into a region of the amorphous semiconductor film exposed by the opening, so that the amorphous semiconductor film is heated and converted to a crystalline semiconductor film. | 04-07-2011 |
20110084276 | THIN FILM TRANSISTOR AND METHOD OF FABRICATING THE SAME - A thin film transistor (TFT) and a method of fabricating the same are disclosed. The TFT includes a substrate, a gate electrode disposed over the substrate, a gate insulating layer disposed over the gate electrode, a semiconductor layer disposed over the gate insulating layer and including a polycrystalline silicon (poly-Si) layer, an ohmic contact layer disposed over a predetermined region of the semiconductor layer, an insulating interlayer disposed over substantially an entire surface of the substrate including the ohmic contact layer, and source and drain electrodes electrically connected to the ohmic contact layer through contact holes formed in the interlayer insulating layer. A barrier layer is interposed between the semiconductor layer and the ohmic contact layer. Thus, when an off-current of a bottom-gate-type TFT is controlled, degradation of characteristics due to a leakage current may be prevented using a simple process. | 04-14-2011 |
20110084277 | SEMICONDUCTOR MEMORY DEVICE AND ITS MANUFACTURING METHOD - A semiconductor memory device has a plurality of word line provided on a semiconductor region, extending in a row direction, a plurality of bit lines provided in the semiconductor region, extending in a column direction, and a plurality of memory elements provided at intersections between the plurality of word lines and the plurality of bit lines. Each word line provides a first gate electrode in the corresponding memory element. A lower portion of a side surface of each word line in a direction parallel to an extending direction of the word line is perpendicular to a main surface of the semiconductor region. An upper portion of the side surface is inclined so that a width thereof becomes smaller toward a top thereof. | 04-14-2011 |
20110095292 | SILICON NITRIDE FILM, AND SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - An object of the present invention is to apply an insulating film of cure and high quality that is suitably applicable as gate insulating film and protective film to a technique that the insulating film is formed on the glass substrate under a temperature of strain point or lower, and to a semiconductor device realizing high efficiency and high reliability by using it. In a semiconductor device of the present invention, a gate insulating film of a field effect type transistor with channel length of from 0.35 to 2.5 μm in which a silicon nitride film is formed over a crystalline semiconductor film through a silicon oxide film, wherein the silicon nitride film contains hydrogen with the concentration of | 04-28-2011 |
20110101351 | SEMICONDUCTOR DEVICE - Disclosed is a semiconductor device capable of functioning as a memory device. The memory device comprises a plurality of memory cells, and each of the memory cells contains a first transistor and a second transistor. The first transistor is provided over a substrate containing a semiconductor material and has a channel formation region in the substrate. The second transistor has an oxide semiconductor layer. The gate electrode of the first transistor and one of the source and drain electrodes of the second transistor are electrically connected to each other. The extremely low off current of the second transistor allows the data stored in the memory cell to be retained for a significantly long time even in the absence of supply of electric power. | 05-05-2011 |
20110101352 | AMORPHOUS OXIDE AND THIN FILM TRANSISTOR - The present invention relates to an amorphous oxide and a thin film transistor using the amorphous oxide. In particular, the present invention provides an amorphous oxide having an electron carrier concentration less than 10 | 05-05-2011 |
20110114953 | TRANSISTOR USING DERIVATIVE POLYMETHYL-METHACRYLATE THIN FILM AS GATE INSULATOR AND PASSIVATION LAYER, AND FABRICATION METHOD THEREOF - Disclosed are a transistor including a gate insulation layer and an organic passivation layer of a polymer thin film, and a fabrication method thereof. The transistor comprises a substrate, a gate electrode formed on the substrate, a gate insulation layer including a polymethacrylic acid thin film, formed on the gate electrode and the substrate, a channel layer formed on the gate insulation layer, source electrode and drain electrode formed on the channel layer so as to expose at least a part of the channel layer, and an organic passivation layer including a polymethacrylic acid thin film, formed on the source electrode, drain electrode and the partially exposed channel layer. The method for fabricating a transistor comprises steps of forming a gate electrode on a substrate, forming a gate insulation layer of a polymethacrylic acid thin film on the gate electrode and the substrate, forming a channel layer on the gate insulation layer, forming source electrode and drain electrode on the channel layer so as to expose at least a part of the channel layer, and forming an organic passivation layer of a polymethacrylic acid thin film on the source electrode, drain electrode and the partially exposed channel layer. | 05-19-2011 |
20110121298 | MANUFACTURING METHOD OF FLEXIBLE SEMICONDUCTOR DEVICE - A method includes the steps of preparing a multilayer film | 05-26-2011 |
20110127530 | SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - A method of fabricating a semiconductor integrated circuit includes forming a first dielectric layer on a semiconductor substrate, patterning the first dielectric layer to form a first patterned dielectric layer, forming a non-single crystal seed layer on the first patterned dielectric layer, removing a portion of the seed layer to form a patterned seed layer, forming a second dielectric layer on the first patterned dielectric layer and the patterned seed layer, removing portions of the second dielectric layer to form a second patterned dielectric layer, irradiating the patterned seed layer to single-crystallize the patterned seed layer, removing portions of the first patterned dielectric layer and the second patterned dielectric layer such that the single-crystallized seed layer protrudes in the vertical direction with respect to the first and/or the second patterned dielectric layer, and forming a gate electrode in contact with the single-crystal active pattern. | 06-02-2011 |
20110133189 | NMOS ARCHITECTURE INVOLVING EPITAXIALLY-GROWN IN-SITU N-TYPE-DOPED EMBEDDED eSiGe:C SOURCE/DRAIN TARGETING - An NMOS transistor is formed with improved manufacturability. An embodiment includes forming N-type doped embedded silicon germanium containing carbon (eSiGe:C) in source/drain regions of a substrate, and amorphizing the eSiGe:C. The use of eSiGe:C provides a reduction in extension silicon and dopant loss, improved morphology, increased wafer throughput, improved short channel control, and reduced silicide to source/drain contact resistance. | 06-09-2011 |
20110133190 | THIN-FILM TRANSISTOR AND INTERMEDIATE OF THIN-FILM TRANSISTOR - This thin-film transistor according to an aspect of the present invention includes a drain electrode film and a source electrode film, each of which includes a composite copper alloy film including a copper alloy underlayer containing an oxygen-calcium concentrated layer that is formed so as to come into contact with a barrier film and a Cu layer that is formed on the copper alloy underlayer containing an oxygen-calcium concentrated layer. The copper alloy underlayer containing an oxygen-calcium concentrated layer includes a concentrated layer, and the concentrated layer includes 2 mol % to 30 mol % of Ca, 20 mol % to 50 mol % of oxygen, and Cu and inevitable impurities as the balance. | 06-09-2011 |
20110133191 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device includes an oxide semiconductor layer including a crystalline region over an insulating surface, a source electrode layer and a drain electrode layer in contact with the oxide semiconductor layer, a gate insulating layer covering the oxide semiconductor layer, the source electrode layer, and the drain electrode layer, and a gate electrode layer over the gate insulating layer in a region overlapping with the crystalline region. The crystalline region includes a crystal whose c-axis is aligned in a direction substantially perpendicular to a surface of the oxide semiconductor layer. | 06-09-2011 |
20110133192 | METHOD OF FORMING CONDUCTIVE PATTERN AND ORGANIC THIN FILM TRANSISTOR - In the present invention, provided is a method of forming a conductive pattern exhibiting excellent adhesion of the conductive pattern to a substrate and high fine line reproduction via a simple process, and an organic thin film transistor exhibiting excellent element properties. Disclosed is a method of forming a conductive pattern, possessing a step of treating a substrate surface employing a compound represented by the following Formula (1), a step of decomposing the compound represented by Formula (1) via a photocatalytic action, and a plating step: Formula (1) (R) | 06-09-2011 |
20110140107 | Flat panel display device and method of manufacturing the same - A flat panel display device including a substrate including first and second regions; an active layer on the first region of the substrate including a semiconductor material; a lower electrode on the second region of the substrate including the semiconductor material; a first insulating layer on the substrate including the active layer and the lower electrode thereon; a gate electrode on the first insulating layer overlying the active layer and including a first conductive layer pattern and a second conductive layer pattern; an upper electrode on the first insulating layer overlying the lower electrode and including the first conductive layer pattern and the second conductive layer pattern; a second insulating layer on the gate electrode and the upper electrode exposing portions of the active layer and portions of the upper electrode; and a source electrode and a drain electrode connected to the exposed portions of the active layer. | 06-16-2011 |
20110140108 | SEMICONDUCTOR DEVICE AND ELECTRONIC DEVICE - An object is to improve the drive capability of a semiconductor device. The semiconductor device includes a first transistor and a second transistor. A first terminal of the first transistor is electrically connected to a first wiring. A second terminal of the first transistor is electrically connected to a second wiring. A gate of the second transistor is electrically connected to a third wiring. A first terminal of the second transistor is electrically connected to the third wiring. A second terminal of the second transistor is electrically connected to a gate of the first transistor. A channel region is formed using an oxide semiconductor layer in each of the first transistor and the second transistor. The off-state current of each of the first transistor and the second transistor per channel width of 1 μm is 1 aA or less. | 06-16-2011 |
20110140109 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device includes an oxide semiconductor layer including a channel formation region which includes an oxide semiconductor having a wide band gap and a carrier concentration which is as low as possible, and a source electrode and a drain electrode which include an oxide conductor containing hydrogen and oxygen vacancy, and a barrier layer which prevents diffusion of hydrogen and oxygen between an oxide conductive layer and the oxide semiconductor layer. The oxide conductive layer and the oxide semiconductor layer are electrically connected to each other through the barrier layer. | 06-16-2011 |
20110140110 | MOTHERBOARD, PRODUCTION METHOD OF MOTHERBOARD, AND DEVICE SUBSTRATE (amended - The present invention provides a motherboard having panel substrates efficiently arranged thereon and a reduced wasted substrate region, a method for producing the motherboard, and a device substrate comprising the panel substrates formed on the motherboard. The motherboard of the present invention comprises a plurality of panel substrates, wherein the motherboard has a silicon thin film formed on a principal surface thereof, each of the panel substrates has a transistor forming region and a marginal region, the transistor forming region is formed by polycrystallizing the silicon thin film, the marginal region is provided on an outer edge of each of the panel substrates, and at least one of the panel substrates has the marginal region including a region with a silicon thin film which has a crystal profile different from a crystal profile of a silicon thin film in the transistor forming region. | 06-16-2011 |
20110147742 | Thin Film Field Effect Transistor with Dual Semiconductor Layers - A thin film field effect transistor is disclosed which provides improved time-based channel stability. The field effect transistor includes first and second disordered semiconductor layers separated by an insulator. In an embodiment a carrier injection terminal is provided in a thin semiconductor layer closest to the gate terminal. An electric field is established in the thin semiconductor layer. At sufficient field strength, the electric field extends into the second semiconductor layer, which is in contact with the source and drain terminals. At sufficient field strength a channel is established in the second semiconductor layer, permitting current to flow between source and drain terminals. Above a certain gate voltage, there is sufficient free charge is induced in the first semiconductor layer so that the field does not extend into the second semiconductor, effectively shutting off current between source and drain. Single-device transition detection (as well as other applications) may be obtained. | 06-23-2011 |
20110147743 | THIN FILM TRANSISTOR SUBSTRATE AND METHOD FOR FABRICATING THE SAME - The present invention relates to a thin film transistor substrate and a method for fabricating the same, which can shorten a process time, prevent a scratch from taking place at an alignment film, and increase black luminance. The thin film transistor substrate includes a thin film transistor formed on a substrate, a protective film formed to flatten a step of the thin film transistor and have an uneven surface with repetitive projected patterns and recessed patterns, a pixel electrode formed on the protective film to maintain an uneven shape of the protective film, and an alignment film formed both on the protective film and the pixel electrode to maintain the uneven shapes of the protective film and the pixel electrode. | 06-23-2011 |
20110147744 | THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME - An object is to increase the on-state current of a thin film transistor. A solution is to provide a projection in a back-channel portion of the thin film transistor. The projection is provided so as to be off a tangent in the back-channel portion between a source or a drain and a channel formation region. With the projection, a portion where electric charge is trapped and a path of the on-state current can be apart from each other, so that the on-state current can be increased. The shape of a side surface of the back-channel portion may be curved, or may be represented as straight lines in a cross section. Further, a method for forming such a shape by performing one etching step is provided. | 06-23-2011 |
20110147745 | THIN FILM TRANSISTOR AND MANUFACTURING METHOD THEREOF - An embodiment is a thin film transistor which includes a gate electrode layer, a gate insulating layer provided so as to cover the gate electrode layer; a first semiconductor layer entirely overlapped with the gate electrode layer; a second semiconductor layer provided over and in contact with the first semiconductor layer and having a lower carrier mobility than the first semiconductor layer; an impurity semiconductor layer provided in contact with the second semiconductor layer; a sidewall insulating layer provided so as to cover at least a sidewall of the first semiconductor layer; and a source and drain electrode layers provided in contact with at least the impurity semiconductor layer. The second semiconductor layer may consist of parts which are apart from each other over the first semiconductor layer. | 06-23-2011 |
20110156037 | THIN FILM TRANSISTOR SUBSTRATE - A thin film transistor substrate including a thin film transistor having a drain electrode with an electrode portion, which overlaps with a semiconductor layer, and an extended portion, which extends from the electrode portion and has a portion overlapping with a storage electrode or storage electrode line. A passivation layer is arranged on the drain electrode, and it has a contact hole that partially exposes the extended portion of the drain electrode without exposing a step in the extended portion caused by the storage electrode or storage electrode line. A pixel electrode is arranged on the passivation layer and is electrically connected with the extended portion of the drain electrode through the contact hole. | 06-30-2011 |
20110163315 | DISPLAY DEVICE - The present invention provides an active matrix type display device having a high aperture ratio and a required auxiliary capacitor. A source line and a gate line are overlapped with part of a pixel electrode. This overlapped region functions to be a black matrix. Further, an electrode pattern made of the same material as the pixel electrode is disposed to form the auxiliary capacitor by utilizing the pixel electrode. It allows a required value of auxiliary capacitor to be obtained without dropping the aperture ratio. Also, it allows the electrode pattern to function as a electrically shielding film for suppressing the cross-talk between the source and gate lines and the pixel electrode. | 07-07-2011 |
20110163316 | THIN FILM TRANSISTOR AND SEMICONDUCTOR DEVICE - An impurity element imparting one conductivity type is included in a layer close to a gate insulating film of layers with high crystallinity, so that a channel formation region is formed not in a layer with low crystallinity which is formed at the beginning of film formation but in a layer with high crystallinity which is formed later in a microcrystalline semiconductor film. Further, the layer including an impurity element is used as a channel formation region. Furthermore, a layer which does not include an impurity element imparting one conductivity type or a layer which has an impurity element imparting one conductivity type at an extremely lower concentration than other layers, is provided between a pair of semiconductor films including an impurity element functioning as a source region and a drain region and the layer including an impurity element functioning as a channel formation region. | 07-07-2011 |
20110168997 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND MANUFACTURING METHOD THEREOF - A thin film transistor (TFT) array substrate and a manufacturing method thereof are provided. The TFT array substrate may include a gate line disposed on a substrate and including a gate line and a gate electrode, an oxide semiconductor layer pattern disposed on the gate electrode, a data line disposed on the oxide semiconductor layer pattern and including a source electrode and a drain electrode of a thin film transistor (TFT) together with the gate electrode, and a data line extending in a direction intersecting the gate line, and etch stop patterns disposed at an area where the TFT is formed between the source/drain electrodes and the oxide semiconductor layer pattern and at an area where the gate line and the data line overlap each other between the gate line and the data line. | 07-14-2011 |
20110168998 | DUAL-GATE TRANSISTOR AND PIXEL STRUCTURE USING THE SAME - A dual-gate transistor includes a first gate formed on a substrate, a first dielectric layer covering the first gate and the substrate, a semiconductor layer formed on the first dielectric layer, first and second electrodes formed on the semiconductor layer and spaced with an interval in order to separate each other, a second dielectric layer covering the first and second electrodes, and a second gate formed on the second dielectric layer, in which at least one of the first and second gates is non-overlapped with the second electrode. | 07-14-2011 |
20110175087 | SEMICONDUCTOR DEVICE - To provide a storage device in which advantages of both a nonvolatile storage device and a volatile storage device can be obtained, a semiconductor device includes a first transistor provided in or over a substrate and a second transistor provided above the first transistor, where at least part of the first transistor and the second transistor are overlapped with each other, and a gate electrode of the first transistor and a source or drain electrode of the second transistor are electrically connected to each other. It is preferable that the first transistor be provided using single crystal silicon and the second transistor be provided using an oxide semiconductor having extremely low off-state current. | 07-21-2011 |
20110175088 | Thin-Film Transistor Substrate and Method of Fabricating the Same - A thin-film transistor (TFT) substrate having reduced defects is fabricated using a reduced number of masks. The TFT substrate includes gate wiring formed on a substrate. The gate wiring includes a gate electrode. A semiconductor pattern is formed on the gate wiring. An etch-stop pattern is formed on the semiconductor pattern. Data wiring includes a source electrode which is formed on the semiconductor pattern and the etch-stop pattern. Each of the gate wiring and the data wiring includes a copper-containing layer and a buffer layer formed on or under the copper-containing layer. | 07-21-2011 |
20110175089 | Dielectric Materials and Methods of Preparation and Use Thereof - Disclosed are dendritic macromolecule-based dielectric compositions (e.g., formulations) and materials (e.g. films) and associated devices. The dendritic macromolecules have branched ends that are functionalized with an organic group that includes at least one 3-40 membered cyclic group. | 07-21-2011 |
20110175090 | SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICE - In a thin film transistor, a gate insulating layer is formed on a gate electrode formed on an insulating substrate. Formed on the gate insulating layer is a semiconductor layer. Formed on the semiconductor layer are a source electrode and a drain electrode. A protective layer covers them, so that the semiconductor layer is blocked from an atmosphere. The semiconductor layer (active layer) is made of, e.g., a semiconductor containing polycrystalline ZnO to which, e.g., a group V element is added. This allows practical use of a semiconductor device which has an active layer made of zinc oxide and which includes an protective layer for blocking the active layer from an atmosphere. | 07-21-2011 |
20110175091 | DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - To provide a display device having a thin film transistor with high electric characteristics and excellent reliability and a manufacturing method thereof. A gate electrode, a gate insulating film provided over the gate electrode, a first semiconductor layer provided over the gate insulating film and having a microcrystalline semiconductor, a second semiconductor layer provided over the first semiconductor layer and having an amorphous semiconductor, and a source region and a drain region provided over the second semiconductor layer are provided. The first semiconductor layer has high crystallinity than the second semiconductor layer. The second semiconductor layer includes an impurity region having a conductivity type different from a conductivity type of the source region and the drain region between the source region and the drain region. | 07-21-2011 |
20110175092 | ORGANIC SEMICONDUCTOR ELEMENT, METHOD OF MANUFACTURING ORGANIC SEMICONDUCTOR ELEMENT, ELECTRONIC DEVICE, ELECTRONIC EQUIPMENT AND INSULATING LAYER FORMING COMPOSITION - The present invention provides an organic semiconductor element which has a low hygroscopic property and whose property is hardly deteriorated with time and an electronic device and electronic equipment each provided with such an organic semiconductor element and having high reliability. The organic semiconductor element of the present invention includes: a source electrode | 07-21-2011 |
20110180796 | SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device including an oxide semiconductor, which maintains favorable characteristics and achieves miniaturization. The semiconductor device includes an oxide semiconductor layer, a source electrode and a drain electrode in contact with the oxide semiconductor layer, a gate electrode overlapping with the oxide semiconductor layer, and a gate insulating layer provided between the oxide semiconductor layer and the gate electrode, in which the source electrode and the drain electrode each include a first conductive layer, and a second conductive layer having a region which extends in a channel length direction from an end portion of the first conductive layer. | 07-28-2011 |
20110180797 | Semiconductor Apparatus and Fabrication Method of the Same - It is an object of the present invention to provide a semiconductor device capable of preventing deterioration due to penetration of moisture or oxygen, for example, a light-emitting apparatus having an organic light-emitting device that is formed over a plastic substrate, and a liquid crystal display apparatus using a plastic substrate. According to the present invention, devices formed on a glass substrate or a quartz substrate (a TFT, a light-emitting device having an organic compound, a liquid crystal device, a memory device, a thin-film diode, a pin-junction silicon photoelectric converter, a silicon resistance element, or the like) are separated from the substrate, and transferred to a plastic substrate having high thermal conductivity. | 07-28-2011 |
20110186842 | Thin film transistor and method of manufacturing the same - A method of manufacturing a thin film transistor and a thin film transistor, the method including sequentially forming a gate insulating layer, an amorphous silicon layer and an insulating layer on an entire top surface of a substrate having a gate electrode; patterning the insulating layer to form an etch stopper; and patterning the amorphous silicon layer to form a semiconductor layer. | 08-04-2011 |
20110186843 | Manufacturing method of thin film and metal line for display using the same, thin film transistor array panel, and method for manufacturing the same - A method for forming a thin film according to an exemplary embodiment of the present invention includes forming the thin film at a power density in the range of approximately 1.5 to approximately 3 W/cm | 08-04-2011 |
20110193088 | THIN-FILM TRANSISTOR HAVING HIGH ADHESIVE STRENGTH BETWEEN BARRIER FILM AND DRAIN ELECTRODE AND SOURCE ELECTRODE FILMS - This thin-film transistor includes adhesive strength enhancing films between a barrier film and electrode films. Each of the adhesive strength enhancing film is composed of two zones including (a) a pure copper zone that is formed on the electrode film side, and (b) a component concentrated zone that is formed in an interface portion contact with the barrier film, and that includes Cu, Ca, oxygen, and Si as constituents. In concentration distributions of Ca and oxygen in a thickness direction of the component concentrated zone, a maximum content of Ca of a Ca-containing peak is in a range of 5 to 20 at %, and a maximum content of oxygen of an oxygen-containing peak is in a range of 30 to 50 at %, respectively. | 08-11-2011 |
20110198592 | THIN FILM TRANSISTOR AND METHOD FOR FABRICATING THIN FILM TRANSISTOR - Disclosed are a thin film transistor having high reliability and providing a simplified fabricating process, and a method of fabricating the thin film transistor. In the method, a dielectric substrate is prepared, a semiconductor layer is formed on the dielectric substrate, a gate dielectric film is formed on the semiconductor layer, a first gate electrode is formed on the gate dielectric film, a second gate electrode contacting a side wall of the first gate electrode is formed, and impurities are implanted into the semiconductor layer using the first gate electrode as a mask. | 08-18-2011 |
20110198593 | SEMICONDUCTOR DEVICE - A semiconductor device with a novel structure in which stored data can be held even when power is not supplied and there is no limitation on the number of times of writing. In the semiconductor device, a plurality of memory cells each including a first transistor, a second transistor, and a capacitor is provided in matrix and a wiring (also called a bit line) for connecting one memory cell to another memory cell and a source or drain electrode of the first transistor are electrically connected to each other through a source or drain electrode of the second transistor. Accordingly, the number of wirings can be smaller than that in the case where the source or drain electrode of the first transistor and the source or drain electrode of the second transistor are connected to different wirings. Thus, the degree of integration of the semiconductor device can be increased. | 08-18-2011 |
20110198594 | Semiconductor Device and Manufacturing Method Thereof - It is an object to provide a semiconductor device having excellent electric characteristics or high reliability, or a manufacturing method thereof. A semiconductor device including a gate electrode, an oxide semiconductor layer overlapping with the gate electrode, a source electrode and a drain electrode in contact with the oxide semiconductor layer, and a gate insulating layer provided between the gate electrode and the oxide semiconductor layer is provided. The oxide semiconductor layer is formed by a facing target sputtering method. The carrier concentration of the oxide semiconductor is less than 1×10 | 08-18-2011 |
20110198595 | LIQUID CRYSTAL DISPLAY DEVICE - It is an object to provide a liquid crystal display device including a thin film transistor with high electric characteristics and high reliability. As for a liquid crystal display device including an inverted staggered thin film transistor of a channel stop type, the inverted staggered thin film transistor includes a gate electrode, a gate insulating film over the gate electrode, a microcrystalline semiconductor film including a channel formation region over the gate insulating film, a buffer layer over the microcrystalline semiconductor film, and a channel protective layer which is formed over the buffer layer so as to overlap with the channel formation region of the microcrystalline semiconductor film. | 08-18-2011 |
20110204363 | AMORPHOUS SILICON MONOS OR MAS MEMORY CELL STRUCTURE WITH OTP FUNCTION - A semiconductor device with an amorphous silicon (a-Si) metal-oxide-nitride-oxide-silicon (MONOS) or metal-aluminum oxide-silicon (MAS) memory cell structure with one-time programmable (OTP) function. The device includes a substrate, a first dielectric layer overlying the substrate, and one or more source or drain regions embedded in the first dielectric layer with a co-planar surface of n-type a-Si and the first dielectric layer. Additionally, the device includes a p-i-n a-Si diode junction. The device further includes a second dielectric layer on the a-Si p-i-n diode junction and a metal control gate overlying the second dielectric layer. Optionally the device with OTP function includes a conductive path formed between n-type a-Si layer and the metal control gate. A method of making the same memory cell structure is provided and can be repeated to integrate the structure three-dimensionally. | 08-25-2011 |
20110204364 | THIN FILM TRANSISTOR AND MANUFACTURING METHOD THEREOF - A method for manufacturing a thin film transistor having high electric characteristics with high productivity. In the method for forming a channel region of a dual-gate thin film transistor including a first gate electrode and a second gate electrode which faces the first gate electrode with the channel region provided therebetween, a first microcrystalline semiconductor film is formed under a first condition for forming a microcrystalline semiconductor film in which a space between crystal grains is filled with an amorphous semiconductor, and a second microcrystalline semiconductor film is formed over the first microcrystalline semiconductor film under a second condition for promoting crystal growth. | 08-25-2011 |
20110204365 | SEMICONDUCTOR DEVICE - At least one of a plurality of transistors which are highly integrated in an element is provided with a back gate without increasing the number of manufacturing steps. In an element including a plurality of transistors which are longitudinally stacked, at least a transistor in an upper portion includes a metal oxide having semiconductor characteristics, a same layer as a gate electrode of a transistor in a lower portion is provided to overlap with a channel formation region of the transistor in an upper portion, and part of the same layer as the gate electrode functions as a back gate of the transistor in an upper portion. The transistor in a lower portion which is covered with an insulating layer is subjected to planarization treatment, whereby the gate electrode is exposed and connected to a layer functioning as source and drain electrodes of the transistor in an upper portion. | 08-25-2011 |
20110215324 | THIN FILM TRANSISTOR AND FABRICATING METHOD THEREOF - A thin film transistor (TFT) and a fabricating method thereof are provided. The TFT includes a channel layer, an ohmic contact layer, a dielectric layer, a source, a drain, a gate, and a gate insulating layer. The channel layer has an upper surface and a sidewall. The ohmic contact layer is disposed on a portion of the upper surface of the channel layer. The dielectric layer is disposed on the sidewall of the channel layer, and does not overlap with the ohmic contact layer. The source and the drain are disposed on portions of the ohmic contact layer and the dielectric layer. A portion of dielectric layer is not covered by the source or the drain. The gate is above or below the channel layer. The gate insulating layer is disposed between the gate and the channel layer. | 09-08-2011 |
20110215325 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A highly purified oxide semiconductor layer is formed in such a manner that a substance that firmly bonds during film formation to an impurity containing a hydrogen atom is introduced into a film formation chamber, the substance is reacted with the impurity containing a hydrogen atom remaining in the film formation chamber, and the substance is changed to a stable substance containing the hydrogen atom. The stable substance containing the hydrogen atom is exhausted without providing a metal atom of an oxide semiconductor layer with the hydrogen atom; therefore, a phenomenon in which a hydrogen atom or the like is taken into the oxide semiconductor layer can be prevented. As the substance that firmly bonds to the impurity containing a hydrogen atom, a substance containing a halogen element is preferable, for example. | 09-08-2011 |
20110215326 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Disclosed is a semiconductor device including: an insulating layer; a source electrode and a drain electrode embedded in the insulating layer; an oxide semiconductor layer in contact and over the insulating layer, the source electrode, and the drain electrode; a gate insulating layer over and covering the oxide semiconductor layer; and a gate electrode over the gate insulating layer, where the upper surfaces of the insulating layer, the source electrode, and the drain electrode exist coplanarly. The upper surface of the insulating layer, which is in contact with the oxide semiconductor layer, has a root-mean-square (RMS) roughness of 1 nm or less, and the difference in height between the upper surface of the insulating layer and the upper surface of the source electrode or the drain electrode is less than 5 nm. This structure contributes to the suppression of defects of the semiconductor device and enables their miniaturization. | 09-08-2011 |
20110215327 | ACTIVE MATRIX LIQUID CRYSTAL DISPLAY DEVICE - A first insulating thin film having a large dielectric constant such as a silicon nitride film is formed so as to cover a source line and a metal wiring that is in the same layer as the source line. A second insulating film that is high in flatness is formed on the first insulating film. An opening is formed in the second insulating film by etching the second insulating film, to selectively expose the first insulating film. A conductive film to serve as a light-interruptive film is formed on the second insulating film and in the opening, whereby an auxiliary capacitor of the pixel is formed between the conductive film and the metal wiring with first the insulating film serving as a dielectric. The effective aperture ratio can be increased by forming the auxiliary capacitor in a selected region where the influences of alignment disorder of liquid crystal molecules, i.e., disclination, are large. | 09-08-2011 |
20110220891 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device includes a wiring embedded in an insulating layer, an oxide semiconductor layer over the insulating layer, a source electrode and a drain electrode electrically connected to the oxide semiconductor layer, a gate electrode provided to overlap with the oxide semiconductor layer, and a gate insulating layer provided between the oxide semiconductor layer and the gate electrode. The insulating layer is formed so that part of a top surface of the wiring is exposed. The part of the top surface of the wiring is positioned higher than part of a surface of the insulating layer. The wiring in a region exposed from the insulating layer is electrically connected to the source electrode or the drain electrode. The root-mean-square roughness of a region which is part of the surface of the insulating layer and in contact with the oxide semiconductor layer is 1 nm or less. | 09-15-2011 |
20110220892 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device has a substrate, a gate electrode, a insulating layer containing silicon nitride, a silicon layer containing crystalline silicon and amorphous silicon, a contact layer, and source and drain electrodes layered in this order. The volume content ratio of crystalline silicon in the silicon layer has a gradient increasing toward the source and drain electrodes and decreasing toward the substrate. The gate insulating layer and the silicon layer sandwich a silicon-oxide-containing layer therebetween. | 09-15-2011 |
20110220893 | Array Substrate for Liquid Crystal Display Device and Method of Fabricating the Same - An array substrate for a liquid crystal display device includes: a gate line and a gate electrode on a substrate, the gate electrode connected to the gate line; a gate insulating layer on the gate line and the gate electrode, the gate insulating layer including an organic insulating material such that a radical of carbon chain has a composition ratio of about 8% to about 11% by weight; a semiconductor layer on the gate insulating layer over the gate electrode; a data line crossing the gate line to define a pixel region; source and drain electrodes on the semiconductor layer, the source electrode connected to the data line and the drain electrode spaced apart from the source electrode; a passivation layer on the data line, the source electrode and the drain electrode, the passivation layer having a drain contact hole exposing the drain electrode; and a pixel electrode on the passivation layer, the pixel electrode connected to the drain electrode through the drain contact hole | 09-15-2011 |
20110220894 | SEMICONDUCTOR LAYER AND METHOD FOR FORMING SAME - A semiconductor layer ( | 09-15-2011 |
20110220895 | THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THIN FILM TRANSISTOR - The present invention makes it possible to prepare a thin film transistor fitted with a resin substrate by lowering a process temperature during formation of an oxide semiconductor, and further makes it possible to improve manufacturing efficiency and reduce variations in thin film transistor performance. Disclosed is a thin film transistor of the present invention possessing a semiconductor containing metal oxide, the semiconductor comprising a coating film made from a solution or a dispersion of a precursor, wherein the metal oxide contains indium as a first metal element, gallium or aluminum as a second metal element, and zinc or tin as a third metal element, and a ratio of the third metal element to total metal elements in the metal oxide is 25 at % or less, or 0 at %. | 09-15-2011 |
20110227071 | Semiconductor Constructions, Semiconductor Processing Methods, And Methods Of Forming Isolation Structures - Some embodiments include methods of forming isolation structures. A semiconductor base may be provided to have a crystalline semiconductor material projection between a pair of openings. SOD material (such as, for example, polysilazane) may be flowed within said openings to fill the openings. After the openings are filled with the SOD material, one or more dopant species may be implanted into the projection to amorphize the crystalline semiconductor material within an upper portion of said projection. The SOD material may then be annealed at a temperature of at least about 400° C. to form isolation structures. Some embodiments include semiconductor constructions that include a semiconductor material base having a projection between a pair of openings. The projection may have an upper region over a lower region, with the upper region being at least 75% amorphous, and with the lower region being entirely crystalline. | 09-22-2011 |
20110227072 | SEMICONDUCTOR DEVICE - A semiconductor device including a nonvolatile memory cell including a writing transistor which includes an oxide semiconductor, a reading transistor which includes a semiconductor material different from that of the writing transistor, and a capacitor is provided. Data is written to the memory cell by turning on the writing transistor and supplying a potential to a node where a source electrode (or a drain electrode) of the writing transistor, one electrode of the capacitor, and a gate electrode of the reading transistor are electrically connected to each other, and then turning off the writing transistor so that a predetermined amount of charge is held at the node. Further, when a p-channel transistor is used as the reading transistor, a reading potential is a positive potential. | 09-22-2011 |
20110227073 | TRANSISTOR SUBSTRATE AND MANUFACTURING METHOD OF THE SAME - A transistor substrate and a method of manufacturing the transistor substrate. The transistor substrate includes a semiconductor layer arranged on a base layer, a first layer arranged on the semiconductor layer and having a first light transmissivity, source and drain electrodes, the source electrode arranged on a first side of the semiconductor layer and extending onto a first portion of the first layer, the drain electrode arranged on a second and opposite side of the semiconductor layer and extending onto a second portion of the first layer and separated from the source electrode by a distance, a second layer arranged between the first layer and the source and drain electrodes and having a second light transmissivity that is lower than the first light transmissivity, a gate insulating layer arranged on the first layer and a gate electrode arranged on the gate insulating layer. | 09-22-2011 |
20110227074 | SEMICONDUCTOR DEVICE - A semiconductor device with a novel structure is provided in which stored data can be held even when power is not supplied and the number of writing is not limited. The semiconductor includes a second transistor and a capacitor over a first transistor. The capacitor includes a source or drain electrode and a gate insulating layer of the second transistor and a capacitor electrode over an insulating layer which covers the second transistor. The gate electrode of the second transistor and the capacitor electrode overlap at least partly with each other with the insulating layer interposed therebetween. By forming the gate electrode of the second transistor and the capacitor electrode using different layers, an integration degree of the semiconductor device can be improved. | 09-22-2011 |
20110227075 | BACKPLANE STRUCTURES FOR SOLUTION PROCESSED ELECTRONIC DEVICES - There is provided a backplane for an organic electronic device. The backplane has a TFT substrate having a multiplicity of electrode structures thereon. There are spaces around the electrode structures and a layer of organic filler in the spaces. The thickness of the layer of organic filler is the same as the thickness of the electrode structures. | 09-22-2011 |
20110227076 | THIN-FILM SEMICONDUCTOR DEVICE, LATERAL BIPOLAR THIN-FILM TRANSISTOR, HYBRID THIN-FILM TRANSISTOR, MOS THIN-FILM TRANSISTOR, AND METHOD OF FABRICATING THIN-FILM TRANSISTOR - In a lateral bipolar transistor including an emitter, a base and a collector which are formed in a semiconductor thin film formed on an insulating substrate, the semiconductor thin film is a semiconductor thin film which is crystallized in a predetermined direction. In addition, in a MOS-bipolar hybrid transistor formed in a semiconductor thin film formed on an insulating substrate, the semiconductor thin film is a semiconductor thin film which is crystallized in a predetermined direction. | 09-22-2011 |
20110233550 | Method for producing a thin film transistor, and a thin film transistor - Provided is a metallic wiring film which is not peeled away even when exposed to a hydrogen plasma. A metallic wiring film is constituted by an adhesion layer containing copper, Ca, and oxygen and a low-resistance metal layer (a layer of a copper alloy or pure copper) having a lower resistance than the adhesion layer. When the adhesion layer is composed of a copper alloy, which contains Ca and oxygen, and a source electrode film and a drain electrode film adhering to an ohmic contact layer are constituted by the adhesion layer, even if the adhesion layer is exposed to the hydrogen plasma, a Cu-containing oxide formed at an interface between the adhesion layer and the ohmic contact layer is not reduced, so that no peeling occurs between the adhesion layer and a silicon layer. | 09-29-2011 |
20110240998 | THIN-FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND DISPLAY DEVICE - A thin film transistor is provided. The thin film transistor includes an oxide semiconductor layer including a source region, a drain region, and a channel region wherein a portion of the source and drain regions has an oxygen concentration less than the channel region. Further provided is a thin film transistor that includes an oxide semiconductor layer including a source region, a drain region, and a channel region, wherein a portion of the source and drain regions includes a dopant selected from the group consisting of aluminum, boron, gallium, indium, titanium, silicon, germanium, tin, lead, and combinations thereof. | 10-06-2011 |
20110248266 | TRANSISTOR - An object is to provide a transistor having a novel electrode structure capable of substantially maintaining on-state current while parasitic capacitance generated in an overlap portion between a source electrode layer (a drain electrode layer) and a gate electrode layer is reduced. Parasitic capacitance is reduced by using a source electrode layer and a drain electrode in a comb shape in a transistor. Curved current flowing from side edges of electrode tooth portions can be generated by controlling the width of an end of a comb-shaped electrode layer or the interval between the electrode tooth portions. This curved current compensates for a decrease in linear current due to a comb electrode shape; thus, on-state current can be kept unchanged even when parasitic capacitance is reduced. | 10-13-2011 |
20110248267 | AIR-STABLE N-CHANNEL ORGANIC ELECTRONIC DEVICES - In connection with various example embodiments, an organic electronic device is provided with an organic material that is susceptible to decreased mobility due to the trapping of electron charge carriers in response to exposure to air. The organic material is doped with an n-type dopant that, when combined with the organic material, effects air stability for the doped organic material (e.g., exhibits a mobility that facilitates stable operation in air, such as may be similar to operation in inert environments). Other embodiments are directed to organic electronic devices n-doped and exhibiting such air stability. | 10-13-2011 |
20110248268 | THIN FILM TRANSISTOR AND DISPLAY DEVICE - To improve problems with on-state current and off-state current of thin film transistors, a thin film transistor includes a pair of impurity semiconductor layers to which an impurity element imparting one conductivity type is added, provided with a space therebetween; a conductive layer which is overlapped, over the gate insulating layer, with the gate electrode and one of the pair of impurity semiconductor layers to which an impurity element imparting one conductivity type is added; and an amorphous semiconductor layer which is provided successively between the pair of impurity semiconductor layers to which an impurity element imparting one conductivity type is added in such a manner that the amorphous semiconductor layer extends over the gate insulating layer from the conductive layer and is in contact with both of the pair of impurity semiconductor layers to which an impurity element imparting one conductivity type is added. | 10-13-2011 |
20110254003 | ORGANIC THIN FILM TRANSISTOR MANUFACTURING METHOD AND ORGANIC THIN FILM TRANSISTOR - Provided are an organic TFT manufacturing method whereby flow of ink into an unnecessary area can be suppressed and excellent characteristics and high reliability can be obtained, and an organic TFT. The organic TFT manufacturing method comprises a step of providing a source electrode and a drain electrode on a base member; a step of providing a bank layer, which has an opening on a channel between the source electrode and the drain electrode, an opening on a predetermined area of the base member, and a groove or grooves around the opening on the predetermined area, which surround the opening on the predetermined area; and a step of supplying an organic semiconductor solution to the opening of the bank layer formed on the channel to form an organic semiconductor layer. | 10-20-2011 |
20110254004 | Semiconductor Device and Manufacturing Method Thereof - A semiconductor device manufactured utilizing an SOI substrate, in which defects due to an end portion of an island-shaped silicon layer are prevented and the reliability is improved, and a manufacturing method thereof. The following are included: an SOI substrate in which an insulating layer and an island-shaped silicon layer are stacked in order over a support substrate; a gate insulating layer provided over one surface and a side surface of the island-shaped silicon layer; and a gate electrode which is provided over the island-shaped silicon layer with the gate insulating layer interposed therebetween. The gate insulating layer is formed such that the dielectric constant in the region which is in contact with the side surface of the island-shaped silicon layer is lower than that over the one surface of the island-shaped silicon layer. | 10-20-2011 |
20110260165 | Semiconductor Device and Method for Manufacturing Semiconductor Device - An object is to provide a semiconductor device which is not easily broken even if stressed externally and a method for manufacturing such a semiconductor device. A semiconductor device includes an element layer including a transistor in which a channel is formed in a semiconductor layer and insulating layers which are formed as an upper layer and a lower layer of the transistor respectively, and a plurality of projecting members provided at intervals of from 2 to 200 μm on a surface of the element layer. The longitudinal elastic modulus of the material for forming the plurality of projecting members is lower than that of the materials of the insulating layers. | 10-27-2011 |
20110266542 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME - Provided are a semiconductor device including a dual gate transistor and a method of fabricating the same. The semiconductor device includes a lower gate electrode, an upper gate electrode on the lower gate electrode, a contact plug interposed between the lower gate electrode and the upper gate electrode, and connecting the lower gate electrode to the upper gate electrode, and a functional electrode spaced apart from the upper gate electrode and formed at the same height as the upper gate electrode. The dual gate transistor exhibiting high field effect mobility is applied to the semiconductor device, so that characteristics of the semiconductor device can be improved. In particular, since no additional mask or deposition process is necessary, a large-area high-definition semiconductor device can be mass-produced with neither an increase in process cost nor a decrease in yield. | 11-03-2011 |
20110272694 | INSULATING SUBSTRATE FOR SEMICONDUCTOR APPARATUS, SEMICONDUCTOR APPARATUS, AND METHOD FOR MANUFACTURING SEMICONDUCTOR APPARATUS - The present invention is intended to provide a glass substrate ( | 11-10-2011 |
20110272695 | PIXEL HAVING AN ORGANIC LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE PIXEL - A pixel having an organic light emitting diode (OLED) and method for fabricating the pixel is provided. A planarization dielectric layer is provided between a thin-film transistor (TFT) based backplane and OLED layers. A through via between the TFT backplane and the OLED layers forms a sidewall angle of less than 90 degrees to the TFT backplane. The via area and edges of an OLED bottom electrode pattern may be covered with a dielectric cap. | 11-10-2011 |
20110278571 | SEMICONDUCTOR DEVICE - A semiconductor device including a first transistor and a second transistor and a capacitor which are over the first transistor is provided. A semiconductor layer of the second transistor includes an offset region. In the second transistor provided with an offset region, the off-state current of the second transistor can be reduced. Thus, a semiconductor device which can hold data for a long time can be provided. | 11-17-2011 |
20110278572 | Electro-Optical Device - An electro-optical device for performing time division gray scale display and which is capable of arbitrarily setting the amount of time during which light is emitted by EL elements is provided. From among n sustain periods Ts | 11-17-2011 |
20110278573 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A separation layer is formed over a substrate, an insulating film | 11-17-2011 |
20110284844 | SEMICONDUCTOR DEVICE - An object of the present invention is to manufacture a semiconductor device where fluctuation in electrical characteristics is small and reliability is high in a transistor in which an oxide semiconductor is used. An insulating layer from which oxygen is released by heating is used as a base insulating layer of an oxide semiconductor layer which forms a channel. Oxygen is released from the base insulating layer, whereby oxygen deficiency in the oxide semiconductor layer and an interface state between the base insulating layer and the oxide semiconductor layer can be reduced. Thus, a semiconductor device where fluctuation in electrical characteristics is small and reliability is high can be manufactured. | 11-24-2011 |
20110284845 | SEMICONDUCTOR DEVICE - An insulating layer containing a silicon peroxide radical is used as an insulating layer in contact with an oxide semiconductor layer for forming a channel. Oxygen is released from the insulating layer, whereby oxygen deficiency in the oxide semiconductor layer and an interface state between the insulating layer and the oxide semiconductor layer can be reduced. Accordingly, a semiconductor device where reliability is high and variation in electric characteristics is small can be manufactured. | 11-24-2011 |
20110284846 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - Oxygen is released from the insulating layer, whereby oxygen deficiency in the oxide semiconductor layer and an interface state between the insulating layer and the oxide semiconductor layer can be reduced. Accordingly, a semiconductor device where reliability is high and variation in electric characteristics is small can be manufactured. | 11-24-2011 |
20110284847 | SEMICONDUCTOR DEVICE - Disclosed is a semiconductor device with a transistor in which an oxide semiconductor is used. An insulating layer on a back channel side of the oxide semiconductor layer has capacitance of lower than or equal to 1.5×10 | 11-24-2011 |
20110284848 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - Disclosed is a semiconductor device including an oxide semiconductor film. A first oxide semiconductor film with a thickness of greater than or equal to 2 nm and less than or equal to 15 nm is formed over a gate insulating layer. First heat treatment is performed so that crystal growth from a surface of the first oxide semiconductor film to the inside thereof is caused, whereby a first crystal layer is formed. A second oxide semiconductor film with a thickness greater than that of the first oxide semiconductor film is formed over the first crystal layer. Second heat treatment is performed so that crystal growth from the first crystal layer to a surface of the second oxide semiconductor film is caused, whereby a second crystal layer is formed. Further, oxygen doping treatment is performed on the second crystal layer. | 11-24-2011 |
20110284849 | Crosslinked Hybrid Gate Dielectric Materials and Electronic Devices Incorporating Same - Disclosed are thin film transistor devices incorporating a crosslinked inorganic-organic hybrid blend material as the gate dielectric. The blend material, obtained by thermally curing a mixture of an inorganic oxide precursor sol and an organosilane crosslinker at relatively low temperatures, can afford a high gate capacitance, a low leakage current density, and a smooth surface, and can be used to enable satisfactory transistor device performance at low operating voltages. | 11-24-2011 |
20110284850 | AMORPHOUS-SILICON THIN FILM TRANSISTOR AND SHIFT REGISTER HAVING THE SAME - An amorphous-silicon thin film transistor and a shift resister shift resister having the amorphous-silicon TFT include a first conductive region, a second conductive region and a third conductive region. The first conductive region is formed on a first plane spaced apart from a substrate by a first distance. The second conductive region is formed on a second plane spaced apart from the substrate by a second distance. The second conductive region includes a body conductive region and two hand conductive regions elongated from both ends of the body conductive region to form an U-shape. The third conductive region is formed on the second plane. The third conductive region includes an elongated portion. The elongated portion is disposed between the two hand conductive regions of the second conductive region. The amorphous-silicon TFT and the shift resister having the amorphous TFT reduce a parasitic capacitance between the gate electrode and drain electrode. | 11-24-2011 |
20110291091 | Organic Light Emitting Display - In an organic light emitting display, a conductive layer is formed on the bottom surface of a substrate, and the conductive layer is used as a wiring line for supplying a power source, and as the electrode of a capacitor. Therefore, it is possible to easily secure the aperture ratio of a pixel, to easily solve the problem of IR drops by controlling the area or thickness of the conductive layer, and to easily secure the electrostatic capacity of the capacitor. In particular, in the case of a front surface light emitting structure, since a capacitor of a metal/insulating layer/metal (MIM) structure may be formed in a light emitting region, enough aperture ratio and electrostatic capacity may be secured. Therefore, a high resolution organic light emitting display may be easily realized, and enough aperture ratio and electrostatic capacity are secured so as to realize high picture quality. | 12-01-2011 |
20110291092 | FIELD EFFECT TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME - Provided is a novel structure of a field effect transistor using a metal-semiconductor junction. The field effect transistor includes a wiring which is provided over a substrate and also functions as a gate electrode; an insulating film which is provided over the wiring, has substantially the same shape as the wiring, and also functions as a gate insulating film; a semiconductor layer which is provided over the insulating film and includes an oxide semiconductor and the like; an oxide insulating layer which is provided over the semiconductor layer and whose thickness is 5 times or more as large as the sum of the thickness of the insulating film and the thickness of the semiconductor layer or 100 nm or more; and wirings which are connected to the semiconductor layer through openings provided in the oxide insulating layer. | 12-01-2011 |
20110291093 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The present invention relates to a semiconductor device including a thin film transistor comprising a microcrystalline semiconductor which forms a channel formation region and includes an acceptor impurity element, and to a manufacturing method thereof. A gate electrode, a gate insulating film formed over the gate electrode, a first semiconductor layer which is formed over the gate insulating film and is formed of a microcrystalline semiconductor, a second semiconductor layer which is formed over the first semiconductor layer and includes an amorphous semiconductor, and a source region and a drain region which are formed over the second semiconductor layer are provided in the thin film transistor. A channel is formed in the first semiconductor layer when the thin film transistor is placed in an on state. | 12-01-2011 |
20110297937 | THIN FILM TRANSISTOR WITH OFFSET STRUCTURE - A thin film transistor (TFT) having an offset structure is disclosed. The TFT maintains a sufficiently low “off” current and a sufficiently high “on” current. The TFT includes an active region. The active region includes a gate electrode; an active layer that overlaps with the gate electrode; a gate insulating layer between the gate electrode and the active layer; and a source/drain electrode layer including source/drain electrodes that are electrically connected to the active region. Some of the source/drain electrodes overlap partially with the gate electrode. Other of the source/drain electrodes are offset from the gate electrode. The source/drain electrodes and the gate electrode are in a symmetrical arrangement. | 12-08-2011 |
20110297938 | THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC DEVICE - A method of manufacturing a thin film transistor includes: forming a gate electrode on a substrate; forming a gate insulating layer on the gate electrode; forming an organic semiconductor layer on the gate insulating layer; forming an organic semiconductor pattern by selectively removing part of the organic semiconductor layer by means of a laser ablation method; and forming source and drain electrodes on the organic semiconductor pattern. | 12-08-2011 |
20110297939 | SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device having a novel structure with a high degree of integration. A semiconductor device includes a semiconductor layer having a channel formation region, a source electrode and a drain electrode electrically connected to the channel formation region, a gate electrode overlapping with the channel formation region, and a gate insulating layer between the channel formation region and the gate electrode. A portion of a side surface of the gate insulating layer and a portion of a side surface of the source electrode or the drain electrode are substantially aligned with each other when seen from a planar direction. | 12-08-2011 |
20110297940 | MICROMACHINE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor element of the electric circuit includes a semiconductor layer over a gate electrode. The semiconductor layer of the semiconductor element is formed of a layer including polycrystalline silicon which is obtained by crystallizing amorphous silicon by heat treatment or laser irradiation, over a substrate. The obtained layer including polycrystalline silicon is also used for a structure layer such as a movable electrode of a structure body. Therefore, the structure body and the electric circuit for controlling the structure body can be formed over one substrate. As a result, a micromachine can be miniaturized. Further, assembly and packaging are unnecessary, so that manufacturing cost can be reduced. | 12-08-2011 |
20110303916 | SEMICONDUCTOR DEVICE - A semiconductor device of the present invention includes: a lower electrode ( | 12-15-2011 |
20120001179 | SEMICONDUCTOR DEVICE - It is an object to provide a semiconductor device including an oxide semiconductor, which has stable electric characteristics and high reliability. A semiconductor device having a stacked-layer structure of a gate insulating layer; a first gate electrode in contact with one surface of the gate insulating layer; an oxide semiconductor layer in contact with the other surface of the gate insulating layer and overlapping with the first gate electrode; and a source electrode, a drain electrode, and an oxide insulating layer which are in contact with the oxide semiconductor layer is provided, in which the nitrogen concentration of the oxide semiconductor layer is 2×10 | 01-05-2012 |
20120001180 | Semiconductor Device and Method for Manufacturing the Same - Provided is a structure to obtain a reliable electrical contact through a narrow contact hole formed in an insulating layer, which is required in the miniaturization of a semiconductor device. An exemplified structure includes a thin film transistor comprising: a lower electrode over and in contact with a semiconductor layer, the lower electrode comprising a metal or a metal compound; an insulating layer over the lower electrode, the insulating layer having a contact hole reaching the lower electrode; a conductive silicon whisker grown from a surface of the lower electrode; and an upper electrode over the insulating layer and in contact with the conductive silicon whisker. The ability of the conductive silicon whisker grown from the lower electrode to ohmically contact with the lower and upper electrodes leads to a reliable electrical contact between the thin film transistor and a wiring. | 01-05-2012 |
20120001181 | LAYER HAVING FUNCTIONALITY, METHOD FOR FORMING FLEXIBLE SUBSTRATE HAVING THE SAME, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - It is an object of the present invention to provide a method for forming a layer having functionality including a conductive layer and a colored layer and a flexible substrate having a layer having functionality with a high yield. Further, it is an object of the present invention to provide a method for manufacturing a semiconductor device that is small-sized, thin, and lightweight. After coating a substrate having heat resistance with a silane coupling agent, a layer having functionality is formed. Then, after attaching an adhesive to the layer having functionality, the layer having functionality is peeled from the substrate. Further, after coating a substrate having heat resistance with a silane coupling agent, a layer having functionality is formed. Then, an adhesive is attached to the layer having functionality. Thereafter, the layer having functionality is peeled from the substrate, and a flexible substrate is attached to the layer having functionality. | 01-05-2012 |
20120007079 | Thin Film Field Effect Transistor with Dual Semiconductor Layers - A thin film field effect transistor is disclosed which provides improved time-based channel stability. The field effect transistor includes first and second disordered semiconductor layers separated by an insulator. In an embodiment a carrier injection terminal is provided in a thin semiconductor layer closest to the gate terminal. An electric field is established in the thin semiconductor layer. At sufficient field strength, the electric field extends into the second semiconductor layer, which is in contact with the source and drain terminals. At sufficient field strength a channel is established in the second semiconductor layer, permitting current to flow between source and drain terminals. Above a certain gate voltage, there is sufficient free charge is induced in the first semiconductor layer so that the field does not extend into the second semiconductor, effectively shutting off current between source and drain. Single-device transition detection (as well as other applications) may be obtained. | 01-12-2012 |
20120012845 | SEMICONDUCTOR DEVICE - A semiconductor device with a novel structure is provided, which can hold stored data even when no power is supplied and which has no limitations on the number of writing operations. A semiconductor device is formed using a material which enables off-state current of a transistor to be reduced significantly; e.g., an oxide semiconductor material which is a wide-gap semiconductor. With use of a semiconductor material which enables off-state current of a transistor to be reduced significantly, the semiconductor device can hold data for a long period. In a semiconductor device with a memory cell array, parasitic capacitances generated in the nodes of the first to the m-th memory cells connected in series are substantially equal, whereby the semiconductor device can operate stably. | 01-19-2012 |
20120012846 | SEMICONDUCTOR DEVICE, ELECTRONIC DEVICE, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - To provide a semiconductor device and a display device which can be manufactured through a simplified process and the manufacturing technique. Another object is to provide a technique by which a pattern of wirings or the like which is partially constitutes a semiconductor device or a display device can be formed with a desired shape with controllability. | 01-19-2012 |
20120018727 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An insulating layer which releases a large amount of oxygen is used as an insulating layer in contact with a channel region of an oxide semiconductor layer, and an insulating layer which releases a small amount of oxygen is used as an insulating layer in contact with a source region and a drain region of the oxide semiconductor layer. By releasing oxygen from the insulating layer which releases a large amount of oxygen, oxygen deficiency in the channel region and an interface state density between the insulating layer and the channel region can be reduced, so that a highly reliable semiconductor device having small variation in electrical characteristics can be manufactured. The source region and the drain region are provided in contact with the insulating layer which releases a small amount of oxygen, thereby suppressing the increase of the resistance of the source region and the drain region. | 01-26-2012 |
20120025190 | Radiation detector - The present invention provides a radiation detector that may set output characteristics of an electrical signal for output so as to match the detection range of an amplifier. Namely, a charge storage capacitor is provided to each sensor section so as to be electrically connected to a bias line in parallel to the respective sensor section. | 02-02-2012 |
20120025191 | Semiconductor Device and Manufacturing Method Thereof - A method for manufacturing a semiconductor device, which enables miniaturization and reduction of defect, is provided. It includes forming an oxide semiconductor layer, and source and drain electrodes in contact with the oxide semiconductor layer, over an insulating surface; forming insulating layers over the source electrode and the drain electrode; forming a gate insulating layer over the oxide semiconductor layer, the source and drain electrodes, and the insulating layer; forming a conductive layer over the gate insulating layer; forming an insulating film covering the conductive layer; processing the insulating film so that at least part of a region of the conductive layer, which overlaps with the source electrode or the drain electrode, is exposed; and etching the exposed region of the conductive layer to form a gate electrode overlapping with at least part of the region sandwiched between the source electrode and the drain electrode, in a self-aligned manner. | 02-02-2012 |
20120032171 | SEMICONDUCTOR DEVICE - An object is to miniaturize a semiconductor device. Another object is to reduce the area of a driver circuit of a semiconductor device including a memory cell. The semiconductor device includes an element formation layer provided with at least a first semiconductor element, a first wiring provided over the element formation layer, an interlayer film provided over the first wiring, and a second wiring overlapping with the first wiring with the interlayer film provided therebetween. The first wiring, the interlayer film, and the second wiring are included in a second semiconductor element. The first wiring and the second wiring are wirings to which the same potentials are supplied. | 02-09-2012 |
20120032172 | SEMICONDUCTOR DEVICE - A semiconductor device including the following components and a manufacturing method of the semiconductor device are provided. The semiconductor device includes a substrate; an oxide semiconductor layer over the substrate; a source electrode and a drain electrode whose end portion has a taper angle and whose upper end portion has a curved surface, the source electrode and the drain electrode being electrically connected to the oxide semiconductor layer; a gate insulating layer being in contact with a part of the oxide semiconductor layer and covering the oxide semiconductor layer, the source electrode, and the drain electrode; and a gate electrode overlapping with the oxide semiconductor layer and being over the gate insulating layer. | 02-09-2012 |
20120037906 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND METHOD OF FABRICATING THE SAME - A thin film transistor array substrate capable of reducing degradation of a device due to degradation of an oxide semiconductor pattern and a method of fabricating the same are provided. The thin film transistor array substrate may include an insulating substrate on which a gate electrode is formed, a gate insulating film formed on the insulating substrate, an oxide semiconductor pattern disposed on the gate insulating film, an anti-etching pattern formed on the oxide semiconductor pattern, and a source electrode and a drain electrode formed on the anti-etching pattern. The oxide semiconductor pattern may include an edge portion positioned between the source electrode and the drain electrode, and the edge portion may include at least one conductive region and at least one non-conductive region. | 02-16-2012 |
20120037907 | Method of Forming Source and Drain Electrodes of Organic Thin Film Transistors by Electroless Plating - A method of manufacturing an organic thin film transistor, the method comprising: depositing a source and drain electrode over a substrate using a solution processing technique; forming a workfunction modifying layer over the source and drain electrodes using a solution processing technique; and depositing an organic semi-conductive material in a channel region between the source and drain electrode using a solution processing technique. | 02-16-2012 |
20120043542 | SEMICONDUCTOR DEVICE - The present invention is a semiconductor device including a first electrode over a substrate; a pair of oxide semiconductor films in contact with the first electrode; a second electrode in contact with the pair of oxide semiconductor films; a gate insulating film covering at least the first electrode and the pair of oxide semiconductor films; and a third electrode that is in contact with the gate insulating film and is formed at least between the pair of oxide semiconductor films. When the donor density of the oxide semiconductor films is 1.0×10 | 02-23-2012 |
20120043543 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR - Disclosed is a semiconductor device provided with the following: an active layer | 02-23-2012 |
20120043544 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The present invention has an object to provide an active-matrix liquid crystal display device that realizes the improvement in productivity as well as in yield. In the present invention, a laminate film comprising the conductive film comprising metallic material and the second amorphous semiconductor film containing an impurity element of one conductivity type and the amorphous semiconductor film is selectively etched with the same etching gas to form a side edge of the first amorphous semiconductor film | 02-23-2012 |
20120056180 | THIN FILM TRANSISTOR AND FABRICATING METHOD THEREOF - A thin film transistor including a substrate, a semiconductor layer, a patterned doped semiconductor layer, a source and a drain, a gate insulation layer, and a gate is provided. The semiconductor layer is disposed on the substrate. The patterned doped semiconductor layer is disposed on opposite sides of the semiconductor layer. The source and the drain are disposed on the patterned doped semiconductor layer and the opposite sides of the semiconductor layer, wherein a part of the semiconductor layer covered by the source and the drain has a first thickness, a part of the semiconductor layer disposed between the source and the drain and not covered by the source and the drain has a second thickness ranging from 200 Å to 800 Å. The gate insulation layer is disposed on the source, the drain and the semiconductor layer. The gate is disposed on the gate insulation layer. | 03-08-2012 |
20120056181 | METHOD OF MANUFACTURING ELECTRONIC ELEMENT AND ELECTRONIC ELEMENT - There is provided a method of manufacturing an electronic element for forming the electronic element including one or more wiring layers and an organic insulating layer stacked on a substrate. The method includes a wiring layer formation step of forming the wiring layer on the substrate; an organic insulating layer formation step of forming an organic insulating layer on the wiring layer; and an irradiation step of irradiating a short-circuit portion of the wiring layer through the organic insulating layer with a laser beam having a wavelength transmissive through the organic insulating layer. | 03-08-2012 |
20120056182 | Semiconductor Device and Manufacturing Method Thereof - A manufacturing method of a semiconductor device having a stacked structure in which a lower layer is exposed is provided without increasing the number of masks. A source electrode layer and a drain electrode layer are formed by forming a conductive film to have a two-layer structure, forming an etching mask thereover, etching the conductive film using the etching mask, and performing side-etching on an upper layer of the conductive film in a state where the etching mask is left so that part of a lower layer is exposed. The thus formed source and drain electrode layers and a pixel electrode layer are connected in a portion of the exposed lower layer. In the conductive film, the lower layer and the upper layer may be a Ti layer and an Al layer, respectively. The plurality of openings may be provided in the etching mask. | 03-08-2012 |
20120056183 | GATE INSULATOR LAYER FOR ORGANIC ELECTRONIC DEVICES - Embodiments in accordance with the present invention provide for the use of polycycloolefins in electronic devices and more specifically to the use of such polycycloolefins as gate insulator layers used in the fabrication of electronic devices, the electronic devices that encompass such polycycloolefin gate insulator and processes for preparing such polycycloolefin gate insulator layers and electronic devices encompassing such layers. | 03-08-2012 |
20120061670 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Described is a method for manufacturing a semiconductor device. A mask is formed over an insulating film and the mask is reduced in size. An insulating film having a projection is formed using the mask reduced in size, and a transistor whose channel length is reduced is formed using the insulating film having a projection. Further, in manufacturing the transistor, a planarization process is performed on a surface of a gate insulating film which overlaps with a top surface of a fine projection. Thus, the transistor can operate at high speed and the reliability can be improved. In addition, the insulating film is processed into a shape having a projection, whereby a source electrode and a drain electrode can be formed in a self-aligned manner. | 03-15-2012 |
20120061671 | SEMICONDUCTOR DEVICE AND LIGHT-EMITTING DEVICE - To provide a highly reliable semiconductor device including an oxide semiconductor. Further to provide a highly reliable light-emitting device including an oxide semiconductor. A second electrode sealed together with a semiconductor element including an oxide semiconductor hardly becomes inactive. A hydrogen ion and/or a hydrogen molecule produced by reaction of the active second electrode with moisture remaining in the semiconductor device and/or moisture entering from the outside of the device increase the carrier concentration in the oxide semiconductor, which causes a reduction in the reliability of the semiconductor device. An adsorption layer of a hydrogen ion and/or a hydrogen molecule may be provided on the other surface side of the second electrode having one surface in contact with the organic layer. Further, an opening which a hydrogen ion and/or a hydrogen molecule passes through may be provided for the second electrode. | 03-15-2012 |
20120061672 | METHOD OF PROVIDING A FLEXIBLE SEMICONDUCTOR DEVICE AT HIGH TEMPERATURES AND FLEXIBLE SEMICONDUCTOR DEVICE THEREOF - Some embodiments include a method of providing a semiconductor device. The method can include: (a) providing a flexible substrate; (b) depositing at least one layer of material over the flexible substrate, wherein the deposition of the at least one layer of material over the flexible substrate occurs at a temperature of at least 180° C.; and (c) providing a diffusion barrier between a metal layer and an a-Si layer. Other embodiments are disclosed in this application. | 03-15-2012 |
20120068179 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - According to embodiments, there is provided a semiconductor device, including: a logic circuit; an interlayer insulating film formed above the logic circuit; an amorphous silicon layer including: a non-silicide layer formed on the interlayer insulating film; and a silicide layer formed on the non-silicide layer; a TFT formed on the amorphous silicon layer; and a contact plug formed to plug a through hole penetrating the interlayer insulating film, the contact plug being electrically connected to the logic circuit, an upper part of the contact plug being connected to the silicide layer. | 03-22-2012 |
20120068180 | METHODS OF FORMING LOW INTERFACE RESISTANCE CONTACTS AND STRUCTURES FORMED THEREBY - Methods and associated structures of forming a microelectronic device are described. Those methods may include forming a tapered contact opening in an ILD disposed on a substrate, wherein a source/drain contact area is exposed, preamorphizing a portion of a source drain region of the substrate, implanting boron into the source/drain region through the tapered contact opening, forming a metal layer on the source/drain contact area, and then annealing the metal layer to form a metal silicide. | 03-22-2012 |
20120074407 | Semiconductor device and method for manufacturing the same - An object is to provide a semiconductor device having a novel structure in which a transistor including an oxide semiconductor and a transistor including a semiconductor material other than an oxide semiconductor are stacked. The semiconductor device includes a first transistor, an insulating layer over the first transistor, and a second transistor over the insulating layer. In the semiconductor device, the first transistor includes a first channel formation region, the second transistor includes a second channel formation region, the first channel formation region includes a semiconductor material different from a semiconductor material of the second channel formation region, and the insulating layer includes a surface whose root-mean-square surface roughness is less than or equal to 1 nm. | 03-29-2012 |
20120074408 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes: a thin-film transistor (TFT) including an active layer, a gate electrode including a gate bottom electrode and a gate top electrode, a source electrode, and a drain electrode; an organic electroluminescent (EL) device electrically connected to the TFT and including a stack of a pixel electrode at the same layer as and including the same material as the gate bottom electrode, an intermediate layer including an emissive layer, and a counter electrode; a first pad electrode at the same layer as and including the same material as the gate bottom electrode; and a second pad electrode including a second pad bottom electrode at the same layer as and including the same material as the gate bottom electrode, and a second pad top electrode at the same layer as and including the same material as the gate top electrode. | 03-29-2012 |
20120074409 | LIGHT EMITTING DEVICE AND ELECTRONIC DEVICE - A light emitting device includes: a light emitting element including a first electrode, a second electrode opposed to the first electrode, and a light emitting layer provided between the first electrode and the second electrode; a capacitor having a third electrode formed in a position overlapping the light emitting element and an insulating layer provided between the first and third electrodes; a first drive transistor disposed on a first side of the first electrode and having a gate electrode; and a second drive transistor disposed on a second side of the first electrode and having a gate electrode connected to the gate electrode of the first drive transistor via the third electrode. | 03-29-2012 |
20120074410 | FUSED BITHIOPHENE-VINYLENE POLYMERS - A polymer comprising repeating units A and optionally repeating units B wherein Z=S, Se, N—R and O; W is at each occurrence independently a monocyclic or polycylic moiety optionally substituted with 1-4 R | 03-29-2012 |
20120080677 | THIN FILM TRANSISTOR AND MANUFACTURING METHOD THEREOF, THIN FILM TRANSISTOR ARRAY PANEL AND MANUFACTURING METHOD THEREOF - A manufacturing method of a thin film transistor array panel includes forming a gate line including a gate electrode on a substrate; forming a gate insulating layer on the gate line; forming a semiconductor layer on the gate insulating layer; forming a data line including a data conductive layer pattern on the semiconductor layer and crossing the gate line; forming a planarization layer on the data conductive layer pattern; dry-etching the planarization layer to expose a portion of the data conductive layer pattern overlapping the gate electrode; wet-etching the exposed data conductive layer pattern; and exposing a portion of the semiconductor layer overlapping the gate electrode. | 04-05-2012 |
20120080678 | COMPOSITIONS FOR SOLUTION PROCESS, ELECTRONIC DEVICES FABRICATED USING THE SAME, AND FABRICATION METHODS THEREOF - Exemplary embodiments provide compositions for a solution process, electronic devices fabricated using the same, and fabrication methods thereof An oxide nano-structure is formed using a sol-gel process. An oxide thin film transistor is formed using the oxide nano-structure. | 04-05-2012 |
20120080679 | ALKYLSILANE LAMINATE, PRODUCTION METHOD THEREOF AND THIN-FILM TRANSISTOR - Provided is an alkylsilane laminate with which it is possible to obtain an organic semiconductor film having excellent semiconductor properties. Such a laminate can be useful for an organic thin-film transistor. The alkylsilane laminate comprises an underlayer (Sub) having hydroxyl groups at the surface and an alkylsilane thin film (AS) formed on this underlayer. The alkylsilane laminate is a laminate wherein the critical surface energy Ec of the alkylsilane thin film and the number of carbons (X) of the alkylsilane satisfies the following formula (1): Ec≦29.00−0.63 | 04-05-2012 |
20120086008 | FIELD-EFFECT TRANSISTOR, PROCESSES FOR PRODUCING THE SAME, AND ELECTRONIC DEVICE USING THE SAME - Provided is a field-effect transistor which has a high mobility and a low variation of mobility. | 04-12-2012 |
20120091458 | SEMICONDUCTOR DEVICE WITH AMORPHOUS SILICON MAS MEMORY CELL STRUCTURE AND MANUFACTURING METHOD THEREOF - A semiconductor device with an amorphous silicon (a-Si) metal-aluminum oxide-semiconductor (MAS) memory cell structure. The device includes a substrate, a dielectric layer overlying the substrate, and one or more source or drain regions embedded in the dielectric layer with a co-planar surface of n-type a-Si and the dielectric layer. Additionally, the device includes a p-i-n a-Si diode junction. The device further includes an aluminum oxide charge trapping layer on the a-Si p-i-n diode junction and a metal control gate overlying the aluminum oxide layer. A method is provided for making the a-Si MAS memory cell structure and can be repeated to integrate the structure three-dimensionally. | 04-19-2012 |
20120097947 | THIN FILM TRANSISTOR AND MANUFACTURING METHOD THEREOF - A thin film transistor includes a substrate; a gate electrode on the substrate; a gate insulating layer covering the gate electrode; a semiconductor layer corresponding to the gate electrode on the gate insulating layer; a protective layer covering the semiconductor layer and the gate insulating layer and having a source contact hole and a drain contact hole exposing a portion of the semiconductor layer; and a source electrode and a drain electrode on the protective layer and coupled to the semiconductor layer through the source contact hole and the drain contact hole, respectively, wherein the semiconductor layer has a source offset groove at a portion corresponding to the source contact hole of the protective layer. | 04-26-2012 |
20120097948 | THIN FILM TRANSISTOR - A thin film transistor includes a substrate, a gate electrode on the substrate, a gate insulating layer covering the gate electrode, a first semiconductor layer and a second semiconductor layer overlapping the gate electrode on the gate insulating layer and separated from each other, a first source electrode and a first drain electrode on the first semiconductor layer and on opposite sides of the gate electrode, and a second source electrode and a second drain electrode on the second semiconductor layer and on opposite sides of the gate electrode, wherein the first source electrode is coupled to the second source electrode through a source connection overlapping the gate electrode, and the first drain electrode is coupled to the second drain electrode, such that the on current and off current characteristics of the thin film transistor may be constantly maintained regardless of alignment error. | 04-26-2012 |
20120097949 | VERTICAL ORGANIC FIELD EFFECT TRANSISTOR AND METHOD OF ITS MANUFACTURE - An electronic device (100) is presented, being configured for example as a vertical field effect transistor. The device comprises an electrically-conductive perforated patterned structure (102) which is enclosed between a dielectric layer (105) and an active element (106) of the electronic device (100). The electrically-conductive perforated patterned structure (102) comprises a geometrical pattern defining an array of spaced-apart perforation regions (108) surrounded by continuous electrically conductive regions (110). The pattern is such as to allow the active element (106) of the electronic device (100) to be in direct contact with said dielectric layer (105) aligned with the perforation regions (108). A material composition of the device (100) and features of said geometrical pattern are selected to provide a desired electrical conductance of the electrically-conductive perforated patterned structure (102) and a desired profile of a charge carriers' injection barrier along said structure (102). | 04-26-2012 |
20120097950 | SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND A METHOD OF FABRICATING THE SAME - A method of fabricating a semiconductor integrated circuit includes forming a first dielectric layer on a semiconductor substrate, patterning the first dielectric layer to form a first patterned dielectric layer, forming a non-single crystal seed layer on the first patterned dielectric layer, removing a portion of the seed layer to form a patterned seed layer, forming a second dielectric layer on the first patterned dielectric layer and the patterned seed layer, removing portions of the second dielectric layer to form a second patterned dielectric layer, irradiating the patterned seed layer to single-crystallize the patterned seed layer, removing portions of the first patterned dielectric layer and the second patterned dielectric layer such that the single-crystallized seed layer protrudes in the vertical direction with respect to the first and/or the second patterned dielectric layer, and forming a gate electrode in contact with the single-crystal active pattern. | 04-26-2012 |
20120112191 | SEMICONDUCTOR DEVICE - A data retention period in a semiconductor device or a semiconductor memory device is lengthened. The semiconductor device or the semiconductor memory includes a memory circuit including a first transistor including a first semiconductor layer and a first gate and a second transistor including a second semiconductor layer, a second gate, and a third gate The first semiconductor layer is formed at the same time as a layer including the second gate. | 05-10-2012 |
20120112192 | POWER STORAGE DEVICE - A semiconductor device comprises a thin film transistor provided over a substrate having an insulating surface, and an electrode penetrating the substrate. The thin film transistor is provided between a first structural body and a second structural body, which has a higher rigidity than the first structural body, which serve as protectors because the structural bodies have resistance to a pressing force such as a tip of a pen or bending stress applied from outside so malfunction due to the pressing force and the bending stress can be prevented. | 05-10-2012 |
20120119210 | PIXEL STRUCTURE AND DUAL GATE PIXEL STRUCTURE - A pixel structure includes a substrate, a first metal layer, a gate insulator, a semiconductor layer, a second metal layer, a passivation layer, a hole, and a pixel electrode. The first metal layer is configured on the substrate and includes a scan line, a gate, and a common electrode. The common electrode has a predetermined opening. The gate insulator covers the first metal layer. The semiconductor layer is configured on the gate insulator. The semiconductor layer underlies the entire second metal layer. The passivation layer covers the second metal layer. The hole located in the predetermined opening goes through the passivation layer and exposes the second metal layer. The pixel electrode is configured on the passivation layer and fills the hole. The pixel electrode is electrically connected to the second metal layer via the hole. A dual gate pixel structure is also provided. | 05-17-2012 |
20120119211 | THIN FILM TRANSISTORS AND METHODS FOR MANUFACTURING THE SAME - Disclosed is a thin film transistor including a gate electrode on a substrate. A gate dielectric layer is disposed on the gate electrode and the substrate, and source/drain electrodes are disposed on the gate dielectric layer overlying two edge parts of the gate electrode. A channel layer is disposed on the gate dielectric layer overlying a center part of the gate electrode, and the channel region contacts the source/drain electrodes. An insulating capping layer overlies the channel layer, wherein the channel layer includes an oxide semiconductor. | 05-17-2012 |
20120119212 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device is manufactured using a transistor in which an oxide semiconductor is included in a channel region and variation in electric characteristics due to a short-channel effect is less likely to be caused. The semiconductor device includes an oxide semiconductor film having a pair of oxynitride semiconductor regions including nitrogen and an oxide semiconductor region sandwiched between the pair of oxynitride semiconductor regions, a gate insulating film, and a gate electrode provided over the oxide semiconductor region with the gate insulating film positioned therebetween. Here, the pair of oxynitride semiconductor regions serves as a source region and a drain region of the transistor, and the oxide semiconductor region serves as the channel region of the transistor. | 05-17-2012 |
20120126232 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to provide a display device with excellent display characteristics, where a pixel circuit and a driver circuit provided over one substrate are formed using transistors which have different structures corresponding to characteristics of the respective circuits. The driver circuit portion includes a driver circuit transistor in which a gate electrode layer, a source electrode layer, and a drain electrode layer are formed using a metal film, and a channel layer is formed using an oxide semiconductor. The pixel portion includes a pixel transistor in which a gate electrode layer, a source electrode layer, and a drain electrode layer are formed using an oxide conductor, and a semiconductor layer is formed using an oxide semiconductor. The pixel transistor is formed using a light-transmitting material, and thus, a display device with higher aperture ratio can be manufactured. | 05-24-2012 |
20120132914 | OXIDE SEMICONDUCTOR THIN FILM TRANSISTOR STRUCTURE AND METHOD OF MAKING THE SAME - An oxide semiconductor thin film transistor structure includes a substrate, a gate electrode disposed on the substrate, a semiconductor insulating layer disposed on the substrate and the gate electrode, an oxide semiconductor layer disposed on the semiconductor insulating layer, a patterned semiconductor layer disposed on the oxide semiconductor layer, and a source electrode and a drain electrode respectively disposed on the patterned semiconductor layer. The source electrode and the drain electrode are made of a metal layer. | 05-31-2012 |
20120132915 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND MANUFACTURING METHOD THEREOF - A thin film transistor array substrate includes a gate line disposed on a substrate, the gate line comprising a gate electrode including a lower film and an upper film thicker than the lower film, a gate insulating layer formed on the gate line, a semiconductor layer formed on the gate insulating layer, an ohmic contact layer formed on the semiconductor layer, a data line electrically connected to a source electrode and a drain electrode formed on the ohmic contact layer, the lower film of the gate line is in contact with the gate insulating layer at a crossing portion of the gate line and the data line and the heights of the source electrode and the drain electrode are substantially the same as or less than a height of the semiconductor layer. | 05-31-2012 |
20120138930 | ORGANIC THIN FILM TRANSISTOR AND PROCESSING METHOD THEREOF - An organic thin field transistor is disclosed. The organic thin field transistor includes a first and a second insulting layers, a metal structure and an organic layer serving as an active layer. Materials of the first and the second insulting layers are different, and by performing an etching process, a surface of the metal structure and a surface of the second insulting layer are effectively aligned. Because of the high flatness of the surface of the metal structure and the second insulting layer, a continuous film-forming property and crystallinity of the active layer of the organic thin field transistor are improved, so as to achieve a better the electrical characteristic. | 06-07-2012 |
20120138931 | THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME - The present invention aims at reducing an OFF current in a thin film transistor while maintaining an ON-state current. | 06-07-2012 |
20120153284 | LIGHT EMITTING DEVICE - A light emitting device is provided that includes a substrate, a light emitting unit formed on the substrate, and an encapsulation unit. The encapsulation unit may include a first region corresponding to the light emitting unit and a second region coalesced with the substrate. The encapsulation unit of the first region or a part of the encapsulation unit of the first region may have a positive curvature. | 06-21-2012 |
20120153285 | SOLUTION PROCESSABLE PASSIVATION LAYERS FOR ORGANIC ELECTRONIC DEVICES - The present invention relates to solution processable passivation layers for organic electronic (OE) devices, and to OE devices, in particular organic field effect transistors (OFETs), comprising such passivation layers. | 06-21-2012 |
20120161131 | THIN-FILM TRANSISTOR SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - A thin-film transistor (“TFT”) substrate includes a metal wiring including copper or a copper alloy on a substrate, an inorganic layer on an upper surface and side surfaces of the metal wiring to surround the metal wiring, the inorganic layer in direct contact with the metal wiring, and a planarization layer on the inorganic layer and in direct contact with the inorganic layer. | 06-28-2012 |
20120161132 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object is to provide a novel semiconductor device which can store data even when power is not supplied in a data storing time and which does not have a limitation on the number of writing operations. The semiconductor device includes a transistor and a capacitor. The transistor includes a first oxide semiconductor layer, a source electrode and a drain electrode which are in contact with the first oxide semiconductor layer, a gate electrode overlapping with the first oxide semiconductor layer, and a gate insulating layer between the first oxide semiconductor layer and the gate electrode. The capacitor includes the source electrode or the drain electrode, a second oxide semiconductor layer in contact with the source electrode or the drain electrode, and a capacitor electrode in contact with the second oxide semiconductor layer. | 06-28-2012 |
20120161133 | SEMICONDUCTOR DEVICE - An object of one embodiment of the disclosed invention is to provide a semiconductor device having a novel structure in which stored data can be held even when power is not supplied and the number of times of writing is not limited. The semiconductor device is formed using an insulating layer formed over a supporting substrate and, over the insulating layer, a highly purified oxide semiconductor and single crystal silicon which is used as a sililcon on insulator (SOI). A transistor formed using a highly purified oxide semiconductor can hold data for a long time because leakage current thereof is extremely small. Further, by using an SOI substrate and utilizing features of thin single crystal silicon formed over an insulating layer, fully-depleted transistors can be formed; therefore, a semiconductor integrated circuit with high added values such as high integration, high-speed driving, and low power consumption can be obtained. | 06-28-2012 |
20120168754 | THIN FILM METAL-DIELECTRIC-METAL TRANSISTOR - A transistor is formed having a thin film metal channel region. The transistor may be formed at the surface of a semiconductor substrate, an insulating substrate, or between dielectric layers above a substrate. A plurality of transistors each having a thin film metal channel region may be formed. Multiple arrays of such transistors can be vertically stacked in a same device. | 07-05-2012 |
20120175614 | TRANSISTOR INCLUDING MULTI-LAYER REENTRANT PROFILE - A transistor includes a substrate. A first electrically conductive material layer is positioned on the substrate. A second electrically conductive material layer is in contact with and positioned on the first electrically conductive material layer. A third electrically conductive material layer is in contact with and positioned on the second electrically conductive material layer. The third electrically conductive material layer overhangs the second electrically conductive material layer. | 07-12-2012 |
20120175615 | Organic Light-Emitting Display and Method of Manufacturing the Same - In an organic light-emitting display having superior image quality and device reliability, and a related method of manufacturing the organic light-emitting display, the organic light-emitting display comprises: a gate electrode formed on a substrate; an interlayer insulating film formed on the substrate so as to cover the gate electrode; and a transparent electrode formed on the interlayer insulating film. The interlayer insulating film comprises multiple layers having different refractive indices. | 07-12-2012 |
20120175616 | Thin Film Field Effect Transistor with Dual Semiconductor Layers - A thin film field effect transistor is disclosed which provides improved time-based channel stability. The field effect transistor includes first and second disordered semiconductor layers separated by an insulator. In an embodiment a carrier injection terminal is provided in a thin semiconductor layer closest to the gate terminal. An electric field is established in the thin semiconductor layer. At sufficient field strength, the electric field extends into the second semiconductor layer, which is in contact with the source and drain terminals. At sufficient field strength a channel is established in the second semiconductor layer, permitting current to flow between source and drain terminals. Above a certain gate voltage, there is sufficient free charge is induced in the first semiconductor layer so that the field does not extend into the second semiconductor, effectively shutting off current between source and drain. Single-device transition detection (as well as other applications) may be obtained. | 07-12-2012 |
20120181538 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device ( | 07-19-2012 |
20120193624 | Thin-Film Transistor Array Substrate and Method of Fabricating the Same - A thin-film transistor (TFT) array substrate comprises: a substrate; an active layer and a capacitor first electrode formed on the substrate; a gate insulating film formed on the substrate, the active layer and the capacitor first electrode; a gate electrode formed on the gate insulating film corresponding to the active layer and a capacitor second electrode formed on the gate insulating film corresponding to the capacitor first electrode; an interlayer insulating film formed on the gate insulating film, the gate electrode, and the capacitor second electrode; and a pixel electrode, a source electrode, and a drain electrode formed on the interlayer insulating film; wherein at least one of the source electrode and the drain electrode is formed on the pixel electrode. A method of fabricating the TFT array substrate is also disclosed. | 08-02-2012 |
20120193625 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - An object is to provide a semiconductor device in which defects are reduced and miniaturization is achieved while favorable characteristics are maintained. A semiconductor layer is formed; a first conductive layer is formed over the semiconductor layer; the first conductive layer is etched with use of a first resist mask to form a second conductive layer having a recessed portion; the first resist mask is reduced in size to form a second resist mask; the second conductive layer is etched with use of the second resist mask to form source and drain electrodes each having a projecting portion with a tapered shape at the peripheries; a gate insulating layer is formed over the source and drain electrodes to be in contact with part of the semiconductor layer; and a gate electrode is formed in a portion over the gate insulating layer and overlapping with the semiconductor layer. | 08-02-2012 |
20120205656 | Thin-Film Electronic Devices Including Pre-Deformed Compliant Substrate - A thin-film layered electronic device, or array of devices, is formed over a layer structure comprising a flexible substrate, a buffer layer, and a metal layer. The layer structure is annealed to permanently deform the layer structure beyond its plastic deformation limit. The thin-film electronic device is formed thereover by a process according to which all steps are performed at a temperature below that at which further plastic deformation of the buffer layer occurs. In-process strain and runout are reduced, improving device yield on flexible substrates. The metal layer forms a first layer of the thin-film layered device, or array of devices. | 08-16-2012 |
20120211750 | SEMICONDUCTOR DEVICE AND LIGHT-EMITTING DEVICE USING THE SAME - A semiconductor device includes a semiconductor layer, a first insulating layer, a gate electrode which is formed on the first insulating layer and has a portion overlapping a channel region of the semiconductor layer with the first insulating layer sandwiched in between, a second insulating layer which is formed on the first insulating layer and covers the gate electrode, and a capacitor electrode which is formed on the second insulating layer and has a portion facing the gate electrode with the second insulating layer sandwiched in between. The second insulating layer has a thin portion, whose thickness is thinner than that of the second insulating layer in surrounding regions, on the portion of the gate electrode overlapping the channel region. A part of the capacitor electrode faces the portion of the gate electrode overlapping the channel region with the thin portion of the second insulating layer sandwiched in between. | 08-23-2012 |
20120217499 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for forming a U-shaped vertically long groove in a region where a channel portion of a transistor is formed to make a channel length longer than an apparent channel length additionally requires a photolithography process for forming a groove; therefore, it has a problem in terms of costs and yield. By forming a three-dimensional channel region with the use of a gate electrode or a structure having an insulating surface, a channel length is made three times or more, preferably five times or more, further preferably ten times or more as long as a channel length when seen from the above. | 08-30-2012 |
20120223310 | SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor memory device includes a transistor and a capacitor. The transistor includes: an insulating film in which a groove portion is provided; a pair of electrodes separated so that the groove portion is sandwiched therebetween; an oxide semiconductor film which is in contact with the pair of electrodes and side surfaces and a bottom surface of the groove portion and has a thickness value smaller than a depth value of the groove portion; a gate insulating film covering the oxide semiconductor film; and a gate electrode provided to overlap with the oxide semiconductor film with the gate insulating film positioned therebetween. | 09-06-2012 |
20120223311 | SEMICONDUCTOR DEVICE - A semiconductor device of the present invention includes a gate electrode which includes a pair of first protrusions and a second protrusion provided between the pair of first protrusions; a gate insulating film covering the gate electrode; a semiconductor film which is in contact with the gate insulating film and overlaps with the pair of first protrusions and the second protrusion; and a pair of electrodes which is in contact with the semiconductor film and overlaps with the pair of first protrusions. The side edges of the semiconductor film are on the outer sides than the top surfaces of the pair of first protrusions in the direction of the channel width of the semiconductor film. The side edges of the pair of electrodes are on the outer sides than the top surfaces of the pair of first protrusions in the direction of the channel width of the semiconductor film. | 09-06-2012 |
20120228615 | SEMICONDUCTOR DEVICE - A semiconductor device in which a semiconductor layer is formed over a gate electrode with a large aspect ratio, thereby obtaining a channel length of a transistor which hardly causes a short-channel effect even when the transistor is miniaturized. A lower electrode is provided under the gate electrode with an insulating layer provided therebetween so that the electrode overlaps with the semiconductor layer. A potential (electric field) of the lower electrode imparts a conductivity type to the semiconductor layer overlapping with the lower electrode, so that a source region and a drain region are formed in the semiconductor layer. The gate electrode serves as a shield, so that a region in the semiconductor layer, which faces the gate electrode with the gate insulating layer provided therebetween, is not influenced by the electric field from the lower electrode. | 09-13-2012 |
20120228616 | THIN FILM TRANSISTOR COMPOSITIONS, AND METHODS RELATING THERETO - The present disclosure is directed to a thin film transistor composition. The thin film transistor composition has a semiconductor material and a substrate. The substrate is composed of a polyimide and a sub-micron filler. The polyimide is derived from at least one aromatic dianhydride component selected from rigid rod dianhydride, non-rigid rod dianhydride and combinations thereof, and at least one aromatic diamine component selected from rigid rod diamine, non-rigid rod diamine and combinations thereof. The mole ratio of dianhydride to diamine is 48-52:52-48 and the ratio of X:Y is 20-80:80-20 where X is the mole percent of rigid rod dianhydride and rigid rod diamine, and Y is the mole percent of non-rigid rod dianhydride and non-rigid rod diamine. The sub-micron filler is less than 550 nanometers in at least one dimension; has an aspect ratio greater than 3:1; is less than the thickness of the film in all dimensions. | 09-13-2012 |
20120235144 | ORGANIC LIGHT EMITTING DIODE DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode device includes a substrate, a thin film transistor on the substrate, a first pixel electrode electrically connected to the thin film transistor, a pixel defining layer on the first pixel electrode and partitioning a light emitting region, a second pixel electrode contacting the first pixel electrode at the light emitting region, a light emitting layer contacting the second pixel electrode at the light emitting region, and a common electrode on the light emitting layer; and a method of manufacturing the same is provided. | 09-20-2012 |
20120235145 | Printed Material Constrained By Well Structures And Devices Including Same - A first patterned contact layer, for example a gate electrode, is formed over an insulative substrate. Insulating and functional layers are formed at least over the first patterned contact layer. A second patterned contact layer, for example source/drain electrodes, is formed over the functional layer. Insulative material is then selectively deposited over at least a portion of the second patterned contact layer to form first and second wall structures such that at least a portion of the second patterned contact layer is exposed, the first and second wall structures defining a well therebetween. Electrically conductive or semiconductive material is deposited within the well, for example by jet-printing, such that the first and second wall structures confine the conductive or semiconductive material and prevent spreading and electrical shorting to adjacent devices. The conductive or semiconductive material is in electrical contact with the exposed portion of the second patterned contact layer to form, e.g., an operative transistor. | 09-20-2012 |
20120241743 | THIN FILM TRANSISTOR - A thin film transistor (TFT) and a fabricating method thereof are provided. The TFT includes a channel layer, an ohmic contact layer, a dielectric layer, a source, a drain, a gate, and a gate insulating layer. The channel layer has an upper surface and a sidewall. The ohmic contact layer is disposed on a portion of the upper surface of the channel layer. The dielectric layer is disposed on the sidewall of the channel layer, and does not overlap with the ohmic contact layer. The source and the drain are disposed on portions of the ohmic contact layer and the dielectric layer. A portion of dielectric layer is not covered by the source or the drain. The gate is above or below the channel layer. The gate insulating layer is disposed between the gate and the channel layer. | 09-27-2012 |
20120248443 | ACTIVE MATRIX SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME - An active matrix substrate includes a plurality of scanning lines ( | 10-04-2012 |
20120256181 | POWER-GENERATING MODULE WITH SOLAR CELL AND METHOD FOR FABRICATING THE SAME - The invention discloses a power-generating module with solar cell and method for fabricating the same. The power-generating module includes a flexible substrate, a circuit and a solar cell. Both of the circuit and the solar cell are formed on the flexible substrate and are connected with each other, such that the solar cell is capable of providing the power needed by the circuit for operation. | 10-11-2012 |
20120256182 | METHOD OF MANUFACTURING THIN FILM TRANSISTOR, THIN FILM TRANSISTOR, AND DISPLAY UNIT - A thin film transistor having a crystalline silicon film that is formed by irradiating an amorphous silicon film with a light beam through a photothermal conversion layer and an insulating film to provide the amorphous silicon film with heat treatment. | 10-11-2012 |
20120261664 | SEMICONDUCTOR MEMORY DEVICE - A semiconductor memory device including a bit line, a word line, a transistor, and a capacitor is provided. The transistor includes source and drain electrodes; an oxide semiconductor film in contact with at least both top surfaces of the source and drain electrodes; a gate insulating film in contact with at least a top surface of the oxide semiconductor film; a gate electrode which overlaps with the oxide semiconductor film with the gate insulating film provided therebetween; and an insulating film covering the source and drain electrodes, the gate insulating film, and the gate electrode. The transistor is provided in a mesh of a netlike conductive film when seen from the above. Here, the drain electrode and the netlike conductive film serve as one and the other of a pair of capacitor electrodes of the capacitor. A dielectric film of the capacitor includes at least the insulating film. | 10-18-2012 |
20120261665 | LIGHT EMITTING DEVICE AND METHOD OF DRIVING THE LIGHT EMITTING DEVICE - A light emitting device that achieves long life, and which is capable of performing high duty drive, by suppressing initial light emitting element deterioration is provided. Reverse bias application to an EL element ( | 10-18-2012 |
20120267628 | Liquid Crystal Display Device - In a liquid crystal display (LCD) device having a thin film transistor (TFT), the TFT includes a source electrode, a drain electrode and a semiconductor layer. At least one of the source electrode and drain electrode includes a first layer including copper and a second layer forming an oxide layer and covering the first layer. The semiconductor layer has a substantially linear current-voltage relationship with said source electrode or drain electrode including said first and second layers, when a voltage is applied between the semiconductor layer and said source electrode or drain electrode. | 10-25-2012 |
20120273786 | ORGANIC SURFACE PROTECTIVE LAYER COMPOSITION AND METHOD FOR PROTECTING ORGANIC SURFACE - The problem to be solved by the present invention is to provide such an organic surface protective layer composition that a thin and uniform protective layer can be formed on a surface of an organic layer, that the formed protective layer can easily be removed by etching, and that it can inhibit the alteration of the organic compound presenting in the surface of the organic layer exposed by the etching. Means for solving the problem is an organic surface protective layer composition containing (A) a metal alkoxide, (B) a stabilizer for the metal alkoxide and (C) an organic solvent capable of dissolving the metal alkoxide. | 11-01-2012 |
20120280233 | NITRIDE-BASED HETEROSTRUCTURE FIELD EFFECT TRANSISTOR HAVING HIGH EFFICIENCY - A high efficiency heterostructure field effect transistor (HFET) capable of suppressing a leakage current and enhancing a current density by lowering a barrier between an electrode and a semiconductor layer is provided. The high efficiency HFET may include a substrate, a semi-insulating gallium nitride (GaN) layer formed on the substrate, an aluminum gallium nitride (AlGaN) layer formed on the GaN layer, and a silicon carbide (Si | 11-08-2012 |
20120280234 | SEMICONDUCTOR DEVICE - A highly reliable semiconductor device which is formed using an oxide semiconductor and has stable electric characteristics is provided. A semiconductor device which includes an amorphous oxide semiconductor layer including a region containing oxygen in a proportion higher than that in the stoichiometric composition, and an aluminum oxide film provided over the amorphous oxide semiconductor layer is provided. The amorphous oxide semiconductor layer is formed as follows: oxygen implantation treatment is performed on a crystalline or amorphous oxide semiconductor layer which has been subjected to dehydration or dehydrogenation treatment, and then thermal treatment is performed on the oxide semiconductor layer provided with an aluminum oxide film at a temperature lower than or equal to 450° C. | 11-08-2012 |
20120280235 | THIN FILM FET DEVICE AND METHOD FOR FORMING THE SAME - A thin film FET device and a method of forming the same are disclosed. The method comprises: etching a single crystal silicon thin film layer on an insulating thin film layer of an SOI substrate, wherein the etched single crystal silicon thin film layer is used as a channel; forming a gate insulating layer on the SOI substrate that has the single crystal silicon channel formed thereon; and forming a gate electrode, a drain electrode, and a source electrode. | 11-08-2012 |
20120286270 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - It is an object to provide a semiconductor device in which a short-channel effect is suppressed and miniaturization is achieved, and a manufacturing method thereof. A trench is formed in an insulating layer and impurities are added to an oxide semiconductor film in contact with an upper end corner portion of the trench, whereby a source region and a drain region are formed. With the above structure, miniaturization can be achieved. Further, with the trench, a short-channel effect can be suppressed setting the depth of the trench as appropriate even when a distance between a source electrode layer and a drain electrode layer is shortened. | 11-15-2012 |
20120286271 | OXIDE THIN FILM TRANSISTOR RESISTANT TO LIGHT AND BIAS STRESS, AND A METHOD OF MANUFACTURING THE SAME - Disclosed are an oxide thin film transistor resistant to light and bias stress, and a method of manufacturing the same. The method includes forming a gate electrode on a substrate; forming a gate insulating layer on an upper part including the gate electrode; forming a source electrode and a drain electrode on the insulating layer; forming an active layer insulated from the gate electrode by the gate insulating layer and formed of an oxide semiconductor and a diffusion barrier film; and forming a protective layer on a portion of the source electrode and drain electrode and the upper part including the active layer, wherein the diffusion barrier film reduces movement of holes and prevents ionized oxygen vacancies from being diffused. | 11-15-2012 |
20120286272 | THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - A thin film transistor includes a gate electrode on a substrate, a main active layer in electrical connection with the gate electrode and including an exposed channel portion, a source electrode in electrical connection with the main active layer, a drain electrode which is spaced apart from the source electrode and in electrical connection with the main active layer, and a sub active layer in electrical connection to the main active layer. | 11-15-2012 |
20120286273 | LIGHT EMITTING DEVICE, DRIVING METHOD OF LIGHT EMITTING DEVICE AND ELECTRONIC DEVICE - By controlling the luminance of light emitting element not by means of a voltage to be impressed to the TFT but by means of controlling a current that flows to the TFT in a signal line drive circuit, the current that flows to the light emitting element is held to a desired value without depending on the characteristics of the TFT. Further, a voltage of inverted bias is impressed to the light emitting element every predetermined period. Since a multiplier effect is given by the two configurations described above, it is possible to prevent the luminance from deteriorating due to a deterioration of the organic luminescent layer, and further, it is possible to maintain the current that flows to the light emitting element to a desired value without depending on the characteristics of the TFT. | 11-15-2012 |
20120292620 | PIXEL STRUCTURE AND MANUFACTURING METHOD THEREOF - A manufacturing method of pixel structure includes: sequentially forming a gate, a gate insulation layer, a semiconductor layer and a conductive layer on a substrate; forming a first patterned photoresist layer including multiple first photoresist blocks and multiple second photoresist blocks on the conductive layer; reducing the thickness of the first patterned photoresist layer until the second photoresist blocks are completely removed; forming a pixel electrode layer and a second photoresist layer on a partial pixel electrode layer; removing a part of the pixel electrode layer exposed by the second photoresist layer, a partial conductive layer and a partial semiconductor layer both under the removed pixel electrode layer to define a first electrode block, a second electrode block and a channel region; removing the remained first patterned photoresist layer and second photoresist layer and forming a protective layer and a common electrode layer on a part of the protective layer. | 11-22-2012 |
20120298996 | Thin Film Transistor and Method for Manufacturing the Same - A thin film transistor and a method for manufacturing the same are provided. A photoresist layer is patterned to cover a part of an ohmic contact layer by shifting a photomask. Then, the exposed ohmic contact layer is removed to shorten the channel length of the thin film transistor for increasing on-state current. | 11-29-2012 |
20120298997 | SEMICONDUCTOR DEVICE - One embodiment of the present invention is a semiconductor device which includes a gate electrode; a gate insulating film formed to cover the gate electrode; a semiconductor layer formed over the gate insulating film and placed above the gate electrode; a second insulating film formed over the semiconductor layer; a first insulating film formed over a top surface and a side surface of the second insulating film, a side surface of the semiconductor layer, and the gate insulating film; silicon layers and which are formed over the first insulating film and electrically connected to the semiconductor layer; and a source electrode and a drain electrode which are formed over the silicon layers. The source electrode and the drain electrode are electrically separated from each other over the first insulating film. The semiconductor layer is not in contact with each of the source electrode and the drain electrode. | 11-29-2012 |
20120298998 | METHOD FOR FORMING OXIDE SEMICONDUCTOR FILM, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - The impurity concentration in the oxide semiconductor film is reduced, and a highly reliability can be obtained. | 11-29-2012 |
20120298999 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object is to reduce off-state leakage current between a source electrode and a drain electrode. One embodiment of the present invention is a semiconductor device including a gate electrode, gate insulating films and formed to cover the gate electrode, an active layer formed over the gate insulating films and located above the gate electrode, silicon layers and formed over side surfaces of the active layer and the gate insulating films, and a source electrode and a drain electrode formed over the silicon layers. The active layer is not in contact with each of the source electrode and the drain electrode. | 11-29-2012 |
20120305919 | FULLERENE DERIVATIVES AND OPTOELECTRONIC DEVICES UTILIZING THE SAME - Disclosed is a fullerene derivative having a formula of F-Cy, wherein F is an open-cage fullerene, and Cy is a chalcogenyl group. The fullerene derivative can be applied to hydrogen storage material and an optoelectronic device such as an organic light emitting diode (OLED), a solar cell, or an organic thin film transistor (TFT). | 12-06-2012 |
20120305920 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF, DISPLAY APPARATUS AND ELECTRONIC APPARATUS - A semiconductor device including: a first electric conductor of a lower layer side and a second electric conductor of an upper layer side; a thick film insulating layer provided between the first electric conductor and the second electric conductor; and a contact portion formed so as to imitate an inner surface shape of a through hole with respect to the insulating layer and electrically connecting the first electric conductor and the second electric conductor, in which a tapered angle of the through hole is an acute angle. | 12-06-2012 |
20120313096 | OXIDE SEMICONDUCTOR COMPOSITION AND PREPARATION METHOD THEREOF, METHOD OF FORMING OXIDE SEMICONDUCTOR THIN FILM, METHOD OF FABRICATING ELECTRONIC DEVICE AND ELECTRONIC DEVICE FABRICATED THEREBY - Provided are an oxide semiconductor composition, a preparation method thereof, an oxide semiconductor thin film using the composition, and a method of forming an electronic device. The oxide semiconductor composition includes a photosensitive material and an oxide semiconductor precursor. | 12-13-2012 |
20120313097 | FLASH MEMORY DEVICE HAVING A GRADED COMPOSITION, HIGH DIELECTRIC CONSTANT GATE INSULATOR - A graded composition, high dielectric constant gate insulator is formed between a substrate and floating gate in a flash memory cell transistor. The gate insulator comprises amorphous germanium or a graded composition of germanium carbide and silicon carbide. If the composition of the gate insulator is closer to silicon carbide near the substrate, the electron barrier for hot electron injection will be lower. If the gate insulator is closer to the silicon carbide near the floating gate, the tunnel barrier can be lower at the floating gate. | 12-13-2012 |
20120319112 | THIN FILM TRANSISTOR, THIN FILM TRANSISTOR PANEL AND METHODS FOR MANUFACTURING THE SAME - A thin film transistor includes a gate electrode, a gate insulating layer, an oxide semiconductor layer on the gate insulating layer, and a drain electrode and a source electrode on the oxide semiconductor layer and spaced apart from each other. The drain electrode includes a first drain sub-electrode on the oxide semiconductor layer, and a second drain sub-electrode on the first drain sub-electrode. The source electrode includes a first source sub-electrode on the oxide semiconductor layer, and a second source sub-electrode on the first source sub-electrode. The first drain sub-electrode and the first source sub-electrode include gallium zinc oxide (GaZnO), and the second source sub-electrode and the second drain sub-electrode include a metal atom. | 12-20-2012 |
20120319113 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - At least part of the oxide semiconductor layer which serves as the channel formation region is thinned by etching and the thickness of the channel formation region is adjusted by the etching. Further, a dopant containing phosphorus (P) or boron (B) is introduced into a thick region of the oxide semiconductor layer to form a source region and a drain region in the oxide semiconductor layer, so that the contact resistance between the source and drain regions and the channel formation region which are connected to each other is reduced. | 12-20-2012 |
20120319114 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A transistor including an oxide semiconductor layer and having electric characteristics required depending on an intended use, and a semiconductor device including the transistor are provided. In a transistor in which a semiconductor layer, a source electrode layer and a drain electrode layer, a gate insulating film, and a gate electrode layer are stacked in this order over an oxide insulating film, an oxide semiconductor stack composed of at least two oxide semiconductor layers having different energy gaps is used as the semiconductor layer. Oxygen and/or a dopant may be introduced into the oxide semiconductor stack. | 12-20-2012 |
20120326149 | Protecting Semiconducting Oxides - In transistor structures such as thin film transistors (TFTs) in an array of cells, a layer of semiconducting oxide material that includes a channel is protected by a protective layer that includes low-temperature encapsulant material. The semiconducting oxide material can be a transition metal oxide material such as zinc oxide, and can be in an active layered substructure that also includes channel end electrodes. The low-temperature encapsulant can, for example, be an organic polymer such as poly(methyl methacrylate) or parylene, deposited on an exposed region of the oxide layer such as by spinning, spincasting, evaporation, or vacuum deposition or an inorganic polymer deposited such as by spinning or liquid deposition. The protective layer can include a lower sublayer of low-temperature encapsulant on the exposed region and an upper sublayer of inorganic material on the lower sublayer. For roll-to-roll processing, a mechanically flexible, low-temperature substrate can be used. | 12-27-2012 |
20130001557 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The invention relates to a semiconductor device including an oxide semiconductor layer, a gate electrode overlapping with a channel formation region of the oxide semiconductor layer, and a source electrode or a drain electrode overlapping with a first region of the oxide semiconductor layer, and a second region between the channel formation region and the first region. An upper layer of the second region includes a microvoid. The microvoid is formed by adding nitrogen to the upper layer of the second region. Thus, upper layer of the second region contains lager amount of nitrogen than a lower layer of the second region. | 01-03-2013 |
20130001558 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A semiconductor device includes a gate electrode, a gate insulating film provided so as to cover one surface of the gate electrode, an oxide semiconductor provided so as to overlap the gate insulating film, and a source electrode and a drain electrode, which are provided so as to overlap the oxide semiconductor. The semiconductor device also includes an oxygen-atom-containing film provided between the gate insulating film, and, the source electrode and the drain electrode, so as to be held in contact with the oxide semiconductor. | 01-03-2013 |
20130001559 | THIN-FILM TRANSISTOR AND METHOD FOR MANUFACTURING THIN-FILM TRANSISTOR - A substrate; a gate electrode formed above the substrate; a gate insulating film formed above the gate electrode; a crystalline silicon semiconductor layer formed above the gate insulating film; an amorphous silicon semiconductor layer formed above the crystalline silicon semiconductor layer; an organic protective film made of an organic material and formed above the amorphous silicon semiconductor layer; and a source electrode and a drain electrode formed above the amorphous silicon semiconductor layer interposing the organic protective film are included, and a charge density of the negative carriers in the amorphous silicon semiconductor layer is at least 3×10 | 01-03-2013 |
20130001560 | SUBSTRATE HAVING FILM PATTERN AND MANUFACTURING METHOD OF THE SAME, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, LIQUID CRYSTAL TELEVISION, AND EL TELEVISION - The invention provides a manufacturing method of a substrate having a film pattern including an insulating film, a semiconductor film, a conductive film and the like by simple steps, and also a manufacturing method of a semiconductor device which is low in cost with high throughput and yield. According to the invention, after forming a first protective film which has low wettability on a substrate, a material which has high wettability is applied or discharged on an outer edge of a first mask pattern, thereby a film pattern and a substrate having the film pattern are formed. | 01-03-2013 |
20130001561 | Semiconductor Device and Method of Manufacturing Same - A semiconductor device with high reliability and operation performance is manufactured without increasing the number of manufacture steps. A gate electrode has a laminate structure. A TFT having a low concentration impurity region that overlaps the gate electrode or a TFT having a low concentration impurity region that does not overlap the gate electrode is chosen for a circuit in accordance with the function of the circuit. | 01-03-2013 |
20130015443 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOFAANM HE; YONGGENAACI BeijingAACO CNAAGP HE; YONGGEN Beijing CNAANM Wu; BingAACI BeijingAACO CNAAGP Wu; Bing Beijing CNAANM Liu; HuanxinAACI BeijingAACO CNAAGP Liu; Huanxin Beijing CN - A method for manufacturing a semiconductor device comprises: forming a recess in a substrate; implanting at the bottom of the recess to form an amorphous layer to a predetermined depth under the bottom of the recess; carrying out crystal orientation selective wet etching to form a Sigma shaped recess by use of the amorphous layer as a stopping layer. Through forming an amorphous layer by means of implantation which is used as a stopping layer in a subsequent wet etching, a Sigma shaped recess with a cuspate bottom is avoided, and a Sigma shaped recess having a planar bottom is obtained, which may further improve semiconductor device performance. | 01-17-2013 |
20130015444 | EVAPORATION MASK, METHOD OF MANUFACTURING EVAPORATION MASK, ELECTRONIC DEVICE, AND METHOD OF MANUFACTURING ELECTRONIC DEVICEAANM HIRAI; NobukazuAACI TokyoAACO JPAAGP HIRAI; Nobukazu Tokyo JP - There are provided an evaporation mask with which an evaporated film is allowed to be formed with a fine pattern, a method of manufacturing the same, and a method of manufacturing an electronic device using such an evaporation mask. Further, there is provided an electronic device having a film-formation pattern that is precisely formed with a fine pattern. The evaporation mask including: a substrate including one or a plurality of first opening sections; and a polymer film provided on a first main surface side of the substrate, the polymer film including one or a plurality of second opening sections communicated with the respective first opening sections. | 01-17-2013 |
20130026471 | Circuit Structures, Memory Circuitry, And Methods - A circuit structure includes a substrate having an array region and a peripheral region. The substrate in the array and peripheral regions includes insulator material over first semiconductor material, conductive material over the insulator material, and second semiconductor material over the conductive material. The array region includes vertical circuit devices which include the second semiconductor material. The peripheral region includes horizontal circuit devices which include the second semiconductor material. The horizontal circuit devices in the peripheral region individually have a floating body which includes the second semiconductor material. The conductive material in the peripheral region is under and electrically coupled to the second semiconductor material of the floating bodies. Conductive straps in the array region are under the vertical circuit devices. The conductive straps include the conductive material and individually are electrically coupled to a plurality of the vertical circuit devices in the array region. Other implementations are disclosed. | 01-31-2013 |
20130026472 | TFT STRUCTURE AND PIXEL STRUCTURE - A pixel structure including a substrate, a gate, an insulation layer, a metal oxide semiconductor (MOS) layer, a source and a drain, at least one film layer, and a first electrode layer is provided. The gate is disposed on the substrate. The insulation layer covers the gate. The MOS layer is disposed on the insulation layer above the gate. The source and the drain are disposed on the MOS layer. The film layer covers the MOS layer and includes a transparent photocatalytic material, wherein the transparent photocatalytic material blocks ultraviolet light from reaching the MOS layer. The first electrode layer is electrically connected to the source or the drain. | 01-31-2013 |
20130026473 | Pixel Structure and Method for Fabricating the Same - A pixel structure includes a first patterned metal layer, a gate insulating layer, a semiconductor channel layer, a second patterned metal layer, a passivation layer, and a conducting layer. A gate line of the second patterned metal layer is electrically connected by the conducting layer to a gate extension electrode of the first patterned metal layer. A source electrode of the second patterned metal layer is electrically connected by the conducting layer to a second data line segment of the first patterned metal layer. A method for fabricating a pixel structure is also disclosed herein. | 01-31-2013 |
20130037806 | THIN-FILM SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A thin-film semiconductor device according to the present disclosure includes: a substrate; a gate electrode formed above the substrate; a gate insulating film formed on the gate electrode; a channel layer that is formed of a polycrystalline semiconductor layer on the gate insulating film; an amorphous semiconductor layer formed on the channel layer and having a projecting shape in a surface; and a source electrode and a drain electrode that are formed above the amorphous semiconductor layer, and a first portion included in the amorphous semiconductor layer and located closer to the channel layer has a resistivity lower than a resistivity of a second portion included in the amorphous semiconductor layer and located closer to the source and drain electrodes. | 02-14-2013 |
20130037807 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device ( | 02-14-2013 |
20130037808 | THIN-FILM TRANSISTOR DEVICE AND METHOD FOR MANUFACTURING THIN-FILM TRANSISTOR DEVICE - A thin-film transistor device which is a bottom-gate thin-film transistor device, includes: a gate electrode formed above a substrate; a gate insulating film formed above the gate electrode; a crystalline silicon thin film formed above the gate insulating film and having a channel region; an amorphous silicon thin film formed above the crystalline silicon thin film including the channel region; and a source electrode and a drain electrode formed above the amorphous silicon thin film, in which an optical bandgap of the amorphous silicon thin film and an off-state current of the thin-film transistor device have a positive correlation. | 02-14-2013 |
20130037809 | ORGANIC THIN-FILM TRANSISTOR - An organic thin film transistor including at least a gate electrode, a source electrode, a drain electrode, an insulator layer and an organic semiconductor layer, at least one of the source electrode and the drain electrode including a conductive polyaniline composition containing (a) a substituted or unsubstituted polyaniline composite which is protonated by an organic protonic acid or its salts represented by M(XCR | 02-14-2013 |
20130037810 | THIN FILM TRANSISTOR SUBSTRATE AND METHOD FOR FABRICATING THE SAME - The present invention relates to a thin film transistor substrate and method for fabricating the same which can secure an alignment margin and reduce the number of mask steps. A thin transistor substrate according to the present invention includes a gate line and a data line crossing each other to define a pixel, a gate metal pattern under the data line, a thin film transistor having a gate electrode, a source electrode and a drain electrode in the pixel, and a pixel electrode connected to the drain electrode of the thin film transistor by a connection electrode, wherein the data line has a plurality of first slits to disconnect the gate metal pattern from the gate line. | 02-14-2013 |
20130062606 | THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - A thin film transistor includes a substrate with a recess formed therein, a channel region received in the recess, a gate insulating layer formed on the channel region, a gate electrode formed on the gate insulating layer, and a source region and a drain region connecting the channel region, respectively. The gate insulating layer and the gate electrode are positioned between the source region and the drain region. The channel region is made of a nitride compound semiconductor. A method of manufacturing the thin film transistor is also provided. | 03-14-2013 |
20130069066 | THIN FILM TRANSISTOR AND MANUFACTURE METHOD THEREOF - Disclosed is a thin film transistor, comprising a first conductive layer, a first insulation layer, an amorphous silicon layer, an ohmic contact layer, a second insulation layer, a second conductive layer, a protective layer and a transparent electrode layer. The present invention also relates to a manufacture method of the thin film transistor. The thin film transistor and the manufacture method of the present invention implements merely three stages of photolithography processes to complete the manufacture of the thin film transistor, and therefore to save the manufacture cost and the process time of the thin film transistor. | 03-21-2013 |
20130075731 | MANUFACTURING METHOD FOR THIN FILM TRANSISTOR AND THIN FILM TRANSISTOR MANUFACTURED BY THEM - Provided are a manufacturing method for a thin film transistor, and a thin film transistor manufactured by the manufacturing method. In the manufacturing method, a semiconductor layer and an insulating layer for stopping etching, which are sequentially stacked, are etched by dry etching and wet etching using a single photoresist pattern, and patterning the semiconductor layer and the insulating layer into a channel layer and an etch stop layer, respectively, thereby simplifying the manufacturing process of the thin film transistor. | 03-28-2013 |
20130075732 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A miniaturized transistor having high electric characteristics is provided with high yield. In a semiconductor device including the transistor, high performance, high reliability, and high productivity are achieved. In a semiconductor device including a transistor in which an oxide semiconductor film, a gate insulating film, and a gate electrode layer on side surfaces of which sidewall insulating layers are provided are stacked in this order, source and drain electrode layers are provided in contact with the oxide semiconductor film and the sidewall insulating layers. In a process for manufacturing the semiconductor device, a conductive film and an interlayer insulating film are stacked to cover the oxide semiconductor film, the sidewall insulating layers, and the gate electrode layer, and the interlayer insulating film and the conductive film over the gate electrode layer are removed by a chemical mechanical polishing method, so that the source and drain electrode layers are formed. | 03-28-2013 |
20130075733 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - A minute transistor and the method of manufacturing the minute transistor. A source electrode layer and a drain electrode layer are each formed in a corresponding opening formed in an insulating layer covering a semiconductor layer. The opening of the source electrode layer and the opening of the drain electrode layer are formed separately in two distinct steps. The source electrode layer and the drain electrode layer are formed by depositing a conductive layer over the insulating layer and in the openings, and subsequently removing the part located over the insulating layer by polishing. This manufacturing method allows for the source electrode later and the drain electrode layer to be formed close to each other and close to a channel forming region of the semiconductor layer. Such a structure leads to a transistor having high electrical characteristics and a high manufacturing yield even in the case of a minute structure. | 03-28-2013 |
20130075734 | THIN FILM TRANSISTOR DEVICE WITH ACCURATELY ALIGNED ELECTRODE PATTERNS - An electronic device comprising an optically transparent substrate, a first electrode structure incorporating a channel, said channel being optically transparent and said electrode structure being optically opaque, at least one intermediate layer, and a photosensitive dielectric layer disposed above the at least one intermediate layer, the photosensitive dielectric layer incorporating a trench in a region essentially over said channel, the electronic device further comprising a further electrode, wherein the further electrode is located partially in the trench and partially beyond the trench such that portions of the further electrode that extend beyond the trench are separated from the at least one intermediate layer by the photosensitive dielectric layer. | 03-28-2013 |
20130075735 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A metal oxide layer is in contact with an interlayer insulating layer covering a transistor, and has a stacked-layer structure including a first metal oxide layer having an amorphous structure and a second metal oxide layer having a polycrystalline structure. In the first metal oxide layer, there are no crystal grain boundaries, and grid intervals are wide as compared to those in a metal oxide layer in a crystalline state; thus, the first metal oxide layer easily traps moisture between the lattices. In the second metal oxide layer having a polycrystalline structure, crystal parts other than crystal grain boundary portions have dense structures and extremely low moisture permeability. Thus, the structure in which the metal oxide layer including the first metal oxide layer and the second metal oxide layer is in contact with the interlayer insulating layer can effectively prevent moisture permeation into the transistor. | 03-28-2013 |
20130082262 | SEMICONDUCTOR DEVICE - A semiconductor device includes a gate electrode, a gate insulating film which includes oxidized material containing silicon and covers the gate electrode, an oxide semiconductor film provided to be in contact with the gate insulating film and overlap with at least the gate electrode, and a source electrode and a drain electrode electrically connected to the oxide semiconductor film. In the oxide semiconductor film, a first region which is provided to be in contact with the gate insulating film and have a thickness less than or equal to 5 nm has a silicon concentration lower than or equal to 1.0 at. %, and a region in the oxide semiconductor film other than the first region has lower silicon concentration than the first region. At least the first region includes a crystal portion. | 04-04-2013 |
20130082263 | SEMICONDUCTOR DEVICE - A decrease in on-state current in a semiconductor device including an oxide semiconductor film is suppressed. A transistor including an oxide semiconductor film, an insulating film which includes oxygen and silicon, a gate electrode adjacent to the oxide semiconductor film, the oxide semiconductor film provided to be in contact with the insulating film and overlap with at least the gate electrode, and a source electrode and a drain electrode electrically connected to the oxide semiconductor film. In the oxide semiconductor film, a first region which is provided to be in contact with the interface with the insulating film and have a thickness less than or equal to 5 nm has a silicon concentration lower than or equal to 1.0 at. %, and a region in the oxide semiconductor film other than the first region has lower silicon concentration than the first region. | 04-04-2013 |
20130087790 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A miniaturized transistor having favorable electric characteristics is provided. The transistor includes an oxide semiconductor layer which is in contact with a source electrode layer on one of side surfaces in a channel length direction and in contact with a drain electrode layer on the other of the side surfaces in the channel length direction. With this structure, an electric field between the source electrode layer and the drain electrode layer is relaxed and a short-channel effect is suppressed. Further, a sidewall layer having conductivity is provided on a side surface of a gate electrode layer in the channel length direction, so that the sidewall layer having conductivity overlaps with the source electrode layer or the drain electrode layer with a gate insulating layer provided therebetween, which enables the transistor to substantially have an L | 04-11-2013 |
20130092942 | THIN FILM TRANSISTOR ARRAY PANEL AND MANUFACTURING METHOD THEREOF - A thin film transistor array panel according to an exemplary embodiment of the present disclosure includes: an insulating substrate; a gate electrode disposed on the insulating substrate; a gate insulating layer disposed on the gate electrode; a semiconductor disposed on the gate insulating layer; a source electrode and a drain electrode disposed on the semiconductor; an ohmic contact layer disposed at an interface between at least one of the source and drain electrodes and the semiconductor. Surface heights of the source and drain electrodes different, while surface heights of the semiconductor and the ohmic contact layer are the same. The ohmic contact layer is made of a silicide of a metal used for the source and drain electrodes. | 04-18-2013 |
20130092943 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device which is miniaturized while favorable characteristics thereof are maintained is provided. In addition, the miniaturized semiconductor device is provided with a high yield. The semiconductor device has a structure including an oxide semiconductor film provided over a substrate having an insulating surface; a source electrode layer and a drain electrode layer which are provided in contact with side surfaces of the oxide semiconductor film and have a thickness larger than that of the oxide semiconductor film; a gate insulating film provided over the oxide semiconductor film, the source electrode layer, and the drain electrode layer; and a gate electrode layer provided in a depressed portion formed by a step between a top surface of the oxide semiconductor film and top surfaces of the source electrode layer and the drain electrode layer. | 04-18-2013 |
20130092944 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - To suppress a decrease in on-state current in a semiconductor device including an oxide semiconductor. Provided is a semiconductor device including the following: an oxide semiconductor film which serves as a semiconductor layer; a gate insulating film including an oxide containing silicon, over the oxide semiconductor film; a gate electrode which overlaps with at least the oxide semiconductor film, over the gate insulating film; and a source electrode and a drain electrode which are electrically connected to the oxide semiconductor film. In the semiconductor device, the oxide semiconductor film overlapping with at least the gate electrode includes a region in which a concentration of silicon distributed from the interface with the gate insulating film toward the inside of the oxide semiconductor film is lower than or equal to 1.1 at. %. | 04-18-2013 |
20130092945 | SEMICONDUCTOR DEVICE - The concentration of impurity elements included in an oxide semiconductor film in the vicinity of a gate insulating film is reduced. Further, crystallinity of the oxide semiconductor film in the vicinity of the gate insulating film is improved. A semiconductor device includes an oxide semiconductor film over a substrate, a source electrode and a drain electrode over the oxide semiconductor film, a gate insulating film which includes an oxide containing silicon and is formed over the oxide semiconductor film, and a gate electrode over the gate insulating film. The oxide semiconductor film includes a region in which the concentration of silicon is lower than or equal to 1.0 at. %, and at least the region includes a crystal portion. | 04-18-2013 |
20130099237 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - Hydrogen concentration and oxygen vacancies in an oxide semiconductor film are reduced. Reliability of a semiconductor device which includes a transistor using an oxide semiconductor film is improved. One embodiment of the present invention is a semiconductor device which includes a base insulating film; an oxide semiconductor film formed over the base insulating film; a gate insulating film formed over the oxide semiconductor film; and a gate electrode overlapping with the oxide semiconductor film with the gate insulating film provided therebetween. The base insulating film shows a signal at a g value of 2.01 by electron spin resonance. The oxide semiconductor film does not show a signal at a g value of 1.93 by electron spin resonance. | 04-25-2013 |
20130105797 | THIN-FILM SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 05-02-2013 |
20130105798 | THIN-FILM SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THIN-FILM SEMICONDUCTOR DEVICE | 05-02-2013 |
20130119385 | PIXEL STRUCTURE AND METHOD OF FABRICATING THE SAME - A pixel structure includes a substrate; a scan line; a gate electrode; an insulating layer disposed on the scan line, the gate electrode and the substrate; a channel and a data line disposed on the insulating layer; a source electrode and a drain electrode disposed on the channel; a passivation layer; a pixel electrode and a connecting electrode. The data line does not overlap the scan line. The passivation layer disposed on the source electrode and the drain electrode includes a first contact hole partially exposing the drain electrode, and a plurality of second contact holes partially exposing the data line or the scan line. The pixel electrode disposed on the passivation layer is electrically connected to the drain electrode through the first contact hole. Furthermore, the connecting electrode disposed on the passivation layer is electrically connected to the data line or the scan line through the second contact holes. | 05-16-2013 |
20130126868 | SEMICONDUCTOR ELEMENT, METHOD FOR MANUFACTURING SEMICONDUCTOR ELEMENT, AND SEMICONDUCTOR DEVICE INCLUDING SEMICONDUCTOR ELEMENT - In a semiconductor element including an oxide semiconductor film as an active layer, stable electrical characteristics are achieved. A semiconductor element includes a base film which is an oxide film at least a surface of which has crystallinity; an oxide semiconductor film having crystallinity over the base film; a gate insulating film over the oxide semiconductor film; a gate electrode overlapping with at least the oxide semiconductor film, over the gate insulating film; and a source electrode and a drain electrode which are electrically connected to the oxide semiconductor film. The base film is a film containing indium and zinc. With the structure, a state of crystals in the oxide semiconductor film reflects that in the base film; thus, the oxide semiconductor film can have crystallinity in a large region in the thickness direction. Accordingly, the electrical characteristics of the semiconductor element including the film can be made stable. | 05-23-2013 |
20130126869 | THIN-FILM TRANSISTOR DEVICE AND METHOD FOR MANUFACTURING THIN-FILM TRANSISTOR DEVICE - A thin-film transistor device includes: a gate electrode above a substrate; a gate insulating film on the gate electrode; a crystalline silicon thin film above the gate insulating film; a first semiconductor film above the crystalline silicon thin film; a pair of second semiconductor films above the first semiconductor film; a source electrode over one of the second semiconductor films; and a drain electrode over an other one of the second semiconductor films. The first semiconductor film is provided on the crystalline silicon thin film. A relationship E | 05-23-2013 |
20130134422 | TRANSISTOR AND SEMICONDUCTOR DEVICE - To improve switching characteristics of a transistor in which a channel is formed in an oxide semiconductor layer. A parasitic channel is formed at an end portion of the oxide semiconductor layer because a source and a drain of the transistor are electrically connected to the end portion. That is, when at least one of the source and the drain of the transistor is not electrically connected to the end portion, the parasitic channel is not formed at the end portion. In view of this, a transistor having a structure in which at least one of a source and a drain of the transistor is not or less likely to be electrically connected to an end portion of an oxide semiconductor layer is provided. | 05-30-2013 |
20130140569 | SEMICONDUCTOR DEVICE - A semiconductor device includes a first transistor which includes a first gate electrode below its oxide semiconductor layer and a second gate electrode above its oxide semiconductor layer, and a second transistor which includes a first gate electrode above its oxide semiconductor layer and a second gate electrode below its oxide semiconductor layer and is provided so as to at least partly overlap with the first transistor. In the semiconductor device, a conductive film serving as the second gate electrode of the first transistor and the second gate electrode of the second transistor is shared between the first transistor and the second transistor. Note that the second gate electrode not only controls the threshold voltages (Vth) of the first transistor and the second transistor but also has an effect of reducing interference of an electric field applied from respective first gate electrodes of the first transistor and the second transistor. | 06-06-2013 |
20130153903 | AMBIPOLAR TRANSISTOR DEVICE STRUCTURE AND METHOD OF FORMING THE SAME - An ambipolar transistor device structure suitable for use in an integrated circuit is disclosed. An electron blocking layer or a hole blocking layer is interposed between a source/drain and an ambipolar active layer. Therefore, a unipolar device electric property may be extracted from the ambipolar active layer, which may be suitably applied to the design of a logic circuit. The manufacturing method of the disclosure is simple, only needing one patterning step, so as to effectively improve the performance of the ambipolar device. | 06-20-2013 |
20130153904 | SEMICONDUCTOR DEVICE AND PROCESS FOR PRODUCTION THEREOF - A semiconductor device ( | 06-20-2013 |
20130161620 | COMPOSITION FOR AN OXIDE THIN FILM, A PREPARATION METHOD OF THE COMPOSITION, A METHOD FOR FORMING AN OXIDE THIN FILM USING THE COMPOSITION, AN ELECTRONIC DEVICE INCLUDING THE OXIDE THIN FILM, AND A SEMICONDUCTOR DEVICE INCLUDING THE OXIDE THIN FILM - Provided are a composition for an oxide thin film, a preparation method of the composition, a method for forming an oxide thin film using the composition, an electronic device including the oxide thin film, and a semiconductor device including the oxide thin film. The composition for the oxide thin film includes a metal precursor and nitric acid-based stabilizer. The metal precursor includes at least one of a metal nitrate, a metal nitride, and hydrates thereof. | 06-27-2013 |
20130161621 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A first conductive film overlapping with an oxide semiconductor film is formed over a gate insulating film, a gate electrode is formed by selectively etching the first conductive film using a resist subjected to electron beam exposure, a first insulating film is formed over the gate insulating film and the gate electrode, removing a part of the first insulating film while the gate electrode is not exposed, an anti-reflective film is formed over the first insulating film, the anti-reflective film, the first insulating film and the gate insulating film are selectively etched using a resist subjected to electron beam exposure, and a source electrode in contact with one end of the oxide semiconductor film and one end of the first insulating film and a drain electrode in contact with the other end of the oxide semiconductor film and the other end of the first insulating film are formed. | 06-27-2013 |
20130161622 | THIN FILM TRANSISTOR SUBSTRATE MANUFACTURING METHOD THEREOF, DISPLAY - An embodiment of the invention provides a manufacturing method of a thin film transistor substrate including: sequentially forming a gate electrode, a gate insulating layer covering the gate electrode, an active material layer, and a photo-sensitive material layer on a first substrate; performing a photolithography process by using a half tone mask to form a photo-sensitive protective layer which is above the gate electrode and has a first recess and a second recess; etching the active material layer by using the photo-sensitive protective layer as a mask to form an active layer; removing a portion of the photo-sensitive protective layer at bottoms of the first recess and the second recess to expose a first portion and a second portion of the active layer respectively; forming a first electrode connecting to the first portion; and forming a second electrode connecting to the second portion. | 06-27-2013 |
20130161623 | SEMICONDUCTOR DEVICE - The present invention intends to realize a narrow flame of a system on panel. In addition to this, a system mounted on a panel is intended to make higher and more versatile in the functionality. In the invention, on a panel on which a pixel portion (including a liquid crystal element, a light-emitting element) and a driving circuit are formed, integrated circuits that have so far constituted an external circuit are laminated and formed. Specifically, of the pixel portion and the driving circuit on the panel, on a position that overlaps with the driving circuit, any one kind or a plurality of kinds of the integrated circuits is formed by laminating according to a transcription technique. | 06-27-2013 |
20130168677 | FLEXIBLE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME - A method for manufacturing a flexible semiconductor device includes (i) forming an insulating film on the upper surface of metal foil, (ii) forming an extraction electrode pattern on the upper surface of the metal foil, (iii) forming a semiconductor layer on the insulating film such that the semiconductor layer is in contact with the extraction electrode pattern, (iv) forming a sealing resin layer on the upper surface of the metal foil such that the sealing resin layer covers the semiconductor layer and the extraction electrode pattern, and (v) forming electrodes by etching the metal foil, the metal foil being used as a support for the insulating film, the extraction electrode pattern, the semiconductor layer, and the sealing resin layer formed in (i) to (iv) and used as a constituent material for the electrodes in (v). The metal foil need not be stripped, and a high-temperature process can be used. | 07-04-2013 |
20130168678 | THIN-FILM SEMICONDUCTOR DEVICE FOR DISPLAY APPARATUS AND METHOD OF MANUFACTURING SAME - A thin-film semiconductor device includes: a substrate; a gate electrode above the substrate; a gate insulation film above the gate electrode; a channel layer above the gate insulation film, the channel layer having a raised part; a channel protection layer over the raised part of the channel layer, the channel protection layer comprising an organic material, and the organic material including silicon, oxygen, and carbon; an interface layer at an interface between a top surface of the raised part of the channel layer and the channel protection layer, and comprises at least carbon and silicon that derive from the organic material; and a source electrode and a drain electrode each provided over a top surface and a side surface the channel protection layer, a side surface of the interface layer, a side surface of the raised part of the channel layer, and a top surface of the channel layer. | 07-04-2013 |
20130175530 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a fin-type transistor having an oxide semiconductor in a channel formation region in which the channel formation region comprising an oxide semiconductor is three-dimensionally structured and a gate electrode is arranged to extend over the channel formation region. Specifically, the fin-type transistor comprises: an insulator protruding from a substrate plane; an oxide semiconductor film extending beyond the insulator; a gate insulating film over the oxide semiconductor film; and a gate electrode over and extending beyond the oxide semiconductor film. This structure allows the expansion of the width of the channel formation region, which enables the miniaturization and high integration of a semiconductor device having the transistor. Additionally, the extremely small off-state current of the transistor contributes to the formation of a semiconductor device with significantly reduced power consumption. | 07-11-2013 |
20130181221 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A circuit including an inverter is provided for a wiring layer. | 07-18-2013 |
20130187160 | INTEGRATED FIELD EFFECT TRANSISTORS WITH HIGH VOLTAGE DRAIN SENSING - An integrated circuit includes a junction field effect transistor (JFET) and a power metal oxide semiconductor field effect transistor (MOSFET) on a same substrate. The integrated circuit includes a drain sense terminal for sensing the drain of the power MOSFET through the JFET. The JFET protects a controller or other electrical circuit coupled to the drain sense terminal from high voltage that may be present on the drain of the power MOSFET. The JFET and the power MOSFET share a same drift region, which includes an epitaxial layer formed on the substrate. The integrated circuit may be packaged in a four terminal small outline integrated circuit (SOIC) package. The integrated circuit may be employed in a variety of applications including as an ideal diode. | 07-25-2013 |
20130187161 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A photolithography process for forming an island-shaped semiconductor layer is omitted, and a transistor is formed by at least two photolithography processes: a photolithography process for forming a gate electrode (including a wiring or the like formed from the same layer as the gate electrode) and a photolithography process for forming a source electrode and a drain electrode (including a wiring or the like formed from the same layer as the source electrode and the drain electrode). By using electron beam exposure, a transistor in which a distance between the source electrode and the drain electrode (channel length) is short can be formed. For example, a transistor whose channel length is less than 50 nm can be obtained. | 07-25-2013 |
20130187162 | THIN FILM TRANSISTOR SUBSTRATE AND PROCESS FOR PRODUCTION THEREOF - A TFT substrate | 07-25-2013 |
20130200374 | Thin Film Transistor, Thin Film Transistor Substrate and Method for Manufacturing the Same - A thin film transistor is provided. The thin film transistor disposed on a substrate includes a gate electrode, a gate dielectric layer, a patterned semiconductor layer, a source electrode, a drain electrode covered with an anticorrosive conductive layer, a patterned passivation layer and a transparent conductive layer. The anticorrosive conductive layer includes indium tin oxide or indium zinc oxide, and is used to prevent the drain electrode from being over etched during the process of etching the passivation layer. A method for manufacturing the thin film transistor is also provided herein. | 08-08-2013 |
20130200375 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a highly reliable semiconductor device which includes a transistor including an oxide semiconductor. The semiconductor device includes an oxide semiconductor layer; a gate insulating layer provided over the oxide semiconductor layer; a gate electrode layer overlapping with the oxide semiconductor layer with the gate insulating layer provided therebetween; an insulating layer being in contact with part of an upper surface of the oxide semiconductor layer, covering a side surface of the gate insulating layer and a side surface and an upper surface of the gate electrode layer, and having a lower oxygen-transmitting property than the gate insulating layer; a sidewall insulating layer provided on the side surface of the gate electrode layer with the insulating layer provided therebetween; a source electrode layer and a drain electrode layer which are electrically connected to the oxide semiconductor layer. | 08-08-2013 |
20130200376 | TRANSISTOR AND SEMICONDUCTOR DEVICE - A transistor which is resistant to a short-channel effect is provided. A semiconductor which leads to the following is used in a junction portion between a source and a semiconductor layer and a junction portion between a drain and the semiconductor layer: a majority carrier density n | 08-08-2013 |
20130207110 | THIN FILM TRANSISTOR, THIN FILM TRANSISTOR ARRAY SUBSTRATE AND METHOD OF FABRICATING THE SAME - A method of fabricating a thin film transistor includes sequentially forming a first metal layer on a substrate and a second metal layer of copper on the first metal layer; performing a plasma process to form a copper nitride layer on the second metal layer; patterning the copper nitride layer, the second metal layer and the first metal layer to form a gate electrode; forming a first gate insulating layer of silicon nitride on the substrate including the gate electrode; forming a second gate insulating layer of silicon oxide on the first gate insulating layer; forming a semiconductor layer on the second gate insulating layer formed of an oxide semiconductor material; and forming a source electrode and a drain electrode on the semiconductor layer, the source electrode spaced apart from the drain electrode. | 08-15-2013 |
20130207111 | SEMICONDUCTOR DEVICE, DISPLAY DEVICE INCLUDING SEMICONDUCTOR DEVICE, ELECTRONIC DEVICE INCLUDING SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a transistor with stable electric characteristics and little signal delay due to wiring resistance, used in a semiconductor device including an oxide semiconductor film. A semiconductor device including the transistor is provided. A high-performance display device including the transistor is provided. | 08-15-2013 |
20130207112 | SEMICONDUCTOR DEVICE - A semiconductor device having a novel structure is provided in which a transistor including an oxide semiconductor and a transistor including a semiconductor material which is not an oxide semiconductor are stacked. Further, a semiconductor device in which a semiconductor element and a capacitor are formed efficiently is provided. In a semiconductor device, a first semiconductor element layer including a transistor formed using a semiconductor material which is not an oxide semiconductor, such as silicon, and a second semiconductor element layer including a transistor formed using an oxide semiconductor are stacked. A capacitor is formed using a wiring layer, or a conductive film or an insulating film which is in the same layer as a conductive film or an insulating film of the second semiconductor element layer. | 08-15-2013 |
20130214276 | LIGHT-EMITTING DEVICE AND ELECTRONIC APPARATUS - A light-emitting device includes a drive transistor for controlling the quantity of current supplied to a light-emitting element, a capacitor element electrically connected to a gate electrode of the drive transistor, and an electrical continuity portion for electrically connecting the drive transistor and the light-emitting element, these elements being disposed on a substrate. The electrical continuity portion is disposed on the side opposite to the capacitor element with the drive transistor disposed therebetween. | 08-22-2013 |
20130221356 | SEMICONDUCTOR DEVICE - A semiconductor device is described, which includes a first transistor, a second transistor, and a capacitor. The second transistor and the capacitor are provided over the first transistor so as to overlap with a gate of the first transistor. A semiconductor layer of the second transistor and a dielectric layer of the capacitor are directly connected to the gate of the first transistor. The second transistor is a vertical transistor, where its channel direction is perpendicular to an upper surface of a semiconductor layer of the first transistor. | 08-29-2013 |
20130228781 | FABRICATION METHOD OF A PIXEL STRUCTURE AND A PIXEL STRUCTURE - A pixel structure and a fabrication method thereof are provided. A scan line, a gate, an oxide conductor layer, a metal conductor layer, an oxide semiconductor layer, and an insulation layer between the gate and the metal conductor layer are formed on a substrate. The oxide conductor layer includes a pixel electrode and a first auxiliary pattern partially overlapped with where the gate is. The first auxiliary pattern includes a first metal contact portion and a first semiconductor contact portion. The metal conductor layer includes a data line, a source connected to the data line, and a drain separated from the source. The drain contacts the first metal contact portion, exposes the first semiconductor contact portion between the source and the drain, and is electrically connected to the pixel electrode. The oxide semiconductor layer is connected between the source and the drain and contacts the first semiconductor contact portion. | 09-05-2013 |
20130228782 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - It is an object to manufacture a highly reliable semiconductor device including a thin film transistor whose electric characteristics are stable. An insulating layer which covers an oxide semiconductor layer of the thin film transistor contains a boron element or an aluminum element. The insulating layer containing a boron element or an aluminum element is formed by a sputtering method using a silicon target or a silicon oxide target containing a boron element or an aluminum element. Alternatively, an insulating layer containing an antimony (Sb) element or a phosphorus (P) element instead of a boron element covers the oxide semiconductor layer of the thin film transistor. | 09-05-2013 |
20130234143 | LIQUID CRYSTAL DISPLAY ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME - A liquid crystal display array substrate and a method for manufacturing the same are discussed. The liquid crystal display array substrate includes a gate line arranged on a substrate in one direction, a data line which crosses the gate line and defines a plurality of pixel areas, a thin film transistor formed at a crossing of the gate line and the data line, a pixel electrode connected to the thin film transistor, and a common electrode which is positioned opposite the pixel electrode and forms an electric field. The common electrode includes a shield line overlapping the data line, and the shield line includes at least two cutting portions having a width less than other portion of the shield line. | 09-12-2013 |
20130240886 | ACTIVE DEVICE AND ACTIVE DEVICE ARRAY SUBSTRATE - An active device and an active device array substrate are provided, wherein the active device array substrate includes a substrate and a plurality of active devices being located on the substrate, and at least one of the active devices includes a first thin film transistor and a second thin film transistor. The first thin film transistor is located on the substrate and has a first channel layer. The second thin film transistor stacks on the first thin film transistor, wherein the second thin film transistor has a second channel layer. The first thin film transistor and the second thin film transistor share a common gate electrode and the common gate electrode is located between the first channel layer and the second channel layer. | 09-19-2013 |
20130248866 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND MANUFACTURING METHOD THEREOF - A thin film transistor (TFT) array substrate and a manufacturing method thereof are provided. The TFT array substrate may include a gate line disposed on a substrate and including a gate line and a gate electrode, an oxide semiconductor layer pattern disposed on the gate electrode, a data line disposed on the oxide semiconductor layer pattern and including a source electrode and a drain electrode of a thin film transistor (TFT) together with the gate electrode, and a data line extending in a direction intersecting the gate line, and etch stop patterns disposed at an area where the TFT is formed between the source/drain electrodes and the oxide semiconductor layer pattern and at an area where the gate line and the data line overlap each other between the gate line and the data line. | 09-26-2013 |
20130256663 | SURFACE TENSION MODIFICATION USING SILANE WITH HYDROPHOBIC FUNCTIONAL GROUP FOR THIN FILM DEPOSITION - A semiconductor structure that includes crystalline surfaces and amorphous hydrophilic surfaces is provided. The hydrophilic surfaces are treated with silane that includes a hydrophobic functional group, converting the hydrophilic surfaces to hydrophobic surfaces. Chemical vapor deposition or other suitable deposition methods are used to simultaneously deposit a material on both surfaces and due to the surface treatment, the deposited material exhibits superior adherence qualities on both surfaces. In one embodiment, the structure is an opening formed in a semiconductor substrate and bounded by at least one portion of a crystalline silicon surface and at least one portion of an amorphous silicon oxide structure. | 10-03-2013 |
20130256664 | MOS Device for Making the Source/Drain Region Closer to the Channel Region and Method of Manufacturing the Same - This invention relates to a MOS device for making the source/drain region closer to the channel region and a method of manufacturing the same, comprising: providing an initial structure, which includes a substrate, an active region, and a gate stack; performing ion implantation in the active region on both sides of the gate stack, such that part of the substrate material undergoes pre-amorphization to form an amorphous material layer; forming a first spacer; with the first spacer as a mask, performing dry etching, thereby forming a recess, with the amorphous material layer below the first spacer kept; performing wet etching using an etchant solution that is isotropic to the amorphous material layer and whose etch rate to the amorphous material layer is greater than or substantially equal to the etch rate to the {100} and {110} surfaces of the substrate material but is far greater than the etch rate to the {111} surface of the substrate material, thus removing the amorphous material layer below the first spacer, such that the substrate material below the amorphous material layer is exposed to the solution and is etched thereby, and in the end, forming a Sigma shaped recess that extends to the nearby region below the gate stack; and epitaxially forming SiGe in the Sigma shaped recess. | 10-03-2013 |
20130256665 | SEMICONDUCTOR ELEMENT, SEMICONDUCTOR DEVICE, AND MANUFACTURING METHOD OF SEMICONDUCTOR ELEMENT - To provide a semiconductor element in which generation of oxygen vacancies in an oxide semiconductor thin film can be suppressed. The semiconductor element has a structure in which, in a gate insulating film, the nitrogen content of regions which do not overlap with a gate electrode is higher than the nitrogen content of a region which overlaps with the gate electrode. A nitride film has an excellent property of preventing impurity diffusion; thus, with the structure, release of oxygen in the oxide semiconductor film, in particular, in the channel formation region, to the outside of the semiconductor element can be effectively suppressed. | 10-03-2013 |
20130256666 | THIN FILM TRANSISTOR AND MANUFACTURING METHOD THEREOF - A thin film transistor and a manufacturing method thereof are provided. The thin film transistor includes a gate, an oxide channel layer, a gate insulating layer, a source, a drain and a dielectric layer. The gate is disposed on a substrate. The oxide channel layer, disposed on the substrate, is stacked with the gate. A material of the oxide channel layer includes a metal element. The metal element content shows a gradient distribution along a thickness direction of the oxide channel layer. The gate insulation layer is disposed between the gate and the oxide channel layer. The source and the drain are disposed in parallel to each other, and connected to the oxide channel layer. Sides of the source and the drain, facing away from the substrate, are covered by the dielectric layer. | 10-03-2013 |
20130264570 | THIN FILM TRANSISTOR AND METHOD FOR FABRICATING THE SAME - A thin film transistor and a method for fabricating the same are disclosed. The thin film transistor includes: a gate electrode formed on a substrate and having a plurality of horizontal electrode parts spaced apart at regular intervals; a gate insulating film formed over the entire surface of the substrate including the gate electrode; an active pattern formed on the gate insulating film above the plurality of horizontal electrode parts; an etch stop film pattern formed above the active pattern and the gate insulating film so as to overlap top portions of the active pattern and the gate electrode and; a source electrode formed on the active pattern, the gate insulating film, and the etch stop film pattern so as to overlap top portions of adjacent horizontal electrode parts; and a drain electrode formed on the active pattern, the gate insulating film, and the etch stop film pattern so as to overlap top portions of horizontal electrode parts located on the outermost ends. | 10-10-2013 |
20130270560 | METHOD FOR FORMING SEMICONDUCTOR DEVICE WITH EPITAXY SOURCE AND DRAIN REGIONS INDEPENDENT OF PATTERNING AND LOADING - A method of fabricating a semiconductor device that includes providing a gate structure on a channel portion of a semiconductor on insulator (SOI) layer of a semiconductor on insulator (SOI) substrate, and forming an amorphous semiconductor layer on at least a source region portion and a drain region portion of the SOI layer. The amorphous semiconductor layer is converted to a crystalline semiconductor material, wherein the crystalline semiconductor material provides a raised source region and a raised drain region of the semiconductor device. The method may be applicable to planar semiconductor devices and finFET semiconductor devices. | 10-17-2013 |
20130270561 | METHOD FOR FORMING SEMICONDUCTOR DEVICE WITH EPITAXY SOURCE AND DRAIN REGIONS INDEPENDENT OF PATTERNING AND LOADING - A method of fabricating a semiconductor device that includes providing a gate structure on a channel portion of a semiconductor on insulator (SOI) layer of a semiconductor on insulator (SOI) substrate, and forming an amorphous semiconductor layer on at least a source region portion and a drain region portion of the SOI layer. The amorphous semiconductor layer is converted to a crystalline semiconductor material, wherein the crystalline semiconductor material provides a raised source region and a raised drain region of the semiconductor device. The method may be applicable to planar semiconductor devices and finFET semiconductor devices. | 10-17-2013 |
20130270562 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device is provided in which ESD is less likely to occur in a manufacturing process thereof. In manufacture of a semiconductor device including a long lead wiring A, during steps with direct exposure to a plasma atmosphere, a plurality of island-shaped wirings is formed for the wiring A and then electrically connected to one another in series. Specifically, a plurality of island-shaped wirings is formed, covered with an insulating layer, and electrically connected to one another in series by a wiring formed over the insulating layer. The island-shaped wiring and the wiring formed over the insulating layer are electrically connected to each other through an opening formed in the insulating layer. | 10-17-2013 |
20130270563 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device including a transistor in which an oxide semiconductor is used for a channel formation region and which has a positive threshold voltage to serve as a normally-off switching element, and the like are provided. Stable electrical characteristics are given to the semiconductor device including the transistor in which an oxide semiconductor film is used for the channel formation region, and thus the semiconductor device has high reliability. In a semiconductor device including a transistor in which an oxide semiconductor film including a channel formation region, source and drain electrode layers, a gate insulating film, and a gate electrode layer are stacked in this order over an oxide insulating film, a conductive layer overlapping with the gate electrode layer with the channel formation region provided therebetween and controlling the electrical characteristics of the transistor is provided in the oxide insulating film including an oxygen excess region. | 10-17-2013 |
20130270564 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In a transistor including an oxide semiconductor film, a metal oxide film which has a function of preventing 1 electrification and covers a source electrode and a drain electrode is formed in contact with the oxide semiconductor film, and then, heat treatment is performed. Through the heat treatment, impurities such as hydrogen, moisture, a hydroxyl group, or hydride are intentionally removed from the oxide semiconductor film, whereby the oxide semiconductor film is highly purified. By providing the metal oxide film, generation of a parasitic channel on the back channel side of the oxide semiconductor film in the transistor is prevented. | 10-17-2013 |
20130277676 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - To give stable electrical characteristics to and improve reliability of a semiconductor device including a transistor in which an oxide semiconductor film is used for a channel formation region. As a base film, an insulating film or an oxide semiconductor film is used. A single-layer metal film is formed over the base film. After that, a resist mask is formed, and etching is performed plural times. Accordingly, electrodes each including projecting portions when seen in cross-section are formed. Even when a gate insulating film over the source electrode layer and the drain electrode layer or an oxide semiconductor film has a small thickness, disconnection of the gate insulating film is unlikely to occur. | 10-24-2013 |
20130285061 | SEMICONDUCTOR DEVICES AND METHODS OF PREPARATION - An organic film-forming polymer has a T | 10-31-2013 |
20130292675 | SEMICONDUCTOR DEVICE - Reducing hydrogen concentration in a channel formation region of an oxide semiconductor is important in stabilizing threshold voltage of a transistor including an oxide semiconductor and improving reliability. Hence, hydrogen is attracted from the oxide semiconductor and trapped in a region of an insulating film which overlaps with a source region and a drain region of the oxide semiconductor. Impurities such as argon, nitrogen, carbon, phosphorus, or boron are added to the region of the insulating film which overlaps with the source region and the drain region of the oxide semiconductor, thereby generating a defect. Hydrogen in the oxide semiconductor is attracted to the defect in the insulating film. The defect in the insulating film is stabilized by the presence of hydrogen. | 11-07-2013 |
20130292676 | PHASE-STABILIZED THIN FILMS, STRUCTURES AND DEVICES INCLUDING THE THIN FILMS, AND METHODS OF FORMING SAME - Nitrogen-containing phase-stabilized films, methods of forming phase-stabilized films, and structures and devices including the phase-stabilized films are disclosed. The phase-stabilized films include a matrix material and a phase stabilizer, which provides a morphologically stabilizing effect to a matrix material within the films. The phase-stabilized films may be used as, for example, gate electrodes and similar films in microelectronic devices. | 11-07-2013 |
20130292677 | SEMICONDUCTOR DEVICE - An object of the present invention is to provide a semiconductor device having a novel structure in which in a data storing time, stored data can be stored even when power is not supplied, and there is no limitation on the number of writing. A semiconductor device includes a first transistor including a first source electrode and a first drain electrode; a first channel formation region for which an oxide semiconductor material is used and to which the first source electrode and the first drain electrode are electrically connected; a first gate insulating layer over the first channel formation region; and a first gate electrode over the first gate insulating layer. One of the first source electrode and the first drain electrode of the first transistor and one electrode of a capacitor are electrically connected to each other. | 11-07-2013 |
20130306968 | TRANSISTOR STRUCTURE AND DRIVING CIRCUIT STRUCTURE - A transistor structure disposed on a substrate includes a gate electrode, a gate insulating layer overlapping the gate electrode, a channel layer overlapping the gate electrode, and a plurality of first electrodes and a plurality of second electrodes overlapping the gate electrode. The gate insulating layer is disposed between the channel layer and the gate electrode. Besides, the gate insulating layer is located among the first electrodes, the second electrodes, and the gate electrode. The first electrodes and the second electrodes are alternately arranged along a first direction. Each of the first electrodes has a first width along the first direction. Each of the second electrodes has a second width along the first direction. A ratio of the first width to the second width ranges from 2 to 20. A driving circuit structure having the transistor structure is also provided. | 11-21-2013 |
20130306969 | THIN FILM TRANSISTOR AND PIXEL CIRCUIT HAVING THE SAME - A thin film transistor which may be included in a pixel circuit includes: a substrate; a semiconductor layer formed on the substrate and including a source region, a first drain region spaced apart from the source region by a first current path, and a second drain region spaced apart from the source region by a second current path having a length different from that of the first current path; a gate electrode insulated from the semiconductor layer by a gate insulating layer; a source electrode connected to the source region of the semiconductor layer; a first drain electrode connected to the first drain region of the semiconductor layer; and a second drain electrode connected to the second drain region of the semiconductor layer. Currents having different magnitudes may be simultaneously provided through the first current path and the second current path. | 11-21-2013 |
20130306970 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION AND USES THEREOF - The invention relates to a positive photosensitive resin composition without color off after etching. The invention also provides a method for manufacturing a thin-film transistor array substrate, a thin-film transistor array substrate and a liquid crystal display device. | 11-21-2013 |
20130320344 | THIN FILM TRANSISTOR ARRAY PANEL - A thin film transistor array panel includes: a semiconductor layer disposed on an insulation substrate; a gate electrode overlapping the semiconductor layer; a source electrode and a drain electrode overlapping the semiconductor layer; a first barrier layer disposed between the source electrode and the semiconductor layer; and a second barrier layer disposed between the drain electrode and the semiconductor layer, wherein the first barrier layer and the second barrier layer include nickel-chromium (NiCr). | 12-05-2013 |
20130328049 | THIN-FILM TRANSISTOR SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - A thin-film transistor substrate includes a gate line, and a gate electrode connected to the gate line, on a base substrate; an insulating layer on the gate electrode, the insulating layer including a first part and a second part, the first part having a hydrophobic property and the second part having a hydrophilic property; a data line extended in a different direction from the gate line, and a source electrode connected to the data line and on the second part of the insulating layer; a drain electrode on the second part of the insulating layer, the drain electrode spaced apart from the source electrode; a semi-conductor pattern overlapping the source electrode, the drain electrode and a gap between the spaced apart source and drain electrodes, where the semi-conductor pattern exposes the first part of the insulating layer; and a pixel electrode in contact with the drain electrode. | 12-12-2013 |
20130328050 | Semiconductor Device - It is an object of the present invention to connect a wiring, an electrode, or the like formed with two incompatible films (an ITO film and an aluminum film) without increasing the cross-sectional area of the wiring and to achieve lower power consumption even when the screen size becomes larger. The present invention provides a two-layer structure including an upper layer and a lower layer having a larger width than the upper layer. A first conductive layer is formed with Ti or Mo, and a second conductive layer is formed with aluminum (pure aluminum) having low electric resistance over the first conductive layer. A part of the lower layer projected from the end section of the upper layer is bonded with ITO. | 12-12-2013 |
20130334533 | SEMICONDUCTOR DEVICE - A transistor having high field-effect mobility is provided. In order that an oxide semiconductor layer through which carriers flow is not in contact with a gate insulating film, a buried channel structure in which the oxide semiconductor layer through which carriers flow is separated from the gate insulating film is employed. Specifically, an oxide semiconductor layer having high conductivity is provided between two oxide semiconductor layers. Further, an impurity element is added to the oxide semiconductor layer in a self-aligned manner so that the resistance of a region in contact with an electrode layer is reduced. Further, the oxide semiconductor layer in contact with the gate insulating layer has a larger thickness than the oxide semiconductor layer having high conductivity. | 12-19-2013 |
20130341624 | Thin Film Transistor Substrate Having Metal Oxide Semiconductor and Method for Manufacturing the Same - The present disclosure relates to a thin film transistor substrate with a metal oxide semiconductor layer that has enhanced characteristics and stability. The present disclosure also relates to a method for manufacturing a thin film transistor substrate in which a thermal treatment is conducted for the metal oxide semiconductor layer and the damages to the substrate by the thermal treatment are minimized. | 12-26-2013 |
20130341625 | Light Emitting Device, Driving Method of Light Emitting Device and Electronic Device - By controlling the luminance of light emitting element not by means of a voltage to be impressed to the TFT but by means of controlling a current that flows to the TFT in a signal line drive circuit, the current that flows to the light emitting element is held to a desired value without depending on the characteristics of the TFT. Further, a voltage of inverted bias is impressed to the light emitting element every predetermined period. Since a multiplier effect is given by the two configurations described above, it is possible to prevent the luminance from deteriorating due to a deterioration of the organic luminescent layer, and further, it is possible to maintain the current that flows to the light emitting element to a desired value without depending on the characteristics of the TFT. | 12-26-2013 |
20140001474 | CMOS DEVICE AND FABRICATION METHOD | 01-02-2014 |
20140021473 | SEMICONDUCTOR STRUCTURE - A semiconductor structure includes a gate, an oxide channel layer, a gate insulating layer, a source, a drain and a dielectric stacked layer. The oxide channel layer is stacked over the gate, with the gate insulting layer disposed therebetween. The source and the drain are disposed on a side of the oxide channel layer and in parallel to each other. A portion of the oxide channel layer is exposed between the source and the drain. The dielectric stacked layer is disposed on the substrate and includes plural of first inorganic dielectric layers with a first refraction index and plural of second inorganic dielectric layers with a second refraction index that are stacked alternately. At least one of the first inorganic dielectric layers directly covers the source, the drain and the portion of the oxide channel layer. The first refraction index is smaller than the second refraction index. | 01-23-2014 |
20140021474 | MEMORY ELEMENT AND PROGRAMMABLE LOGIC DEVICE - To provide a memory element where a desired potential can be stored as data without an increase in the number of power source potentials. The memory element stores data in a node which is brought into a floating state by turning off a transistor a channel of which is formed in an oxide semiconductor layer. The potential of a gate of the transistor can be increased by capacitive coupling between the gate and a source of the transistor. With the structure, a desired potential can be stored as data without an increase in the number of power source potentials. | 01-23-2014 |
20140034951 | THIN FILM TRANSISTOR - A thin film transistor disposed on a substrate is provided. The thin film transistor includes a gate, a gate insulating layer, a silicon-rich channel layer, a source, and a drain. The gate is disposed on the substrate. The gate insulator is disposed over the gate. The silicon-rich channel layer is disposed above the gate, wherein the material of the silicon-rich channel layer is selected from a group consisting of silicon-rich silicon oxide (Si-rich SiOx), silicon-rich silicon nitride (Si-rich SiNx), silicon-rich silicon oxynitride (Si-rich SiOxNy), silicon-rich silicon carbide (Si-rich SiC) and silicon-rich silicon oxycarbide (Si-rich SiOC). The content (concentration) of silicon of the silicon-rich channel layer within a film depth between 10 nm to 170 nm ranges from about 1E23 atoms/cm | 02-06-2014 |
20140042443 | SEMICONDUCTOR DEVICE - A semiconductor device including a capacitor with increased charge capacity and having a high aperture ratio and low power consumption is provided for a semiconductor device including a driver circuit. The semiconductor device includes a driver circuit which includes a first transistor including gate electrodes above and below a semiconductor film so as to overlap with the semiconductor film; a pixel which includes a second transistor including a semiconductor film; a capacitor which includes a dielectric film between a pair of electrodes in the pixel; and a capacitor line electrically connected to one of the pair of electrodes. In the semiconductor device, the gate electrode over the semiconductor film of the first transistor is electrically connected to the capacitor line. | 02-13-2014 |
20140048806 | ELECTRONIC DEVICE AND ITS METHOD OF MANUFACTURE - A method of manufacturing an electronic device comprises: providing a layer of semiconductor material comprising a first portion, a second portion, and a third portion, the third portion connecting the first portion to the second portion and providing a semiconductive channel for electrical current flow between the first and second portions; providing a gate terminal arranged with respect to said third portion such that a voltage may be applied to the gate terminal to control an electrical conductivity of said channel; and processing at least one of the first and second portions so as to have an electrical conductivity greater than an electrical conductivity of the channel when no voltage is applied to the gate terminal. In certain embodiments, the processing comprises exposing at least one of the first and second portions to electromagnetic radiation. The first and second portions may be laser annealed to increase their conductivities. | 02-20-2014 |
20140048807 | METHOD FOR FABRICATING THIN-FILM SEMICONDUCTOR DEVICE AND THIN-FILM SEMICONDUCTOR DEVICE - A thin-film semiconductor device having two thin-film transistors, wherein each of the two thin-film transistors includes: a gate electrode; a gate insulating film; a semiconductor layer; a channel protection layer; an intrinsic semiconductor layer; a contact layer in contact with a portion of sides of the channel region; a source electrode on the contact layer; and a drain electrode opposite to the source electrode on the contact layer, wherein the contact layer of one of the two thin-film transistors has a conductivity type different from a conductivity type of the contact layer of the other of the two thin-film transistors. | 02-20-2014 |
20140054590 | THIN-FILM SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THIN-FILM SEMICONDUCTOR DEVICE - A thin-film semiconductor device includes: a gate electrode; a channel layer; a first amorphous semiconductor layer; a channel protective layer; a pair of second amorphous semiconductor layers formed on side surfaces of the channel layer; and a pair of contact layers which contacts the side surfaces of the channel layer via the second amorphous semiconductor layers. The gate electrode, the channel layer, the first amorphous semiconductor layer, and the channel protective layer are stacked so as to have outlines that coincide with one another in a top view. The first amorphous semiconductor layer has a density of localized states higher than those of the second amorphous semiconductor layers. The second amorphous semiconductor layers have band gaps larger than that of the first amorphous semiconductor layer. | 02-27-2014 |
20140061648 | THIN FILM TRANSISTOR INCLUDING DIELECTRIC STACK - A transistor includes a substrate; a gate including a first electrically conductive layer stack on the substrate; and a first inorganic thin film dielectric layer on the substrate with the first inorganic thin film dielectric layer having a first pattern. A second inorganic thin film dielectric layer, having a second pattern, is in contact with the first inorganic thin film dielectric layer. The first inorganic thin film dielectric layer and the second thin film dielectric layer have the same material composition. A semiconductor layer has a third pattern. A source/drain includes a second electrically conductive layer stack. | 03-06-2014 |
20140061649 | HIGH PERFORMANCE THIN FILM TRANSISTOR - A transistor includes a substrate; a gate including a first electrically conductive layer stack on the substrate; and a first inorganic thin film dielectric layer on the substrate with the first inorganic thin film dielectric layer having a first pattern. A second inorganic thin film dielectric layer, having a second pattern, is in contact with the first inorganic thin film dielectric layer. The first inorganic thin film dielectric layer and the second thin film dielectric layer have the same material composition. A third inorganic thin film dielectric layer has a third pattern. A semiconductor layer is in contact with and has the same pattern as the third inorganic thin film dielectric material layer. A source/drain includes a second electrically conductive layer stack. | 03-06-2014 |
20140061650 | TRANSISTOR STRUCTURES AND METHODS OF FABRICATION THEREOF - An electronic device is presented, such as a thin film transistor. The device comprises a patterned electrically-conductive layer associated with an active element of the electronic device. The electrically-conductive layer has a pattern defining an array of spaced-apart electrically conductive regions. This technique allows for increasing an electric current through the device. | 03-06-2014 |
20140070216 | THIN FILM TRANSISTOR - A thin film transistor (TFT) is provided, which includes a substrate, a first gate layer, an insulation layer, a first source/drain layer, a second source/drain layer, a semiconductor layer, a passivation layer and a second gate layer. The first gate layer is disposed on the substrate. The insulation layer is disposed on the first gate layer. The first source/drain layer is disposed on the insulation layer. The second source/drain layer is disposed on the insulation layer. The semiconductor layer is disposed on the insulation layer and covers the first source/drain layer and the second source/drain layer. The passivation layer is disposed on the insulation layer and covers the semiconductor layer. The second gate layer is disposed on the passivation layer and contacts the first gate layer through a via so that the two gate layers keep a same voltage level. | 03-13-2014 |
20140070217 | THIN FILM TRANSISTOR AND MANUFACTURING METHOD THEREOF, AND ARRAY SUBSTRATE - The disclosure discloses a thin film transistor and a manufacturing method thereof, an array substrate, and a display device, which can manufacture a thin film transistor with lower contents of impurity at a low temperature. The thin film transistor comprises: a substrate, and an active layer disposed on the substrate, the active layer comprising a source region, a drain region and a channel region, wherein the active layer is formed by depositing an inducing metal on an amorphous silicon layer on the substrate by an atomic layer deposition (ALD) method and then conducting heat treatment on the amorphous silicon layer deposited with the inducing metal so that metal induction crystallization and metal induction lateral crystallization take place in the amorphous silicon layer. | 03-13-2014 |
20140097434 | BACK-END-OF-LINE METAL-OXIDE-SEMICONDUCTOR VARACTORS - Device structures, design structures, and fabrication methods for a varactor. The device structure includes a first electrode formed on a dielectric layer, and a semiconductor body formed on the first electrode. The semiconductor body is comprised of a silicon-containing semiconductor material in an amorphous state or a polycrystalline state. The device structure further includes an electrode insulator formed on the semiconductor body and a second electrode formed on the electrode insulator. | 04-10-2014 |
20140097435 | NAND Memory Constructions and Methods of Forming NAND Memory Constructions - Some embodiments include NAND memory constructions. The constructions may contain semiconductor material pillars extending upwardly between dielectric regions, with individual pillars having a pair of opposing vertically-extending sides along a cross-section. First conductivity type regions may be along first sides of the pillars, and second conductivity type regions may be along second sides of the individual pillars; with the second conductivity type regions contacting interconnect lines. Vertical NAND strings may be over the pillars, and select devices may selectively couple the NAND strings with the interconnect lines. The select devices may have vertical channels directly against the semiconductor material pillars and directly against upper regions of the first and second conductivity type regions. Some embodiments include methods of forming NAND memory constructions. | 04-10-2014 |
20140103345 | THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME, ARRAY SUBSTRATE, AND DISPLAY DEVICE - The present invention discloses a thin film transistor and a method for manufacturing the same, an array substrate and a display device. The performance of the thin film transistor can be improved and thereby the image quality can be improved by an increase in the width of the conducting area of a thin film transistor without change of the capacitance of the source electrode. The thin film transistor comprises a substrate, a gate electrode, a source electrode, at least two drain electrodes, a semiconductor layer, a gate electrode protection layer located between the gate electrode and the semiconductor layer and an etch stopping layer located between the semiconductor layer and the source electrode with the drain electrode, wherein the source electrode and the drain electrodes are respectively connected with the semiconductor layer by a via hole. | 04-17-2014 |
20140103346 | SEMICONDUCTOR DEVICE - A semiconductor device includes a transistor which includes a gate electrode, a gate insulating film in contact with the gate electrode, and a stacked-layer oxide film facing the gate electrode with the gate insulating film provided therebetween. In the semiconductor device, the stacked-layer oxide film includes at least a plurality of oxide films, at least one of the plurality of oxide films includes a channel formation region, a channel length of the transistor is greater than or equal to 5 nm and less than 60 nm, and a thickness of the gate insulating film is larger than a thickness of the oxide film including the channel formation region. | 04-17-2014 |
20140110714 | HIGH MOBILITY COMPOUND SEMICONDUCTOR MATERIAL USING MULTIPLE ANIONS - The present invention generally relates to an amorphous semiconductor material and TFTs containing the material. The semiconductor material contains a single cation, such as zinc, and multiple anions. For the multiple anions, only one of the anions can be oxygen or nitrogen. The anions compete with each other to twist the resulting structure. For example, if one of the anions bonded with the cation would result in a cubic structure, and another of the anions bonded with the cation would result in a hexagonal structure, the competing anions would twist the resulting structure so that the structure remains amorphous rather than crystalline. Further, because a single cation is utilized, there is no grain boundary and thus, the material has a high mobility. | 04-24-2014 |
20140117358 | ELECTRO-OPTICAL DEVICE, SHIFT REGISTER CIRCUIT, AND SEMICONDUCTOR DEVICE - An electro-optical device is configured to be capable of using a region of a gate line drive circuit efficiently and preventing rising speed of a gate line selection signal from decreasing (rising delay), and a shift register circuit is composed of a single conductivity type transistor which is suitable for the device. The gate line drive circuit including an odd driver to drive odd rows of a plurality of gate lines, and an even driver to drive even rows thereof. Each unit shift register in the odd and even drivers receives a selection signal in the second previous row and activates its own selection signal two horizontal periods later. A start pulse of the even driver is delayed in phase by one horizontal period with respect to a start pulse of the odd driver. | 05-01-2014 |
20140124783 | THIN-FILM TRANSISTOR AND METHOD FOR MANUFACTURING THIN-FILM TRANSISTOR - A method for manufacturing a thin-film transistor includes: preparing a substrate; forming a gate electrode above the substrate; forming a gate insulating layer above the gate electrode; forming a semiconductor film above the gate insulating layer; forming, above the semiconductor film, a protective layer comprising an organic material; forming a source electrode and a drain electrode which are opposed to each other and each of which has at least a portion located above the protective layer; forming a semiconductor layer patterned, by performing dry etching on the semiconductor film; and performing, in a hydrogen atmosphere, plasma treatment on an altered layer which (i) is a surface layer of the protective layer exposed from the source electrode and the drain electrode and altered by the dry etching, and (ii) has at least a portion contacting a surface of the semiconductor layer. | 05-08-2014 |
20140131712 | METHOD FOR MANUFACTURING THIN FILM TRANSISTOR, AND THIN FILM TRANSISTOR THEREOF - The present invention relates to the field of liquid crystal display, and provides a method for manufacturing a TFT and the TFT thereof. The TFT comprises: a substrate; a gate electrode with a three-dimensional structure formed on the substrate; a gate insulating layer for covering the gate electrode; a semiconductor layer formed on the gate insulating layer; a buffer layer formed on the semiconductor layer; and source and drain electrodes formed on the buffer layer, wherein the semiconductor layer of the TFT is of a three-dimensional structure. According to the present invention, it is able to reduce the driving voltage, the power consumption of the driving circuit and the area occupied by the TFT, and to increase the light transmission rate. | 05-15-2014 |
20140138690 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device according to an embodiment includes: first and second semiconductor regions each having a protruded shape provided on a substrate, the first semiconductor region including a first source, a first drain, and a first channel provided between the first source and the first drain and extending in a first direction from the first source to the first drain, the first channel having a first width in a second direction perpendicular to the first direction, and the second semiconductor region including a second source, a second drain, and a second channel provided between the second source and the second drain and extending in a third direction from the second source to the second drain, the second channel having a second width in a fourth direction perpendicular to the third direction that is wider than the first width of the first channel. | 05-22-2014 |
20140167047 | METAL OXIDE TFT WITH IMPROVED TEMPERATURE STABILITY - A metal oxide thin film transistor includes a metal oxide semiconductor channel with the metal oxide semiconductor having a conduction band with a first energy level. The transistor further includes a layer of passivation material covering at least a portion of the metal oxide semiconductor channel. The passivation material has a conduction band with a second energy level equal to, or less than 0.5 eV above the first energy level. | 06-19-2014 |
20140167048 | VERTICAL THIN FILM TRANSISTOR AND FABRICATING METHOD THEREOF - A vertical thin film transistor includes a substrate, a first wall, a second wall, a source electrode, a drain electrode, a semiconductor layer, a gate insulating layer, and a gate electrode. The first wall and the second walls are spaced apart from each other on the substrate. The source electrode is formed on a top surface of the first wall. The drain electrode is provided on the substrate between the first and second walls. The semiconductor layer is formed on the source electrode, a sidewall of the first wall, and the drain electrode. The gate insulating layer covers the first and second walls, the source and drain electrodes, and the semiconductor layer. The gate electrode is disposed between the first and second walls in a planar view. The vertical thin film transistor may be formed without a mask. | 06-19-2014 |
20140167049 | METHOD OF MANUFACTURING SUBSTRATE HAVING THIN FILM THEREABOVE, METHOD OF MANUFACTURING THIN-FILM-DEVICE SUBSTRATE, THIN-FILM SUBSTRATE, AND THIN-FILM-DEVICE SUBSTRATE - A method of manufacturing a substrate having a thin film thereabove includes: forming a thin film above the substrate; and crystallizing at least a predetermined area of the silicon thin film into a crystallized area through relative scan of the silicon thin film which is performed while the thin film is being irradiated with a continuous wave light beam, wherein in the crystallizing, a projection of the light beam on the thin film has a major axis in a direction crossing a direction of the relative scan, and the formed crystallized area includes a strip-shaped first area extending in the direction crossing the direction of the relative scan and a second area adjacent to the strip-shaped first area, the strip-shaped first area including crystal grains having an average grain size larger than that of crystal grains in the second area. | 06-19-2014 |
20140191237 | CRYSTALLINE THIN-FILM TRANSISTOR - A method for forming a thin film transistor includes joining a crystalline substrate to an insulating substrate. A doped layer is deposited on the crystalline substrate, and the doped layer is patterned to form source and drain regions. The crystalline substrate is patterned to form an active area such that a conductive channel is formed in the crystalline substrate between the source and drain regions. A gate stack is formed between the source and drain regions, and contacts are formed to the source and drain regions and the gate stack through a passivation layer. | 07-10-2014 |
20140197410 | Semiconductor Structure and Method for Manufacturing the Same - The present invention provides a method for manufacturing a semiconductor structure. The method comprises: providing an SOI substrate and forming a gate structure on said SOI substrate; etching a SOI layer and a BOX layer of the SOI substrate on both sides of the gate structure to form a trench exposing the BOX layer, said trench partially entering into the BOX layer; forming a stressed layer that fills up a part of said trench; forming a semiconductor layer covering the stressed layer in the trench. Correspondingly, the present invention also provides a semiconductor structure formed by the above method. In the semiconductor structure and the method for manufacturing the same according to the present invention, a trench is formed on an ultrathin SOI substrate, first filled with a stressed layer, and then filled with a semiconductor material to be ready for forming a source/drain region. The stressed layer provides a favorable stress to the channel of the semiconductor device, thus facilitating improving the performance of the semiconductor device. | 07-17-2014 |
20140197411 | METHOD OF FORMING STEP DOPING CHANNEL PROFILE FOR SUPER STEEP RETROGRADE WELL FIELD EFFECT TRANSISTOR AND RESULTING DEVICE - A methodology enabling the formation of steep channel profiles for devices, such as SSRW FETs, having a resultant channel profiles that enables suppression of threshold voltage variation and the resulting device are disclosed. Embodiments include providing STI regions in a silicon wafer; performing a deep well implantation of a dopant into the silicon wafer between STI regions; forming a recess in the doped silicon wafer between the STI regions; performing a shallow well implantation of the dopant into the silicon wafer in the recess; and forming Si:C on the doped silicon wafer in the recess. | 07-17-2014 |
20140197412 | CIRCUIT BOARD AND DISPLAY DEVICE - A source and drain electrode layer ( | 07-17-2014 |
20140217409 | THIN FILM TRANSISTOR - A thin film transistor comprises a semiconductor layer; first and second dielectric layers disposed on opposite sides of the semiconductor layer; a first metal layer forming first and second terminals on the opposite side of the first dielectric layer from the semiconductor layer, one of said first and second terminals extending through said first dielectric layer into contact with the semiconductor layer, the first and second terminals and the first dielectric layer forming a capacitor; and a second metal layer forming a third terminal on the opposite side of the second dielectric layer from the semiconductor layer. The first and second terminals may be source and drain terminals, and the third terminal may be a gate terminal. The first metal layer may be divided to form the first and second terminals. The third terminal may be shared with one of the first and second terminals. | 08-07-2014 |
20140239302 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to realize a hermetically sealed package which ensures long-term airtightness inside the package by sealing using a substrate, or a sealing structure for reducing destruction caused by pressure from the outside. A frame of a semiconductor material is provided over a first substrate, which is bonded to a second substrate having a semiconductor element so that the semiconductor element is located inside the frame between the first substrate and the second substrate. The frame may be formed using, as frame members, two L-shaped semiconductor members in combination or four or more stick semiconductor members in combination. | 08-28-2014 |
20140264347 | TRANSISTOR WITH EMBEDDED STRAIN-INDUCING MATERIAL FORMED IN CAVITIES BASED ON AN AMORPHIZATION PROCESS AND A HEAT TREATMENT - When forming cavities in active regions of semiconductor devices in order to incorporate a strain-inducing semiconductor material, an improved shape of the cavities may be achieved by using an amorphization process and a heat treatment so as to selectively modify the etch behavior of exposed portions of the active regions and to adjust the shape of the amorphous regions. In this manner, the basic configuration of the cavities may be adjusted with a high degree of flexibility. Consequently, the efficiency of the strain-inducing technique may be improved. | 09-18-2014 |
20140264348 | Asymmetric Cyclic Desposition Etch Epitaxy - The present disclosure relates to a method of forming an epitaxial layer through asymmetric cyclic deposition etch (CDE) epitaxy. An initial layer growth rate of one or more cycles of the CDE process are designed to enhance a crystalline quality of the epitaxial layer. A growth rate of the epitaxial material may be altered by adjusting a flow rate of one or more silicon-containing precursors within a processing chamber wherein the epitaxial growth takes place. An etch rate may also be altered by adjusting a temperature or partial pressure of one or more vapor etchants, or the temperature within the processing chamber. In some embodiments, an initial layer thickness that is greater than a critical thickness of the epitaxial material for strain relaxation is achieved with a low growth rate, followed by a high growth rate for the remainder of epitaxial growth. Other methods are also disclosed. | 09-18-2014 |
20140264349 | LOW THERMAL BUDGET SCHEMES IN SEMICONDUCTOR DEVICE FABRICATION - In aspects of the present invention, a method of forming a semiconductor device is disclosed, wherein amorphous regions are formed at an early stage during fabrication and the amorphous regions are conserved during subsequent processing sequences, and an intermediate semiconductor device structure with amorphous regions are provided at an early stage during fabrication. Herein a gate structure is provided over a semiconductor substrate and amorphous regions are formed adjacent the gate structure. Source/drain extension regions or source/drain regions are formed in the amorphous regions. In some illustrative embodiments, fluorine may be implanted into the amorphous regions. After the source/drain extension regions and/or the source/drain regions are formed, a rapid thermal anneal process is performed. | 09-18-2014 |
20140264350 | CRYSTALLIZATION METHOD OF THIN FILM TRANSISTOR, THIN FILM TRANSISTOR ARRAY PANEL AND MANUFACTURING METHOD FOR THIN FILM TRANSISTOR ARRAY PANEL - Exemplary embodiments of the invention disclose a method of manufacturing a thin film transistor array panel having reduced overall processing time and providing a uniform crystallization. Exemplary embodiments of the invention also disclose a crystallization method of a thin film transistor, including forming on a substrate a semiconductor layer including a first pixel area, a second pixel area, and a third pixel area. The crystallization method includes crystallizing a portion of the semiconductor layer corresponding to a channel region of a thin film transistor using a micro lens array. | 09-18-2014 |
20140299880 | LAYER FORMATION WITH REDUCED CHANNEL LOSS - Insulating layers can be formed over a semiconductor device region and etched in a manner that substantially reduces or prevents the amount of etching of the underlying channel region. A first insulating layer can be formed over a gate region and a semiconductor device region. A second insulating layer can be formed over the first insulating layer. A third insulating layer can be formed over the second insulating layer. A portion of the third insulating layer can be etched using a first etching process. A portion of the first and second insulating layers beneath the etched portion of the third insulating layer can be etched using at least a second etching process different from the first etching process. | 10-09-2014 |
20140306222 | PIXEL STRUCTURE - A pixel structure includes a first conductive layer, a stacked layer, and a third conductive layer. The first conductive layer includes a first gate, a first scan line connected to the first gate, and a capacitor electrode separated from the first scan line. The stacked layer includes a semiconductor layer and a second conductive layer. The second conductive layer includes a data line, a first source connected to the data line, a second source, a first drain, a second drain, a connecting electrode connected to the second source and electrically connected to the first drain, and a coupling electrode connected to the second drain. The third conductive layer includes a first pixel electrode connected to the first drain, a second pixel electrode electrically connected to the connecting electrode, a first extending portion, and a second extending portion. | 10-16-2014 |
20150021607 | THIN FILM TRANSISTOR SUBSTRATE, METHOD OF MANUFACTURING THE SAME, AND ORGANIC LIGHT EMITTING DIODE DISPLAY USING THE SAME - A thin film transistor substrate includes: a polymer substrate, an oxide transparent electrode layer (TCO) formed on the polymer substrate, a barrier layer formed on the oxide transparent electrode layer, and a semiconductor layer formed on the barrier layer, in which the semiconductor layer is polysilicon. The polysilicon thin film transistor provides an oxide transparent electrode layer (TCO) which absorbs heat energy and light generated during a process of manufacturing the polysilicon thin film transistor to prevent a damage of the substrate using a polymer material. | 01-22-2015 |
20150041812 | INTEGRATION OF DENSE AND VARIABLE PITCH FIN STRUCTURES - Semiconductor devices include a first set of fins having a uniform fin pitch that is less than half a minimum fin pitch for an associated lithography process; and a second set of fins having a variable fin pitch that is less the minimum fin pitch for the associated lithography process but greater than half the minimum fin pitch for the associated lithography process. | 02-12-2015 |
20150060856 | BEOL COMPATIBLE FET STRUCTURE - This invention provides structures and a fabrication process for incorporating thin film transistors in back end of the line (BEOL) interconnect structures. The structures and fabrication processes described are compatible with processing requirements for the BEOL interconnect structures. The structures and fabrication processes utilize existing processing steps and materials already incorporated in interconnect wiring levels in order to reduce added cost associated with incorporating thin film transistors in the these levels. The structures enable vertical (3D) integration of multiple levels with improved manufacturability and reliability as compared to prior art methods of 3D integration. | 03-05-2015 |
20150084053 | COMPLEMENTARY METAL OXIDE SEMICONDUCTOR (CMOS) ULTRASONIC TRANSDUCERS AND METHODS FOR FORMING THE SAME - Complementary metal oxide semiconductor (CMOS) ultrasonic transducers (CUTs) and methods for forming CUTs are described. The CUTs may include monolithically integrated ultrasonic transducers and integrated circuits for operating in connection with the transducers. The CUTs may be used in ultrasound devices such as ultrasound imaging devices and/or high intensity focused ultrasound (HIFU) devices. | 03-26-2015 |
20150097188 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device includes an oxide semiconductor layer including a crystalline region over an insulating surface, a source electrode layer and a drain electrode layer in contact with the oxide semiconductor layer, a gate insulating layer covering the oxide semiconductor layer, the source electrode layer, and the drain electrode layer, and a gate electrode layer over the gate insulating layer in a region overlapping with the crystalline region. The crystalline region includes a crystal whose c-axis is aligned in a direction substantially perpendicular to a surface of the oxide semiconductor layer. | 04-09-2015 |
20150318309 | THIN FILM TRANSISTOR, METHOD FOR MANUFACTURING THE SAME, AND DEVICE COMPRISING THE SAME - A thin film transistor is provided. An active layer ( | 11-05-2015 |
20150349000 | FABRICATION OF TRANSISTOR WITH HIGH DENSITY STORAGE CAPACITOR - This disclosure provides apparatuses and methods for fabricating TFTs and storage capacitors on a substrate. In one aspect, an apparatus includes a TFT and a storage capacitor, where the TFT includes a first metal layer, a second metal layer, and a semiconductor layer, where the semiconductor layer is protected by a first etch stop layer and a second etch stop layer. The storage capacitor includes the second etch stop layer as a dielectric between the first metal layer and the second metal layer. In another aspect, an apparatus includes a TFT and a storage capacitor, where the TFT includes a first metal layer, a dielectric layer, and a semiconductor layer, where the semiconductor layer is protected by an etch stop layer. The storage capacitor includes the dielectric layer as a dielectric between the first metal layer and the semiconductor layer. | 12-03-2015 |
20150364563 | METAL GATE STACK STRUCTURE AND MANUFACTURING METHOD - A gate electrode and method for manufacturing the same includes an amorphous gate metal layer. The amorphous gate metal layer includes an amorphous metal alloy material layer having at least two metallic elements of an amorphous material or an amorphous metal compound material layer having at least one metallic element and at least one non-metallic element selected from the IIIA group, the IVA group, and the VA group of the Periodic Table. The atoms are arranged evenly in the amorphous gate metal layer, there is no noticeable grains and grain boundaries, so that no defects will be generated through a carrier recombination, and the carrier mobility is increased and the carrier can be uniformly distributed. | 12-17-2015 |
20160172386 | COPPER-ALLOY BARRIER LAYERS FOR METALLIZATION IN THIN-FILM TRANSISTORS AND FLAT PANEL DISPLAYS | 06-16-2016 |
20160190342 | ACTIVE ELEMENT AND FABRICATING METHOD THEREOF - An active element and a fabricating method thereof are provided. The active element includes a gate, a gate insulating layer, a channel, a source and a drain. The gate is disposed on a substrate. The gate insulating layer is disposed on the substrate and covers the gate. The gate insulating layer is divided into a first region having uniform thickness and a second region having uniform thickness. The thickness of the gate insulating layer in the first region is different from the thickness of the gate insulating layer in the second region. The channel is disposed on the gate insulating layer. The source and the drain are disposed on the gate insulating layer and separated from each other. The distribution region of the source and the drain is identical to the distribution region of the first region. The channel contacts with the source and the drain. | 06-30-2016 |
20160197076 | FIELD-EFFECT TRANSISTOR AND SEMICONDUCTOR DEVICE | 07-07-2016 |