Entries |
Document | Title | Date |
20080206897 | Selective Depth Optical Processing - Methods for processing semiconductor materials and substrates with a focused or collimated light beam. Light may be directed on a sample to alter material properties at a depth below the surface. The focused light beam has a peak power density positioned at a selected depth, and absorption of light energy, resulting from selection of wavelength and optical characteristics of the substrate as a function of depth, results in process effects taking place over a preferred limited range of depth. For example, process effects such as curing, annealing, implant activation, selective melting, deposition and chemical reaction may be achieved at dimensions limited by the light beam density in the vicinity of the focused beam spot. The wavelength may be selected to be appropriate for the process effect chosen. The beam may be scanned over the substrate to selectively provide processing effects. | 08-28-2008 |
20080206898 | Pattern Monitor Mark and Monitoring Method Suitable for Micropattern - A method of forming a monitor mark includes forming an insulating film on a semiconductor substrate, and forming a first repetitive line pattern group and a second repetitive line pattern group by patterning the insulating film on the semiconductor substrate, such that the first repetitive line pattern group and the second repetitive line pattern group face each other with a predetermined space therebetween. | 08-28-2008 |
20080206899 | Method of manufacturing semiconductor device using electrochemical deposition with electric current revised by reflectance of every substrate surface and semiconductor manufacturing apparatus - A method of manufacturing a semiconductor device includes measuring the reflectance at the surface of a semiconductor substrate provided with concave portions and deciding a deposition parameter that represents a deposition condition corresponding to the measured reflectance. Then, a metal film is formed on the semiconductor substrate under a condition corresponding to the deposition parameter. | 08-28-2008 |
20080213925 | PHOTOMETRICALLY MODULATED DELIVERY OF REAGENTS - A process system adapted for processing of or with a material therein. The process system includes: a sampling region for the material; an infrared photometric monitor constructed and arranged to transmit infrared radiation through the sampling region and to responsively generate an output signal correlative of the material in the sampling region, based on its interaction with the infrared radiation; and process control means arranged to receive the output of the infrared photometric monitor and to responsively control one or more process conditions in and/or affecting the process system. | 09-04-2008 |
20080233661 | Methods and Systems For Lithography Alignment - Methods and systems for lithographically exposing a substrate based on a curvature profile of the substrate. | 09-25-2008 |
20080241972 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE, PATTERN CORRECTION APPARATUS, AND COMPUTER-READABLE RECORDING MEDIUM - A method of manufacturing a semiconductor device includes measuring a first width of a first mask pattern formed in a photomask and a second width of a second mask pattern formed in the photomask, and deciding a temperature of heat treatment of a thickening material over a resist film based on measured results. | 10-02-2008 |
20080254553 | In Situ, Ex Situ and Inline Process Monitoring, Optimization and Fabrication - Methods and systems for in situ process control, monitoring, optimization and fabrication of devices and components on semiconductor and related material substrates includes a light illumination system and electrical probe circuitry. The light illumination system may include a light source and detectors to measure optical properties of the in situ substrate while the electrical probe circuitry causes one or more process steps due to applied levels of voltage or current signals. The electrical probe circuitry may measure changes in electrical properties of the substrate due to the light illumination, the applied voltages and/or currents or other processes. The in situ process may be controlled on the basis of the optical and electrical measurements. | 10-16-2008 |
20080254554 | METHOD FOR PRODUCING OPTICAL COUPLING ELEMENT - A method for producing an optical coupling element of the present invention includes the steps of: determining the mounting position of a light-emitting element and a light-receiving element on the front surface of the header portion of each lead frame based on the current amplification factor of the light-receiving element to be mounted; determining the bending angle of each header portion and a distance between the two elements after being bent by calculation such that a predetermined current transfer ratio and an internal insulation distance required by the optical coupling element to be produced are obtained; detecting the determined mounting position by detecting intersections of V-shaped grooves in a grid pattern formed on the front surface of each header portion; mounting each element onto the detected position of the front surface of each header portion while detecting the concave-convex shape; and bending each header portion after mounting each element at the bending angle determined by calculation. | 10-16-2008 |
20080261334 | Method of Processing Semiconductor Wafers - A method of processing semiconductor waters comprises forming a pattern of recesses in an exposed surface of each water in a lot, prior to an epitaxy step. At least one recessed test structure is included in the pattern of recesses. At least one dimension of the recessed test structure is determined prior to the epitaxy step, then a corresponding dimension of an epitaxial structure grown above the recessed test structure in the epitaxy step is measured. A deviation between the dimension of the recessed test structure and the dimension of the epitaxial structure is determined and, from the deviation, the process temperature at which the epitaxy step was performed is determined. In case the deviation exceeds a predetermined limit, the temperature in the process chamber is adjusted for a subsequent lot of waters to be processed. | 10-23-2008 |
20080286885 | METHODS AND SYSTEMS FOR CREATING OR PERFORMING A DYNAMIC SAMPLING SCHEME FOR A PROCESS DURING WHICH MEASUREMENTS ARE PERFORMED ON WAFERS - Various methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers are provided. One method for creating a dynamic sampling scheme for a process during which measurements are performed on wafers includes performing the measurements on all of the wafers in at least one lot at all measurement spots on the wafers. The method also includes determining an optimal sampling scheme, an enhanced sampling scheme, a reduced sampling scheme, and thresholds for the dynamic sampling scheme for the process based on results of the measurements. The thresholds correspond to values of the measurements at which the optimal sampling scheme, the enhanced sampling scheme, and the reduced sampling scheme are to be used for the process. | 11-20-2008 |
20080293166 | LASER PROCESSING OF LIGHT REFLECTIVE MULTILAYER TARGET STRUCTURE - A solution to an interference effect problem associated with laser processing of target structures entails adjusting laser pulse energy or other laser beam parameter, such as laser pulse temporal shape, based on light reflection information of the target structure and passivation layers stacked across a wafer surface or among multiple wafers in a group of wafers. Laser beam reflection measurements on a target link measurement structure and in a neighboring passivation layer area unoccupied by a link enable calculation of the laser pulse energy adjustment for a more consistent processing result without causing damage to the wafer. For thin film trimming on a wafer, similar reflection measurement information of the laser beam incident on the thin film structure and the passivation layer structure with no thin film present can also deliver the needed information for laser parameter selection to ensure better processing quality. | 11-27-2008 |
20080305561 | Methods of controlling film deposition using atomic layer deposition - Methods of manufacturing semiconductor devices and structures thereof are disclosed. A preferred embodiment comprises a method of forming a material layer. The method includes providing a semiconductor wafer, forming a first portion of a material layer over the semiconductor wafer at a first pressure, and forming a second portion of the material layer over the first portion of the material layer at a second pressure, the second pressure being less than the first pressure. | 12-11-2008 |
20080305562 | Passive alignment of photodiode active area in three axes using microscopic focus - A fixturing system and microscope/video camera setup enables an operator to manipulate a photodiode into position optically using known good targets for the X and Y location and using microscope focus/defocus/refocus for locating the active area of the avalanche photodiode exactly at the focal point of the lens. | 12-11-2008 |
20080311686 | Method of Forming Semiconductor Layers on Handle Substrates - A method of making a semiconductor thin film bonded to a handle substrate includes implanting a semiconductor substrate with a light ion species while cooling the semiconductor substrate, bonding the implanted semiconductor substrate to the handle substrate to form a bonded structure, and annealing the bonded structure, such that the semiconductor thin film is transferred from the semiconductor substrate to the handle substrate. | 12-18-2008 |
20080318345 | PLASMA ION IMPLANTATION PROCESS CONTROL USING REFLECTOMETRY - An approach that determines an ion implantation processing characteristic in a plasma ion implantation of a substrate is described. In one embodiment, there is a light source configured to direct radiation onto the substrate. A detector is configured to measure radiation reflected from the substrate. A processor is configured to correlate the measured radiation reflected from the substrate to an ion implantation processing characteristic. | 12-25-2008 |
20080318346 | MANUFACTURING METHOD FOR SEMICONDUCTOR INTEGRATED DEVICE - In a chip pick-up process after dicing in an assembly process during manufacture of a semiconductor integrated circuit device it is an important subject to diminish a pick-up defect caused by the reduction in thickness of each chip which is proceeding in quick tempo. Particularly, bending of the chip peripheral portion caused by a peeling operation is very likely to induce cracking and chipping of the chip. In the present invention, to solve these problems, in case of peeling a chip from a dicing tape (adhesive tape) or the like while vacuum-chucking the chip by a chucking collet, the flow rate of a vacuum chucking system in the chucking collet is monitored to check a bent state of the chip before complete separation of the first chip from the adhesive tape. | 12-25-2008 |
20090004763 | LASER CRYSTALLIZATION METHOD AND CRYSTALLIZATION APPARATUS - The present invention discloses a laser crystallization method and crystallization apparatus using a high-accuracy substrate height control mechanism. There is provided a laser crystallization method includes obtaining a first pulse laser beam having an inverse-peak-pattern light intensity distribution formed by a phase shifter, and irradiating a thin film disposed on a substrate with the first pulse laser beam, thereby melting and crystallizing the thin film, the method includes selecting a desired one of reflected light components of a second laser beam by using a polarizing element disposed on an optical path of the second laser beam when illuminating, with the second laser beam, an first pulse laser beam irradiation position of the thin film, correcting a height of the substrate to a predetermined height by detecting the selected reflected light component, and irradiating the first pulse laser beam to the thin film having the corrected height. | 01-01-2009 |
20090011524 | Method for determining suitability of a resist in semiconductor wafer fabrication - In one disclosed embodiment, the present method for determining resist suitability for semiconductor wafer fabrication comprises forming a layer of resist over a semiconductor wafer, exposing the layer of resist to patterned radiation, and determining resist suitability by using a scatterometry process prior to developing a lithographic pattern on the layer of resist. In one embodiment, the semiconductor wafer is heated in a post exposure bake process after scatterometry is performed. In one embodiment, the patterned radiation is provided by an extreme ultraviolet (EUV) light source in a lithographic process. In other embodiments, patterned radiation is provided by an electron beam, or ion beam, for example. In one embodiment, the present method determines out-gassing of a layer of resist during exposure to patterned radiation. | 01-08-2009 |
20090011525 | METHOD FOR JOINING ADHESIVE TAPE TO SEMICONDUCTOR WAFER AND METHOD FOR SEPARATING PROTECTIVE TAPE FROM SEMICONDUCTOR WAFER - An arithmetic processing part in a controller detects a position of a defect such as a chip or a crack that occurs at an outer periphery of a semiconductor wafer, and then a memory in the controller stores position information of the defect. The controller reads the position information of the defect through a network in each process. On the basis of this position information, the controller determines a direction of joining a dicing tape to the semiconductor wafer or a direction of separating a protective tape from a front face of the semiconductor wafer. | 01-08-2009 |
20090023229 | METHOD FOR MANAGING UV IRRADIATION FOR CURING SEMICONDUCTOR SUBSTRATE - A method for managing UV irradiation for curing a semiconductor substrate, includes: passing UV light through a transmission glass window provided in a chamber for curing a semiconductor substrate placed in the chamber; monitoring an illuminance upstream of the transmission glass window and an illuminance downstream of the transmission glass window; determining a timing and/or duration of cleaning of the transmission glass window, a timing of replacing the transmission glass window, a timing of replacing a UV lamp, and/or an output of the UV light based on the monitored illuminances. | 01-22-2009 |
20090035878 | Plasma Doping Method and Apparatus - There are provided a plasma doping method and apparatus which is excellent in a repeatability and a controllability of an implanting depth of an impurity to be introduced into a sample or a depth of an amorphous layer. | 02-05-2009 |
20090035879 | LASER DICING DEVICE AND LASER DICING METHOD - An object is to provide a laser dicing apparatus and a laser dicing method capable of speedily performing high-quality dicing without causing any working defect even in a case where wafers varying in thickness are supplied. The laser dicing apparatus is provided with a measuring device which measures thickness of a wafer W, a recording device which stores a database in which modified region forming conditions associated with different thicknesses of the wafer W are described, and a control device which controls the laser dicing apparatus by automatically selecting, from the database, on the basis of the thickness of the wafer measured by the measuring device, the modified region forming conditions corresponding to the measured thickness of the wafer W. The optimum modified region forming conditions are thereby automatically set, so that even in a case where wafers W differing in thickness are supplied, high-quality dicing can be speedily performed without causing a working defect. | 02-05-2009 |
20090035880 | Maunfacturing method for exposure mask, generating method for mask substrate information, mask substrate, exposure mask, manufacturing method for semiconductor device and server - There is disclosed a manufacturing method for exposure mask, which comprises acquiring a first information showing surface shape of surface of each of a plurality of mask substrates, and a second information showing the flatness of the surface of each of mask substrates before and after chucked on a mask stage of an exposure apparatus, forming a corresponding relation of each mask substrate, the first information and the second information, selecting the second information showing a desired flatness among the second information of the corresponding relation, and preparing another mask substrate having the same surface shape as the surface shape indicated by the first information in the corresponding relation with the selected second information, and forming a desired pattern on the above-mentioned another mask substrate. | 02-05-2009 |
20090053834 | USE OF SCATTEROMETRY FOR IN-LINE DETECTION OF POLY-SI STRINGS LEFT IN STI DIVOT AFTER GATE ETCH - One embodiment of the present invention relates to a method of forming an integrated circuit, comprising forming an STI structure in a semiconductor body, the STI structure having a divot characteristic, performing scatterometry on the STI structure and obtaining signature spectra associated therewith, and continuing fabrication of the integrated circuit when the obtained signature spectra satisfies a predetermined performance specification. | 02-26-2009 |
20090075402 | Manipulation of focused heating source based on in situ optical measurements - A method, system or the like which may, for example, be exploited as part of known methods, systems and/or apparatii which manipulate (i.e. tune, modify, change, create, etc.) the impedance of (integrated) semiconductor components or devices by exploiting a focused heating source. The method, system or the like exploits in situ optical measurements for the modification of the energy output of a focused heating source, such as for example of a (pulsed) laser heat source. The energy input to the focused heating source may be manipulated as a function of an optical measurement so as to obtain a desired or necessary energy output (e.g. target energy output) from the focused heating source. | 03-19-2009 |
20090075403 | METHOD AND APPARATUS FOR CHEMICAL MONITORING - The present invention relates to monitoring chemicals in a process chamber using a spectrometer having a plasma generator, based on patterns over time of chemical consumption. The relevant patterns may include a change in consumption, reaching a consumption plateau, absence of consumption, or presence of consumption. In some embodiments, advancing to a next step in forming structures on the workpiece depends on the pattern of consumption meeting a process criteria. In other embodiments, a processing time standard is established, based on analysis of the relevant patterns. Yet other embodiments relate to controlling work on a workpiece, based on analysis of the relevant patterns. The invention may be either a process or a device including logic and resources to carry out a process. | 03-19-2009 |
20090081813 | Method and Apparatus for Measurement and Control of Photomask to Substrate Alignment - A method, structure, system of aligning a substrate to a photomask. The method comprising: directing light through a clear region of the photomask in a photolithography tool, through a lens of the tool and onto a set of at least three diffraction mirror arrays on the substrate, each diffraction mirror array of the set of at least three diffraction mirror arrays comprising a single row of mirrors, all mirrors in any particular diffraction mirror array spaced apart a same distance, mirrors in different diffraction mirror arrays spaced apart different distances; measuring an intensity of light diffracted from the set of at least three diffraction mirror arrays onto an array of photo detectors; and adjusting a temperature of the photomask or photomask and lens based on the measured intensity of light. | 03-26-2009 |
20090081814 | INTEGRATED MANUFACTURING SYSTEM WITH TRANSISTOR DRIVE CURRENT CONTROL - An integrated manufacturing system comprising: providing a substrate; forming a gate over the substrate; measuring a gate length of the gate; forming a first spacer adjacent the gate; measuring a spacer critical dimension of the spacer; and adjusting a dose of an implant based on the gate length and the spacer critical dimension for a source/drain region. | 03-26-2009 |
20090087928 | COPPER CONTAMINATION DETECTION METHOD AND SYSTEM FOR MONITORING COPPER CONTAMINATION - A method of monitoring copper contamination. The method includes method, comprising: (a) ion-implanting an N-type dopant into a region of single-crystal silicon substrate, the region abutting a top surface of the substrate; (c) activating the N-type dopant by annealing the substrate at a temperature of 500° C. or higher in an inert atmosphere; (c) submerging, for a present duration of time, the substrate into an aqueous solution, the aqueous solution to be monitored for copper contamination; and (d) determining an amount of copper adsorbed from the aqueous solution by the region of the substrate. | 04-02-2009 |
20090093071 | THERMAL TREATMENT APPARATUS, THERMAL TREATMENT METHOD AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A thermal treatment apparatus having a first light source emitting a first light having light diffusion property, a reflectance measuring unit irradiating a treatment target with the light from plural directions by the first light source and determining a light reflectance of the treatment target, a light irradiation controller adjusting an intensity of a second light of a second light source on the basis of the light reflectance, the second light has diffusion property, and a thermal treatment unit irradiating the treatment target with the second light having adjusted the intensity of the second light by the light irradiation controller. | 04-09-2009 |
20090098665 | METHODOLOGY OF IMPLEMENTING ULTRA HIGH TEMPERATURE (UHT) ANNEAL IN FABRICATING DEVICES THAT CONTAIN SIGE - Exemplary embodiments provide methods for implementing an ultra-high temperature (UHT) anneal on silicon germanium (SiGe) semiconductor materials by co-implanting carbon into the SiGe material prior to the UHT anneal. Specifically, the carbon implantation can be employed to increase the melting point of the SiGe material such that an ultra high temperature can be used for the subsequent anneal process. Wafer warpage can then be reduced during the UHT anneal process and potential lithographic mis-alignment for subsequent processes can be reduced. Exemplary embodiments further provide an inline control method, wherein the wafer warpage can be measured to determine the litho-mis-alignment and thus to control the fabrication process. In various embodiments, the disclosed methods can be employed for the fabrication of source/drain extension regions and/or source/drain regions of transistor devices, and/or for the fabrication of base regions of bipolar transistors. | 04-16-2009 |
20090104719 | Plasma Doping System with In-Situ Chamber Condition Monitoring - A method of in-situ monitoring of a plasma doping process includes generating a plasma comprising dopant ions in a chamber proximate to a platen supporting a substrate. A platen is biased with a bias voltage waveform having a negative potential that attracts ions in the plasma to the substrate for plasma doping. A dose of ions attracted to the substrate is measured. At least one sensor measurement is performed to determine the condition of the plasma chamber. In addition, at least one plasma process parameter is modified in response to the measured dose and in response to the at least one sensor measurement. | 04-23-2009 |
20090104720 | Photoresist Coating Apparatus Having Nozzle Monitoring Unit and Method for Supplying Photoresist Using the Same - Provided are a photoresist coating apparatus and a method of coating photoresist using the same. The apparatus includes a photoresist supply line through which photoresist is supplied. A fluid control valve is connected to the photoresist supply line to control the flow of the photoresist. A nozzle assembly is connected to the photoresist supply line at a rear end of the fluid control valve. The nozzle assembly includes a nozzle located above the center of a semiconductor wafer loaded in a photoresist coating unit to spray the photoresist. A camera is located outside the photoresist coating unit to monitor the shape or spraying amount of the nozzle located at the tip of the nozzle assembly. A controller converts data monitored by the camera into an electric signal and processes the electric signal. | 04-23-2009 |
20090117672 | Light emitting devices with phosphor wavelength conversion and methods of fabrication thereof - A method of fabricating a light emitting device having a specific target color, CIE xy, of emitted light is described. The device comprises a light emitting diode that is operable to emit light of a first wavelength range and at least one phosphor material which converts at least a part of the light into light of a second wavelength range wherein light emitted by the device comprises the combined light of the first and second wavelength ranges. The method comprises: depositing a pre-selected quantity of the at least one phosphor material on a light emitting surface of the light emitting diode; operating the light emitting diode; measuring the color of light emitted by the device; comparing the measured color with the specific target color; and depositing and/or removing phosphor material to attain the desired target color. | 05-07-2009 |
20090148964 | METHOD FOR FORMING DIELECTRIC SiOCH FILM HAVING CHEMICAL STABILITY - A method for determining conditions for forming a dielectric SiOCH film, includes: (i) forming a dielectric SiOCH film on a substrate under conditions; (ii) evaluating the conditions using a ratio of Si—CH3 bonding strength to Si—O bonding strength of the film as formed in step (i); (iii) if the ratio is 2.50 % or higher, confirming the conditions, and if the ratio is less than 2.50 %, changing the conditions by changing at least one of the susceptor temperature, the distance between upper and lower electrodes, the RF power, and the curing time; and (iv) repeating steps (i) to (iii) until the ratio is 2.50 % or higher. | 06-11-2009 |
20090170222 | CONTROL OF IMPLANT CRITICAL DIMENSIONS USING AN STI STEP HEIGHT BASED DOSE OFFSET - A method for semiconductor processing is provided, wherein a semiconductor wafer having undergone polishing is provided. The semiconductor wafer has an active region positioned between one or more moat regions, wherein the one or more moat regions have an oxide disposed therein. A top surface of the active region is recessed from a top surface of the moat region, therein defining a step having a step height associated therewith. A step height is measured, and a photoresist is formed over the semiconductor wafer. A modeled step height is further determined, wherein the modeled step height is based on the measured step height and a desired critical dimension of the photoresist. A dosage of energy is determined for patterning the photoresist, wherein the determination of the dosage of energy is based, at least in part, on the modeled step height. The photoresist is then patterned using the determined dosage of energy. | 07-02-2009 |
20090186425 | METHOD FOR FORMING BUMPS, SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME, SUBSTRATE PROCESSING APPARATUS, AND SEMICONDUCTOR MANUFACTURING APPARATUS - A semiconductor substrate ( | 07-23-2009 |
20090233383 | Plasma Doping Method and Apparatus - It is intended to provide a plasma doping method and apparatus which are superior in the controllability of the concentration of an impurity that is introduced into a surface layer of a sample. | 09-17-2009 |
20090233384 | METHOD FOR MEASURING DOPANT CONCENTRATION DURING PLASMA ION IMPLANTATION - Embodiments of the invention generally provide methods for end point detection at predetermined dopant concentrations during plasma doping processes. In one embodiment, a method includes positioning a substrate within a process chamber, generating a plasma above the substrate and transmitting a light generated by the plasma through the substrate, wherein the light enters the topside and exits the backside of the substrate, and receiving the light by a sensor positioned below the substrate. The method further provides generating a signal proportional to the light received by the sensor, implanting the substrate with a dopant during a doping process, generating multiple light signals proportional to a decreasing amount of the light received by the sensor during the doping process, generating an end point signal proportional to the light received by the sensor once the substrate has a final dopant concentration, and ceasing the doping process. | 09-17-2009 |
20090269862 | ALIGNMENT METHOD OF CHIPS - An alignment method of chips that are formed on a surface of a semiconductor wafer with alignment marks corresponding to the chips includes the steps of irradiating an alignment mark corresponding to a predetermined alignment chip in a predetermined area including the chips with a laser light; detecting reflected waves from the alignment mark of the predetermined alignment chip to obtain a position of the alignment mark of the predetermined alignment chip; irradiating an alignment mark of an alternative chip different from the predetermined alignment chip with the laser light in case of not being able to obtain the position of the alignment mark of the predetermined alignment chip; obtaining a position of the alignment mark of the alternative chip by detecting the reflected waves from the alignment mark of the alternative chip; and aligning the chips in the predetermined area based on positions of alignment marks including the position of the alignment mark of the alternative chip. | 10-29-2009 |
20090269863 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In a semiconductor manufacturing method, a metal film is formed on a substrate and heat treated. The relationship between substrate warping and the heat treatment temperature during suicide formation is acquired (S | 10-29-2009 |
20090280580 | CMP PAD THICKNESS AND PROFILE MONITORING SYSTEM - In one embodiment a method is provided for maintaining a substrate processing surface. The method generally includes performing a set of measurements on the substrate processing surface, wherein the set of measurements are taken using a displacement sensor coupled to a processing surface conditioning arm, determining a processing surface profile based on the set of measurements, comparing the processing surface profile to a minimum profile threshold, and communicating a result of the profile comparison. | 11-12-2009 |
20090286332 | POLISHING METHOD - A method for polishing a substrate having a metal film thereon is described. The substrate has metal interconnects formed from part of the metal film. The polishing method includes performing a first polishing process of removing the metal film, after the first polishing process, performing a second polishing process of removing the barrier film, after the second polishing process, performing a third polishing process of polishing the insulating film, during the second polishing process and the third polishing process, monitoring a polishing state of the substrate with an eddy current sensor, and terminating the third polishing process when an output signal of the eddy current sensor reaches a predetermined threshold. | 11-19-2009 |
20090305438 | Trench isolation method of semiconductor device using chemical mechanical polishing process - A trench isolation method of a semiconductor device includes forming polishing prevention film patterns on a semiconductor substrate, etching the semiconductor device by using the polishing prevention film patterns as masks and forming trenches, and forming conformal insulation films on the semiconductor substrate and the polishing prevention film patterns by burying the trenches. The conformal insulation films are first polished using a first polishing pad by using a slurry including an abrasive having a polishing selection ratio with respect to the polishing prevention film patterns. The first polished conformal insulation films are second polished using a second polishing pad including an abrasive and by using the polishing prevention film patterns as polishing prevention films. | 12-10-2009 |
20100009469 | PLASMA DOPING METHOD AND APPARATUS - During a plasma discharging process, a laser beam having a certain exciting wavelength is applied to a surface of a process substrate, so as to measure, using scattered light, an impurity density and a crystal state on the surface of the process substrate. | 01-14-2010 |
20100062548 | PHOTO KEY AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING THE PHOTO KEY - A photo key has a plurality of first regions spaced apart from one another on a semiconductor substrate, and a second region surrounding the first regions, and one of the first regions and the second region constitutes a plurality of photo key regions spaced apart from one another. Each of the photo key regions includes a plurality of first conductive patterns spaced apart from one another; and a plurality of second conductive patterns interposed between the first conductive patterns. | 03-11-2010 |
20100068830 | MARKER STRUCTURE AND METHOD FOR CONTROLLING ALIGNMENT OF LAYERS OF A MULTI-LAYERED SUBSTRATE - The invention includes a lithographic system having a first source for generating radiation with a first wavelength and an alignment system with a second source for generating radiation with a second wavelength. The second wavelength is larger than the first wavelength. A marker structure is provided having a first layer and a second layer. The second layer is present either directly or indirectly on top of said first layer. The first layer has a first periodic structure and the second layer has a second periodic structure. At least one of the periodic structures has a plurality of features in at least one direction with a dimension smaller than 400 nm. Additionally, a combination of the first and second periodic structure forms a diffractive structure arranged to be illuminated by radiation with the second wavelength. | 03-18-2010 |
20100081218 | Forming Light Emitting Devices Including Custom Wavelength Conversion Structures - Methods of forming a light emitting device include selectively forming a wavelength conversion structure on a light emitting element using stereolithography. Selectively forming the wavelength conversion structure may include covering the light emitting element with a photo-curable liquid polymer containing a luminescent material, and exposing the liquid polymer to light for a time sufficient to at least partially cure the liquid polymer. Multiple layers of polymer can be selectively built up to form a wavelength conversion structure having a custom shape on the light emitting element. | 04-01-2010 |
20100087015 | FEEDBACK FOR BUFFER LAYER DEPOSITION - Improved methods and apparatus for forming thin film layers of chalcogenide on a substrate web. According to the present teachings, a feedback control system may be employed to measure one or more properties of the web and/or the chalcogenide layer, and to adjust one or more parameters of the system or buffer layer deposition method in response to the measurement. | 04-08-2010 |
20100087016 | APPARATUS AND METHODS FOR MANUFACTURING THIN-FILM SOLAR CELLS - Improved methods and apparatus for forming thin-film layers of semiconductor material absorber layers on a substrate web. According to the present teachings, a semiconductor layer may be formed in a multi-zone process whereby various layers are deposited sequentially onto a moving substrate web. | 04-08-2010 |
20100093112 | LASER ANNEALING METHOD AND LASER ANNEALING APPARATUS - An embodiment of the invention provides a laser annealing method, including the steps of radiating a laser beam to an amorphous film on a substrate while scanning the laser beam for the amorphous film, crystallizing the amorphous film, detecting a light quantity of laser beam reflected from the substrate and a scanning speed of the laser beam while the radiation and the scanning of the laser beam are carried out for the amorphous film, and controlling a radiation level and the scanning speed of the laser beam based on results of comparison of the light quantity of laser beam reflected from the substrate, and the scanning speed of the laser beam with respective preset references. | 04-15-2010 |
20100112730 | OPTICAL INSPECTION METHODS - Inspection methods. A method includes adhering an optical blocking layer directly onto and in direct mechanical contact with a semiconductor process wafer, the blocking layer being substantially opaque to a range of wavelengths of light; applying at least one layer over the blocking layer; and inspecting optically at least one wavelength at least one inspection area, the blocking layer extending substantially throughout the inspection area. An inspection method including adhering an optical absorbing layer to a semiconductor process wafer, where the absorbing layer is configured to substantially absorb a range of wavelengths of light; applying at least one layer over the absorbing layer; and inspecting optically at least one wavelength at least one inspection area of the process wafer. A manufacturing method including ascertaining if a defect is present within a photoresist layer, and changing a semiconductor manufacturing process to prevent the defect, if the defect is present. | 05-06-2010 |
20100159617 | SEMICONDUCTOR-DEVICE MANUFACTURING METHOD AND EXPOSURE METHOD - A semiconductor-device manufacturing method includes steps of performing a sidewall fabrication thereby forming a first pattern structure; measuring an amount of displacement of line portions of the first pattern structure; correcting an overlay specification for an overlay of the first pattern structure and a second pattern structure dynamically based on the amount of displacement; and determining whether an error in the overlay of the first pattern structure and the second pattern structure meets the corrected overlay specification. | 06-24-2010 |
20100216258 | METHOD FOR MEASURING DOPANT CONCENTRATION DURING PLASMA ION IMPLANTATION - Embodiments of the invention generally provide methods for end point detection at predetermined dopant concentrations during plasma doping processes. In one embodiment, a method includes positioning a substrate within a process chamber, generating a plasma above the substrate and transmitting a light generated by the plasma through the substrate, wherein the light enters the topside and exits the backside of the substrate, and receiving the light by a sensor positioned below the substrate. The method further provides generating a signal proportional to the light received by the sensor, implanting the substrate with a dopant during a doping process, generating multiple light signals proportional to a decreasing amount of the light received by the sensor during the doping process, generating an end point signal proportional to the light received by the sensor once the substrate has a final dopant concentration, and ceasing the doping process. | 08-26-2010 |
20100297784 | NITRIDE BASED SEMICONDUCTOR OPTICAL DEVICE, EPITAXIAL WAFER FOR NITRIDE BASED SEMICONDUCTOR OPTICAL DEVICE, AND METHOD OF FABRICATING SEMICONDUCTOR LIGHT-EMITTING DEVICE - In the nitride based semiconductor optical device LE | 11-25-2010 |
20100304506 | LASER IRRADIATION METHOD AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME - The present invention is to provide a laser irradiation method for performing homogeneous laser irradiation to the irradiation object even when the thickness of the irradiation object is not even. In the case of irradiating the irradiation object having uneven thickness, the laser irradiation is performed while keeping the distance between the irradiation object and the lens for condensing the laser beam on the surface of the irradiation object constant by using an autofocusing mechanism. In particular, when the irradiation object is irradiated with the laser beam by moving the irradiation object relative to the laser beam in the first direction and the second direction of the beam spot formed on the irradiation surface, the distance between the irradiation object and the lens is controlled by the autofocusing mechanism before the irradiation object is moved in the first and second directions. | 12-02-2010 |
20100323459 | METHOD OF AND DEVICE FOR DETERMINING AND CONTROLLING THE DISTANCE BETWEEN AN INTEGRATED CIRCUIT AND A SUBSTRATE - In a method of determining the distance (d) between an integrated circuit ( | 12-23-2010 |
20100330711 | METHOD AND APPARATUS FOR INSPECTING SCRIBES IN SOLAR MODULES - Embodiments of the present invention generally relate to a method and apparatus for inspecting and analyzing the spacing of isolation trenches scribed in a solar module during the fabrication process. In one embodiment, images of the scribed trenches are captured and analyzed at various points in the fabrication process. The results may then be used either manually or in an automated fashion to diagnose, alter, and tune upstream processes for improved scribe spacing on subsequently processed solar modules. | 12-30-2010 |
20110020956 | METHOD OF MEASURING PATTERN SHAPE, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND PROCESS CONTROL SYSTEM - A method of measuring a pattern shape of performing a shape measurement of a semiconductor pattern at a high accuracy even when a process margin is narrow with respect to miniaturization of a semiconductor device is provided. In the method of measuring a pattern shape, when a best-match calculated waveform cannot be selected, at least one parameter among shape parameters is set as a fixed value based on information obtained by another measurement apparatus that uses a measurement method independent to the pattern shape measurement, a matching of a library and a detected waveform is performed again, a best-match calculated waveform is selected, and shape information of an object pattern is obtained from the best-match calculated waveform. | 01-27-2011 |
20110020957 | POSITIONING OF SEMICONDUCTOR SUBSTRATES IN A FURNACE - Methods of positioning semiconductor substrates in a furnace. One method determines a centered position of the substrates by conducting a heat treating to form an oxide layer on the substrate and measuring the substrate thickness at several points along its oxidized surface to determine a centered position. Also, a method of calibrating a device for heat treatment of the substrates, with the device including a positioner for providing the substrates on a retention support in the furnace. The positioner includes a memory unit that stores positioning parameters, and an actuator for positioning the substrate on the support according to the positioning parameters. The method includes positioning a test substrate on the support in a starting position according to starting parameters, determining a centered position for the test substrate, determining centering parameters corresponding to the centered position of the test substrate and storing the centering parameters in the memory unit. | 01-27-2011 |
20110045612 | METHODS FOR DISTINGUISHING A SET OF HIGHLY DOPED REGIONS FROM A SET OF LIGHTLY DOPED REGIONS ON A SILICON SUBSTRATE - A method of distinguishing a set of highly doped regions from a set of lightly doped regions on a silicon substrate is disclosed. The method includes providing the silicon substrate, the silicon substrate configured with the set of lightly doped regions and the set of highly doped regions. The method further includes illuminating the silicon substrate with an electromagnetic radiation source, the electromagnetic radiation source transmitting a wavelength of light above about 1100 nm. The method also includes measuring a wavelength absorption of the set of lightly doped regions and the set of heavily doped regions with a sensor, wherein for any wavelength above about 1100 nm, the percentage absorption of the wavelength in the lightly doped regions is substantially less than the percentage absorption of the wavelength in the heavily doped regions. | 02-24-2011 |
20110045613 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND EXPOSURE DEVICE - A method of manufacturing a semiconductor device according to an embodiment includes acquiring focus values measured for regions having different reflectance respectively due to films formed at a lower location than a resist formed above a semiconductor substrate, the focus values including a first focus value acquired at a first region of the regions having a lower reflectance and a second focus value acquired at a second region of the regions having a higher reflectance than the first region and bringing the second focus value closer to the first focus value, and carrying out an exposure processing. | 02-24-2011 |
20110045614 | Method and Apparatus for Providing LED Package with Controlled Color Temperature - An optical device capable of illuminating visual light with adjusting color temperature after fabrication is disclosed. The optical device includes a solid state light emitter and a phosphor layer, which is formed over the solid state light emitter. The solid state light emitter, which can be a light emitter diode (“LED”), converts electrical energy to blue light. The phosphor layer subsequently converts first light with a first wavelength to second light with a second wavelength. In one example, the first light is blue light while the second light is white light. A portion of the phosphor layer is adjusted after the phosphor layer is formed for adjusting color of the white light in accordance with color quality of the light detected by a light detector. | 02-24-2011 |
20110070666 | DEPOSITION METHOD - Gas phase nucleation conditions are controlled and/or mitigated during material deposition in semiconductor manufacturing processes. According to an example embodiment of the present invention, reaction by-product gases are monitored (e.g., | 03-24-2011 |
20110076788 | METHOD OF MAKING SEMICONDUCTOR LIGHT- EMITTING DEVICE - A method of making a semiconductor light-emitting device involves the steps of selecting at least one tilt angle for a primary surface of a substrate to evaluate the direction of piezoelectric polarization in a light-emitting layer, the substrate comprising a group III nitride semiconductor; preparing a substrate having the primary surface, the primary surface having the selected tilt angle, and the primary surface comprising the group III nitride semiconductor; forming a quantum well structure and p- and n-type gallium nitride semiconductor layers for the light-emitting layer at the selected tilt angle to prepare a substrate product; measuring photoluminescence of the substrate product while applying a bias to the substrate product, to determine bias dependence of the photoluminescence; evaluating the direction of the piezoelectric polarization in the light-emitting layer at the selected tilt angle on the primary surface of the substrate by the determined bias dependence; determining which of the primary surface or the back surface of the substrate is to be used, based on the evaluation to select a plane orientation of a growth substrate for making the semiconductor light-emitting device; and forming a semiconductor laminate for the semiconductor light-emitting device on the primary surface of the growth substrate. The tilt angle is defined by the primary surface of the substrate and the (0001) plane of the group III nitride semiconductor. Each of the well layer and the barrier layer of the light-emitting layer extends along a reference plane tilting from a plane perpendicular to a reference axis extending along the c-axis of the group III nitride semiconductor. | 03-31-2011 |
20110086441 | LASER ANNEALING METHOD AND LASER ANNEALING APPARATUS - In laser annealing using a solid state laser, a focus position of a minor axial direction of a rectangular beam is easily corrected depending on positional variation of a laser irradiated portion of a semiconductor film. By using a minor-axis condenser lens | 04-14-2011 |
20110117680 | INLINE DETECTION OF SUBSTRATE POSITIONING DURING PROCESSING - Embodiments of the present invention generally provide a method for detecting the position of a substrate within a processing chamber. Embodiments of the present invention are particularly useful for the detection of a mis-positioned solar cell substrate during photoabsorber layer deposition processes within a solar cell production line. Reflected power is measured during processing of a substrate and communicated to a system controller. The system controller compares the measured reflected power with an established range of reflected power. If the measured reflected power is substantially out of range, the system controller signals for the chamber to be taken offline for inspection, maintenance, and/or repair. The system controller may further divert the flow of substrates within the production line around the offline chamber without shutting down the entire solar cell production line. | 05-19-2011 |
20110117681 | THIN FILM IMAGING METHOD AND APPARATUS - Methods and apparatus are presented for monitoring the deposition and/or post-deposition processing of semiconductor thin films using photoluminescence imaging. The photoluminescence images are analysed to determine one or more properties of the semiconductor film, and variations thereof across the film. These properties are used to infer information about the deposition process, which can then be used to adjust the deposition process conditions and the conditions of subsequent processing steps. The methods and apparatus have particular application to thin film-based solar cells. | 05-19-2011 |
20110136269 | METHOD FOR DEPOSITING MICROCRYSTALLINE SILICON AND MONITOR DEVICE OF PLASMA ENHANCED DEPOSITION - A method for depositing a microcrystalline silicon film is disclosed, including performing an open loop and close loop plasma enhanced deposition process without and with modulating process parameters, respectively. A film is deposited by the open loop plasma enhanced deposition process till a required film crystallinity and then performing a closed loop plasma enhanced deposition process which monitors species plasma spectrum intensities SiH* and Hα and modulates process parameters of the plasma enhanced deposition process resulting in the species concentration stabilization which controls the intensities variation of SiH* and Hα within an allowed range of a target value for improving film depositing rate. | 06-09-2011 |
20110151592 | METHODS FOR MONITORING THE AMOUNT OF CONTAMINATION IMPARTED INTO SEMICONDUCTOR WAFERS DURING WAFER PROCESSING - Methods are disclosed for monitoring the amount of metal contamination imparted during wafer processing operations such as polishing and cleaning. The methods include subjecting a silicon-on-insulator structure to the semiconductor process, precipitating metal contamination in the structure and delineating the metal contaminants. | 06-23-2011 |
20110151593 | MANUFACTURING METHOD OF SEMICONDUCTOR SUBSTRATE - A surface of a single crystal semiconductor substrate is irradiated with ions to form a damaged region, an insulating layer is formed over the surface of the single crystal semiconductor substrate, and a surface of a substrate having an insulating surface is made to be in contact with a surface of the insulating layer to bond the substrate having an insulating surface to the single crystal semiconductor substrate. Then, the single crystal semiconductor substrate is separated at the damaged region by performing heat treatment to form a single crystal semiconductor layer over the substrate having an insulating surface, and the single crystal semiconductor layer is patterned to form a plurality of island-shaped semiconductor layers. One of the island-shaped semiconductor layers is irradiated with a laser beam which is shaped to entirely cover the island-shaped semiconductor layer. | 06-23-2011 |
20110165703 | THERMAL TREATMENT APPARATUS, THERMAL TREATMENT METHOD AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A thermal treatment apparatus having a first light source emitting a first light having light diffusion property, a reflectance measuring unit irradiating a treatment target with the light from plural directions by the first light source and determining a light reflectance of the treatment target, a light irradiation controller adjusting an intensity of a second light of a second light source on the basis of the light reflectance, the second light has diffusion property, and a thermal treatment unit irradiating the treatment target with the second light having adjusted the intensity of the second light by the light irradiation controller. | 07-07-2011 |
20110177624 | WORKPIECE BREAKAGE PREVENTION METHOD AND APPARATUS - Methods and apparatus for heat-treating a workpiece are disclosed. An illustrative method includes measuring deformation of a workpiece during heat-treating thereof, and taking an action in relation to the heat-treating of the workpiece, in response to the measuring of the deformation of the workpiece. The workpiece may include a semiconductor wafer. Taking an action may include applying a deformation correction to a temperature or reflectivity measurement of the wafer during thermal processing, or may include modifying the heat-treating of the wafer, for example. | 07-21-2011 |
20110189797 | PHOTOMETRICALLY MODULATED DELIVERY OF REAGENTS - A process system adapted for processing of or with a material therein. The process system includes: a sampling region for the material; an infrared photometric monitor constructed and arranged to transmit infrared radiation through the sampling region and to responsively generate an output signal correlative of the material in the sampling region, based on its interaction with the infrared radiation; and process control means arranged to receive the output of the infrared photometric monitor and to responsively control one or more process conditions in and/or affecting the process system. | 08-04-2011 |
20110207244 | Apparatus for depositing and inspecting an organic light emitting display panel and method of depositing and inspecting an organic light emitting display panel using the apparatus - An apparatus for depositing and inspecting an organic light emitting display panel includes a depositor part configured to deposit thin film layers on a panel, the thin film layers including an anode layer, an organic film layer, and a cathode layer, and an inspector part configured to measure spectra of light reflected from the thin film layers, compare the measured spectra to reference spectra, and determine thickness correctness of individual thin film layers. | 08-25-2011 |
20110212546 | UV ABSORPTION BASED MONITOR AND CONTROL OF CHLORIDE GAS STREAM - A semiconductor growth system includes a chamber and a source of electromagnetic radiation. A detector is arranged to detect absorption of radiation from the source by a chloride- based chemical of the reaction chamber. A control system controls the operation of the chamber in response to the absorption of radiation by the chloride-based chemical. The control system controls the operation of the chamber by adjusting a parameter of the reaction chamber. | 09-01-2011 |
20110212547 | METHODS FOR MONITORING THE AMOUNT OF METAL CONTAMINATION IMPARTED INTO WAFERS DURING A SEMICONDUCTOR PROCESS - Methods are disclosed for monitoring the amount of metal contamination imparted during wafer processing operations such as polishing and cleaning. The methods include subjecting a silicon-on-insulator structure to the semiconductor process, precipitating metal contamination in the structure and delineating the metal contaminants. | 09-01-2011 |
20110229988 | PATTERN FORMING METHOD, PROCESSING METHOD, AND PROCESSING APPARATUS - According to the embodiments, a distribution of a recess portion shape is calculated based on a result obtained by measuring the recess portion shape of a first projection and recess pattern formed on a surface of a template. Next, a distribution of an application amount of a curing agent to a processing target layer is calculated based on the distribution of the recess portion shape, and the curing agent is applied to the processing target layer based on this distribution of the application amount of the curing agent. Next, a second projection and recess pattern is formed by transferring the first projection and recess pattern onto the curing agent by causing the curing agent to cure in a state where the first projection and recess pattern is in contact with the curing agent. | 09-22-2011 |
20110237002 | METHOD FOR MANUFACTURING 3D CIRCUITS FROM BARE DIE OR PACKAGED IC CHIPS BY MICRODISPENSED INTERCONNECTIONS - A method for manufacturing an electronic circuit in three-dimensional space provides for interconnecting electronic components within the circuit by directly writing conducting lines. The method may include observing a direct writing tool of a direct write system using a vision system, determining proper placement of the direct writing tool at least partially based on the step of observing, and directly writing conducting lines in three dimensions using the proper placement. The direct writing may be on a surface or in free space. The method may include stacking a plurality of chips to provide a stack having a top surface and edges extending away from the top and interconnecting connections of the chips by directly writing conducting lines along one of the edges. | 09-29-2011 |
20110244603 | CUSTOMIZED METALLIZATION PATTERNS DURING FABRICATION OF SEMICONDUCTOR DEVICES - Embodiments of the invention are directed to a system and method of depositing material on a polycrystalline semiconductor substrate. The method may comprise detecting characteristics of polycrystalline semiconductor substrate, generating image data of a customized pattern of lines based on the characteristics of the substrate and depositing material from one or more nozzles on the substrate according to the image data of the customized pattern. The characteristics may include grain boundaries of the substrate and spatial variations in sheet resistance and/or the minority carrier lifetime of the substrate. | 10-06-2011 |
20110263049 | WAFER EDGE INSPECTION - Wafer edge inspection approaches are disclosed wherein an imaging device captures at least one image of an edge of a wafer. The at least one image can be analyzed in order to identify an edge bead removal line. An illumination system having a diffuser can further be used in capturing images. | 10-27-2011 |
20110263050 | LOW POWER RF TUNING USING OPTICAL AND NON-REFLECTED POWER METHODS - Aspects of the present invention include methods for controlling a plasma in a substrate processing system. One embodiment provides controlling a first set of wavelength intensities of reflected electromagnetic radiation reflected from the plasma within a chamber before processing a first set of one or more substrates, associating the first set of wavelength intensities of reflected electromagnetic radiation to an RF power within the processing system, adjusting a matching circuit based on the first set of wavelength intensities of reflected electromagnetic radiation, processing the first set of one or more substrates in the substrate processing system, controlling a second set of wavelength intensities of reflected electromagnetic radiation reflected from the plasma within the chamber, and associating the second set of wavelength intensities of reflected electromagnetic radiation to the RF power within the processing system. | 10-27-2011 |
20110275167 | Endpoint Method Using Peak Location Of Modified Spectra - A method of optically monitoring a substrate during polishing includes receiving an identification of a selected spectral feature and a characteristic of the selected spectral feature to monitor during polishing, measuring a first spectrum from the substrate during polishing, the first spectrum measured within an initial time following initiation of polishing, measuring a sequence of second spectra from the substrate during polishing, the sequence of second spectra measured after the initial time, for each second spectrum in the sequence of second spectra, removing the first spectrum from the second spectrum to generate a sequence of modified third spectra, determining a value of a characteristic of the selected spectral feature for each third spectrum in the sequence of third spectra to generate a sequence of values for the characteristic, and determining a polishing endpoint or an adjustment for a polishing rate based on the sequence of values. | 11-10-2011 |
20110294233 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - Provided is a method of manufacturing a semiconductor device, which includes the steps of: (a) preparing a processing target including a wafer ( | 12-01-2011 |
20110300644 | METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING DEVICE - According to one embodiment, a method for manufacturing a semiconductor light emitting device is disclosed. The method can include forming a first interconnect layer, a second interconnect layer, a first metal pillar, a second metal pillar, a second insulating layer, a transparent material and a phosphor layer. The transparent material is formed on the first major surface of a semiconductor layer selected from the plurality of semiconductor layers on the basis of an emission spectrum of a light obtained from the first major surface side. The transparent material transmits the light. The phosphor layer is formed on the transparent material and the first major surface of the plurality of the semiconductor layers. | 12-08-2011 |
20110300645 | APPARATUS AND METHOD FOR BATCH NON-CONTACT MATERIAL CHARACTERIZATION - An apparatus for performing non-contact material characterization includes a wafer carrier adapted to hold a plurality of substrates and a material characterization device, such as a device for performing photoluminescence spectroscopy. The apparatus is adapted to perform non-contact material characterization on at least a portion of the wafer carrier, including the substrates disposed thereon. | 12-08-2011 |
20120003758 | METHOD OF FABRICATING A LIGHT EMITTING DIODE CHIP HAVING PHOSPHOR COATING LAYER - A method of fabricating light emitting diode chips having a phosphor coating layer comprises providing a substrate having a plurality of light emitting diodes formed thereon; forming a conductive bump on at least one of the plurality of light emitting diodes; forming a phosphor coating layer over the substrate and the light emitting diodes; cutting the phosphor coating layer by a point cutter to remove an upper portion of the phosphor coating layer, so as to reduce a thickness of the phosphor coating layer and expose the conductive bump; and forming a plurality of individual light emitting diode chips having the phosphor coating layer by separating the plurality of light emitting diodes. | 01-05-2012 |
20120015455 | Method and Apparatus for Calibrating Optical Path Degradation Useful for Decoupled Plasma Nitridation Chambers - Methods for matching semiconductor processing chambers using a calibrated spectrometer are disclosed. In one embodiment, plasma attributes are measured for a process in a reference chamber and a process in an aged chamber. Using a calibrated light source, an optical path equivalent to an optical path in a reference chamber and an optical path in an aged chamber can be compared by determining a correction factor. The correction factor is applied to adjust a measured intensity of plasma radiation through the optical path in the aged chamber. Comparing a measured intensity of plasma radiation in the reference chamber and the adjusted measured intensity in the aged chamber provide an indication of changed chamber conditions. A magnitude of change between the two intensities can be used to adjust the process parameters to yield a processed substrate from the aged chamber which matches that of the reference chamber. | 01-19-2012 |
20120021539 | IN-LINE METROLOGY SYSTEM - A metrology system for gauging and spatially mapping a semiconductor material on a substrate can be used in controlling deposition and thermal activation processes. | 01-26-2012 |
20120094400 | FEEDFORWARD/FEEDBACK LITHO PROCESS CONTROL OF STRESS AND OVERLAY - A method and apparatus for process control in a lithographic process are described. Metrology may be performed on a substrate either before or after performing a patterning process on the substrate. One or more correctables to the lithographic patterning process may be generated based on the metrology. The patterning process performed on the substrate (or a subsequent substrate) may be adjusted with the correctables. | 04-19-2012 |
20120100640 | Systems and methods for forming a time-averaged line image - Systems and methods for forming a time-average line image are disclosed. The method includes forming a line image with a first amount of intensity non-uniformity. The method also includes forming and scanning a secondary image over at least a portion of the line image to form a time-averaged modified line image having a second amount of intensity non-uniformity that is less than the first amount. Wafer emissivity is measured in real time to control the intensity of the secondary image. Temperature is also measured in real time based on the wafer emissivity and reflectivity of the secondary image, and can be used to control the intensity of the secondary image. | 04-26-2012 |
20120129276 | 4D Process and Structure - A 4D device comprises a 2D multi-core logic and a 3D memory stack connected through the memory stack sidewall using a fine pitch T&J connection. 3D memory in the stack is thinned from the original wafer thickness to no remaining Si. A tongue and groove device at the memory wafer top and bottom surfaces allows an accurate stack alignment. The memory stack also has micro-channels on the backside to allow fluid cooling, and is further diced at the fixed clock-cycle distance, and flipped on its side and re-assembled on to a template into a pseudo-wafer format. The top side wall of the assembly is polished and built with BEOL to fan-out and use the T&J fine pitch connection to join to the 2D logic wafer. The other side of the memory stack is polished, fanned-out, and bumped with C4 solder. The invention also comprises a process for manufacturing the device. | 05-24-2012 |
20120135546 | ALIGNMENT INSPECTION - The present disclosure relates to the field of microelectronic substrate fabrication and, more particularly, to alignment inspection for vias formed in the microelectronic substrates. The alignment inspection may be achieved by determining the relative positions of fluorescing and non-fluorescing elements in a microelectronic substrate. | 05-31-2012 |
20120156809 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, EXPOSURE METHOD, AND EXPOSURE APPARATUS - An exposure apparatus includes a light emission part | 06-21-2012 |
20120164759 | METHOD AND APPARATUS FOR DEPOSITING PHOSPHOR ON SEMICONDUCTOR-LIGHT EMITTING DEVICE - A method and apparatus for depositing a phosphor using transfer molding. The method includes: forming a plurality of light-emitting devices on a wafer and rearranging the light-emitting devices on a carrier substrate according to luminance characteristics of the plurality of light-emitting devices by examining the luminance characteristics of the plurality of light-emitting devices; depositing the phosphor on the rearranged light-emitting devices using transfer molding; and separating the light-emitting devices on the carrier substrate. | 06-28-2012 |
20120178188 | METHOD AND APPARATUS FOR DEPOSITING PHOSPHOR ON SEMICONDUCTOR LIGHT-EMITTING DEVICE - A method and apparatus for depositing a phosphor via a compression molding process, the method involving forming a plurality of light-emitting devices on a wafer, evaluating emission characteristics of the plurality of light-emitting devices, and re-arraying and aligning the plurality of light-emitting devices on a carrier substrate according to the emission characteristics; depositing the phosphor on the plurality of re-arrayed light-emitting devices via a compression molding process; and dicing the plurality of re-arrayed light-emitting devices on the carrier substrate. | 07-12-2012 |
20120190135 | MANUFACTURING METHOD AND MANUFACTURING APPARATUS FOR SEMICONDUCTOR DEVICE - According to the embodiment, a manufacturing method for a semiconductor device includes detecting a sectional shape of an ion beam irradiated onto a semiconductor substrate and a beam current of the ion beam, calculating a beam current density which is the beam current per unit area based on the beam shape and the beam current detected in the detecting, and adjusting the ion beam based on the beam current density calculated in the calculating. | 07-26-2012 |
20120190136 | METHOD AND APPARATUS FOR MANUFACTURING LED DEVICE - An apparatus and method of manufacturing a light emitting diode (LED) device, and more particularly, an apparatus and method of manufacturing an LED device by dispensing a fluorescent solution prepared by mixing a fluorescent material with a liquid synthetic resin, onto an LED chip. An apparatus and method of manufacturing an LED device, whereby an appropriate amount of fluorescent solution simultaneously in consideration of several factors, such as characteristics of an LED chip and viscosity of the fluorescent solution may be dispensed onto the LED chip, is provided. An apparatus and method of manufacturing an LED device, whereby an appropriate amount of fluorescent solution may be calculated actively in consideration of viscosity of the fluorescent solution, a change in characteristics of an LED chip, or the like, and the appropriate amount of fluorescent solution may be dispensed onto the LED chip, is provided. | 07-26-2012 |
20120231557 | METHOD OF MANUFACTURING FILM FOR SEMICONDUCTOR DEVICE - The present invention aims to provides a method of manufacturing a film for a semiconductor device in which a dicing film, a die bond film, and a protecting film are laminated in this order, including the steps of: irradiating the die bond film with a light ray having a wavelength of 400 to 800 nm to detect the position of the die bond film based on the obtained light transmittance and punching the dicing film out based on the detected position of the die bond film, and in which T | 09-13-2012 |
20120231558 | METHOD AND SYSTEM FOR DETERMINING OPTICAL PROPERTIES OF SEMICONDUCTOR WAFERS - A method and system are disclosed for determining at least one optical characteristic of a substrate, such as a semiconductor wafer. Once the optical characteristic is determined, at least one parameter in a processing chamber may be controlled for improving the process. For example, in one embodiment, the reflectivity of one surface of the substrate may first be determined at or near ambient temperature. From this information, the reflectance and/or emittance of the wafer during high temperature processing may be accurately estimated. The emittance can be used to correct temperature measurements using a pyrometer during wafer processing. In addition to making more accurate temperature measurements, the optical characteristics of the substrate can also be used to better optimize the heating cycle. | 09-13-2012 |
20120231559 | METHOD OF FORMING SEMICONDUCTOR THIN FILM AND SEMICONDUCTOR THIN FILM INSPECTION APPARATUS - A method of forming a semiconductor thin film includes the steps of: forming an amorphous semiconductor thin film on a substrate; forming a crystalline semiconductor thin film partially in each element region by applying laser light to the amorphous semiconductor thin film to selectively perform a heating process on the amorphous semiconductor thin film, thereby crystallizing the amorphous semiconductor thin film in a region irradiated with the laser light; and inspecting the crystallinity degree of the crystalline semiconductor thin film. The step of inspecting includes the steps of determining a contrast between the luminance of a crystallized region and the luminance of a non-crystallized region by applying light to the crystalline semiconductor thin film and the amorphous semiconductor thin film, and performing screening of the crystalline semiconductor thin film on the basis of the determined contrast. | 09-13-2012 |
20120231560 | LIGHT-EMITTING DEVICE HAVING A THINNED STRUCTURE AND THE MANUFACTURING METHOD THEREOF - A semiconductor light-emitting device having a thinned structure comprises a thinned structure formed between a semiconductor light-emitting structure and a carrier. The manufacturing method comprises the steps of forming a semiconductor light-emitting structure above a substrate; attaching the semiconductor light-emitting structure to a support; thinning the substrate to form a thinned structure; forming or attaching a carrier to the thinned substrate; and removing the support. | 09-13-2012 |
20120238041 | COLOR CONTROL BY ALTERATION OF WAVELENGHT CONVERTING ELEMENT - A light emitting device is produced by depositing a layer of wavelength converting material over the light emitting device, testing the device to determine the wavelength spectrum produced and correcting the wavelength converting member to produce the desired wavelength spectrum. The wavelength converting member may be corrected by reducing or increasing the amount of wavelength converting material. In one embodiment, the amount of wavelength converting material in the wavelength converting member is reduced, e.g., through laser ablation or etching, to produce the desired wavelength spectrum. | 09-20-2012 |
20120264236 | FLUORESCENT POWDER APPLYING DEVICE AND METHOD CAPABLE OF DETECTING INSTANTLY COLOR TEMPERATURE OF WHITE LIGHT IN A MANUFACTURING PROCESS - A fluorescence powder spraying device capable of detecting instantly color temperature of white light in a manufacturing process, comprising: a spraying region, provided with a movable nozzle and an LED component-to-be-sprayed; a measuring region, provided with a light source and a light detector; and a monitor plate, which can be moved in said spraying region and said measuring region. Said monitor plate undergoes at least a fluorescence powder spraying process with said LED components-to-be-sprayed in said spraying region, to form at least a fluorescence powder layer, and in said measuring region, use said light source to agitate said fluorescence powder layer on said monitor plate, and use said light detector to measure color temperature of white light, to detect speedily color temperature of said fluorescence powder layer, hereby raising. yield of LED component reaching the target color temperature. | 10-18-2012 |
20120301976 | METHOD FOR DESIGNING SOI WAFER AND METHOD FOR MANUFACTURING SOI WAFER - A method for manufacturing an SOI wafer that has an SOI layer formed on a buried insulator layer and that is to be used in a device fabrication process or an inspection process including a process of controlling a position of the SOI wafer on the basis of intensity of reflected light from the SOI wafer when the SOI wafer is irradiated with light having a wavelength λ. The method includes the steps of: designing a thickness of the buried insulator layer of the SOI wafer on the basis of the wavelength λ of the light for use in the process of controlling the position that is to be implemented on the SOI wafer after manufacturing; and fabricating the SOI wafer having the SOI layer formed on the buried insulator layer having the designed thickness. | 11-29-2012 |
20120322169 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A focus through a projection lens is corrected to prevent the occurrence of a dimensional error in a pattern due to defocusing. At least one automatic focus correction mark is formed over each of chip patterns formed in a reticle used for exposure. Using one of the automatic focus correction marks located in the center portion of an actual device region, automatic correction of the focus of exposure light is performed. In this manner, a variation in the focus of the exposure light through the center portion of the projection lens, which is more likely to reach a high temperature than an end portion of the projection lens, is detected and corrected. | 12-20-2012 |
20130029434 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE INCLUDING CALIBRATING PROCESS CONDITIONS AND CONFIGURATIONS BY MONITORING PROCESSES - A method of fabricating a semiconductor device includes performing a first period of operation and a second period of operation at first equipment and second equipment. The first period of operation includes performing a first patterning process at each of the first equipment and the second equipment, generating first inspection data of the first equipment and first inspection data of the second equipment, generating first differential data of the second equipment including differentials of the first inspection data of the first equipment and the first inspection data of the second equipment, and calibrating a configuration of the second equipment with reference to the first differential data of the second equipment. | 01-31-2013 |
20130029435 | METHOD FOR FORMING A LASER RESONATOR SO THAT OPTICAL COMPONENTS OF THE LASER RESONATOR ARE ALIGNED - A laser resonator and method for forming the laser resonator are provided. The method comprises placing a housing for the laser resonator in an alignment fixture, attaching a bond plate to an optical component of the laser resonator, attaching a first end of an alignment arm to the bond plate attached to the optical component, attaching a second end of the alignment arm to the alignment fixture such that the optical component is disposed over the housing, aligning, via the alignment fixture and the alignment arm, the optical component relative to the housing, and bonding the aligned optical component to the housing. The first end of the alignment arm may removed once the aligned optical component is bonded to the housing. | 01-31-2013 |
20130045546 | DETECTION OF SURFACE DEFECTS BY OPTICAL INLINE METROLOGY DURING Cu-CMP PROCESS - An efficient method of detecting defects in metal patterns on the surface of wafers. Embodiments include forming a metal pattern on each of a plurality of wafers, polishing each wafer, and analyzing the surface of the metal pattern on each polished wafer for the presence of defects in the metal pattern by analyzing an optical across-wafer endpoint signal, generated at the endpoint of polishing. Embodiments include determining the location of defects in the metal pattern by determining the position of non-uniformities in the optical-across-wafer endpoint signal. | 02-21-2013 |
20130052755 | Automatically adjusting baking process for low-k dielectric material - A method includes etching a low-k dielectric layer on a wafer to form an opening in the low-k dielectric layer. An amount of a detrimental substance in the wafer is measured to obtain a measurement result. Process conditions for baking the wafer are determined in response to the measurement result. The wafer is baked using the determined process conditions. | 02-28-2013 |
20130052756 | HEATING DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A heating device is provided according to an embodiment. The heating device comprises a heater, a temperature detecting part, a wafer warpage detecting part and a controlling part. The heater heats a wafer. The temperature detecting part detects a temperature of the wafer. The wafer warpage detecting part detects warpage of the wafer. The controlling part controls the heater based on a detection result of the wafer warpage detecting part before controlling the heater based on a detection result of the temperature detecting part. | 02-28-2013 |
20130065327 | METHOD AND SYSTEM FOR FABRICATING LIGHT EMITTING DIODE (LED) DICE WITH WAVELENGTH CONVERSION LAYERS HAVING CONTROLLED COLOR CHARACTERISTICS - A method for fabricating light emitting diode (LED) dice includes the steps of coating a transparent substrate with a wavelength conversion material, continuously evaluating a correlated color temperature (CCT) of the output electromagnetic radiation produced by the wavelength conversion material and comparing the correlated color temperature (CCT) to a target correlated color temperature (CCT), and controlling the coating step responsive to feedback from the evaluating and comparing step to adjust the correlated color temperature (CCT) to achieve the target correlated color temperature (CCT). A system for fabricating light emitting diode (LED) dice includes a coating system, a monitoring system, and a control system configured to control the coating system to adjust the correlated color temperature (CCT) of the wavelength conversion material on the transparent substrate to achieve the target correlated color temperature (CCT). | 03-14-2013 |
20130084655 | OVERLAY MEASUREMENT FOR A DOUBLE PATTERNING - A multi-patterning method of manufacturing a patterned wafer provides test structures designed to enhance overlay error measurement sensitivity for monitoring and process control. One or more patterns are overlaid on a first pattern, each of a given pitch, with the elements interleaved. Test structure is formed with elements of the overlaid patterns spaced away from respective mid-positions more closely toward elements of the first pattern. In some embodiments, test structure elements of the second pattern are overlaid midway between mid-positions of elements of the first pattern and measured by scatterometry. In other embodiments, test structure elements of the second pattern are overlaid at a slightly different pitch than the elements of the first pattern and measured by reflectivity. Measurements are compared with library measurements to identify the error, which may be fed back to control the patterning process. The multi-patterning may be formed by LELE, LLE, LFLE, or other methods. | 04-04-2013 |
20130095577 | SYSTEM AND METHOD FOR MEASURING LAYER THICKNESS AND DEPOSITING SEMICONDUCTOR LAYERS - Described herein is a method and apparatus for measuring the thickness of a deposited semiconductor material. A colorimeter has an optical source that illuminates a portion of a deposited semiconductor material with optical radiation, a sensor that collects and measures color information related to reflected radiation from the deposited semiconductor material, and a processor that receives the color information related to the reflected radiation from the sensor and calculates a thickness of the semiconductor material. The processor may control a semiconductor material deposition apparatus. | 04-18-2013 |
20130115720 | SURFACE MEASUREMENT - A method and apparatus for determining grain size of a surface. A light source is directed at the surface. Reflected light from the surface is detected. A peak surface grain wavelength is determined from the reflected light. The peak surface grain wavelength is converted to a grain size. Grain size of a semiconductor surface is used as a feedback input to control a manufacturing process. | 05-09-2013 |
20130122610 | Apparatus and Method for Die Bonding - A die bonding apparatus and a die bonding method are provided, which are capable of simultaneously bonding a plurality of dies from a first placement area onto a substrate disposed on a second placement area. The die bonding apparatus includes a die sucking device which is movably located above the first placement area and a second placement area. The die sucking device includes a plurality of nozzles. The nozzles can suck the dies disposed on the first placement area, and then simultaneously bond the dies onto the substrate. | 05-16-2013 |
20130122611 | DUAL-BULB LAMPHEAD CONTROL METHODOLOGY - The present invention generally relates to methods of controlling UV lamp output to increase irradiance uniformity. The methods generally include determining a baseline irradiance within a chamber, determining the relative irradiance on a substrate corresponding to a first lamp and a second lamp, and determining correction or compensation factors based on the relative irradiances and the baseline irradiance. The lamps are then adjusted via closed loop control using the correction or compensation factors to individually adjust the lamps to the desired output. The lamps may optionally be adjusted to equal irradiances prior to adjusting the lamps to the desired output. The closed loop control ensures process uniformity from substrate to substrate. The irradiance measurement and the correction or compensation factors allow for adjustment of lamp set points due to chamber component degradation, chamber component replacement, or chamber cleaning. | 05-16-2013 |
20130122612 | PHOTOLUMINESCENCE-BASED QUALITY CONTROL FOR THIN FILM ABSORBER LAYERS OF PHOTOVOLTAIC DEVICES - A time-resolved photoluminescence-based system providing quality control during manufacture of thin film absorber layers for photovoltaic devices. The system includes a laser generating excitation beams and an optical fiber with an end used both for directing each excitation beam onto a thin film absorber layer and for collecting photoluminescence from the absorber layer. The system includes a processor determining a quality control parameter such as minority carrier lifetime of the thin film absorber layer based on the collected photoluminescence. In some implementations, the laser is a low power, pulsed diode laser having photon energy at least great enough to excite electron hole pairs in the thin film absorber layer. The scattered light may be filterable from the collected photoluminescence, and the system may include a dichroic beam splitter and a filter that transmit the photoluminescence and remove scattered laser light prior to delivery to a photodetector and a digital oscilloscope. | 05-16-2013 |
20130137194 | METHOD OF MANUFACTURING A LIGHT EMITTING DEVICE AND THIN FILM FORMING APPARATUS - A method of manufacturing a light emitting device is provided in which satisfactory image display can be performed by the investigation and repair of short circuits in defect portions of light emitting elements. A backward direction electric current flows in the defect portions if a reverse bias voltage is applied to the light emitting elements having the defect portions. Emission of light which occurred from the backward direction electric current flow is measured by using an emission microscope, specifying the position of the defect portions, and short circuit locations can be repaired by irradiating a laser to the defect portions, turning them into insulators. | 05-30-2013 |
20130171746 | MULTI-ZONE TEMPERATURE CONTROL FOR SEMICONDUCTOR WAFER - An apparatus and a method for controlling critical dimension (CD) of a circuit is provided. An apparatus includes a controller for receiving CD measurements at respective locations in a circuit pattern in an etched film on a first substrate and a single wafer chamber for forming a second film of the film material on a second substrate. The single wafer chamber is responsive to a signal from the controller to locally adjust a thickness of the second film based on the measured CD's. A method provides for etching a circuit pattern of a film on a first substrate, measuring CD's of the circuit pattern, adjusting a single wafer chamber to form a second film on a second semiconductor substrate based on the measured CD. The second film thickness is locally adjusted based on the measured CD's. | 07-04-2013 |
20130196455 | TWO-BEAM LASER ANNEALING WITH IMPROVED TEMPERATURE PERFORMANCE - Systems and methods are disclosed for performing laser annealing in a manner that reduces or minimizes wafer surface temperature variations during the laser annealing process. The systems and methods include annealing the wafer surface with first and second laser beams that represent preheat and anneal laser beams having respective first and second intensities. The preheat laser beam brings the wafer surface temperate close to the annealing temperature and the anneal laser beam brings the wafer surface temperature up to the annealing temperature. The anneal laser beam can have a different wavelength, or the same wavelength but different orientation relative to the wafer surface. Reflectivity maps of the wafer surface at the preheat and anneal wavelengths are measured and used to select first and second intensities that ensure good anneal temperature uniformity as a function of wafer position. | 08-01-2013 |
20130217153 | METHOD AND APPARATUS FOR SCRIBING A SUBSTANTIALLY PLANAR SEMICONDUCTOR SUBSTRATE WITH ON-THE-FLY CONTROL OF SCRIBING ALIGNMENT - A method of scribing a substrate along a scribeline includes causing a laser beam to impinge on the major surface, the point of impingement of the beam constituting a light spot, the substrate being positioned with respect to the laser beam such that the light spot is disposed proximal to the scribeline. The substrate and laser beam are moved relative to one another, such that the light spot is caused to translate substantially along the scribeline, whereby localized energy is transferred from the laser beam to the substrate along the course of the scribeline. The positioning of the light spot with respect to the scribeline is monitored by imaging a flash of light produced during the relative motion. Image recognition software is used to analyze the image and determine momentary position information. The momentary position information is used to regulate a laser beam position setpoint. | 08-22-2013 |
20130217154 | INSPECTION APPARATUS, INSPECTION METHOD, EXPOSURE METHOD, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A surface inspection apparatus ( | 08-22-2013 |
20130236991 | RESIN COATING DEVICE AND A RESIN COATING METHOD - In a resin coating which is used in the manufacture of an LED package which is made by covering an LED element with resin that includes fluorescent substance, a light-passing member ( | 09-12-2013 |
20130244346 | Packaging Methods, Material Dispensing Methods and Apparatuses, and Automated Measurement Systems - Packaging methods, material dispensing methods and apparatuses, and automatic measurement systems are disclosed. In one embodiment, a method of packaging semiconductor devices includes coupling a second die to a top surface of a first die, dispensing a first amount of underfill material between the first die and the second die, and capturing an image of the underfill material. Based on the image captured, a second amount or no additional amount of underfill material is dispensed between the first die and the second die. | 09-19-2013 |
20130244347 | LASER ANNEALING METHOD, LASER ANNEALING APPARATUS, AND METHOD FOR MANUFACTURING THIN FILM TRANSISTOR - According to one embodiment, a laser annealing method includes: detecting an intensity distribution of a laser light formed as a line beam by a line beam optical system; dividing width in short axis direction of the line beam in the detected intensity distribution by number of times of the irradiation per one site and partitioning the width; and calculating increment of crystal grain size of a non-crystalline thin film for energy density corresponding to wave height of the partitioned intensity distribution, and summing the increments by number of times of pulse irradiation, when energy density of the laser light is larger than a threshold, the crystal grain size of the non-crystalline thin film taking a downward turn at the threshold, the increment summed before the energy density exceeds the threshold being set to zero. | 09-19-2013 |
20130302917 | Method for lower thermal budget multiple cures in semiconductor packaging - A method for forming a multilayer structure comprises the steps of: depositing a first polymerizable layer on a substrate; applying microwave energy to the polymerizable layer while monitoring at least one property of the layer; and, ending the application of microwave energy when the monitored property indicates that the polymerizable layer has reached a desired degree of cure. The property monitored may be optical, e.g., Raman spectrum, or electrical, e.g., dielectric loss. This process control strategy lowers the overall thermal budget, and is especially suitable for curing polymer films on silicon. The method may be used repetitively to cure multiple layers of polymeric material when a thicker film is needed. | 11-14-2013 |
20130323859 | SYSTEM AND METHOD OF MONITORING AND CONTROLLING ATOMIC LAYER DEPOSITION OF TUNGSTEN - A method of semiconductor processing comprises providing a semiconductor wafer in a processing chamber; feeding at least one tungsten-containing precursor in a gas state into the processing chamber for atomic layer deposition (ALD) of tungsten; feeding at least one reducing chemical in a gas state into the processing chamber; and monitoring a concentration of at least one gaseous byproduct in the chamber; and providing a signal indicating concentration of the at least one gaseous byproduct in the chamber. The byproduct is produced by a reaction between the at least one tungsten-containing precursor and the at least one reducing chemical during the ALD. | 12-05-2013 |
20140004627 | Two-beam laser annealing with improved temperature performance | 01-02-2014 |
20140038315 | APPARATUS AND METHOD FOR MEASURING THE DIMENSIONS OF 1-DIMENSIONAL AND 0-DIMENSIONAL NANOSTRUCTURES IN REAL-TIME DURING EPITAXIAL GROWTH - The present invention relates to an apparatus and a method for measuring the dimensions of 1-dimensional and 0-dimensional nanostructures on semiconductor substrates in real-time during epitaxial growth. The method includes either assigning a pre-calculated 3D-model from a data base to the sample or calculating a 3D-model of the sample using the measured optical reflectances of the plurality of different measuring positions of the sample, where calculation or pre-calculation of the 3D-model includes calculation of the interference effects of light reflected from the front and back interfaces of the nano-structure and calculation of the interference effects due to superposition of neighbouring wave-fronts reflected from the nano-structure area and wave-fronts reflected from the substrate area between the nano-structures. | 02-06-2014 |
20140057370 | DUAL WAFER SPIN COATING - A method of bonding a first substrate and a second substrate includes the steps of rotating first substrate with an adhesive mass thereon, and second substrate contacting the mass and overlying the first substrate, controlling a vertical height of a heated control platen spaced apart from and not contacting the second substrate so as to control a temperature of the adhesive mass, so as to at least one of bond the first and second substrates in alignment with one another, or achieve a sufficiently planar adhesive interface between the first and second substrates. | 02-27-2014 |
20140065731 | IN-LINE METROLOGY SYSTEM - A metrology system for gauging and spatially mapping a semiconductor material on a substrate can be used in controlling deposition and thermal activation processes. | 03-06-2014 |
20140080230 | APPARATUS AND METHOD FOR EVALUATING OPTICAL PROPERTIES OF LED AND METHOD FOR MANUFACTURING LED DEVICE - An optical property evaluation apparatus includes: a light conversion filter converting light emitted from an LED chip or a bare LED package, which is to be evaluated, into a different wavelength of light, and emitting a specific color of light; and an optical property measurement unit receiving the specific color of light emitted from the light conversion filter and measuring the optical properties of the received light. | 03-20-2014 |
20140080231 | METHOD FOR MANUFACTURING LIGHT EMITTING ELEMENTS AND DEVICE FOR MANUFACTURING LIGHT EMITTING ELEMENTS - A manufacturing method of white-light emitting elements that is one example of the present invention is a manufacturing method of a white-light emitting element with the light emitting diode chip covered with the fluorescent-body-containing resin member that has been formed with the fluorescent-body-containing resin material containing a resin and fluorescent bodies, and includes: an irradiating step of irradiating the fluorescent-body-containing resin material with the blue laser beam; a measuring step of measuring the fluorescence strength of the fluorescence light emission from the fluorescent bodies that have been excited by the blue laser beam with which the irradiation has been carried out; and an applying step of applying, to the light emitting diode chip, a quantity of the fluorescent-body-containing resin material, the quantity being based on the fluorescence strength that has been measured. | 03-20-2014 |
20140093985 | IN SITU OPTICAL DIAGNOSTIC FOR MONITORING OR CONTROL OF SODIUM DIFFUSION IN PHOTOVOLTAICS MANUFACTURING - A method of fabricating a photovoltaic device | 04-03-2014 |
20140127835 | METHOD OF DETECTING THE CIRCULAR UNIFORMITY OF THE SEMICONDUCTOR CIRCULAR CONTACT HOLES - A method of detecting the circular uniformity of semiconductor circular contact holes. Several detection circuit structures are disposed on the semiconductor wafer: N-type active regions and P-type active regions; silicon dioxide layers separate the N-type active regions from the P-type active regions; the N-type active regions are formed in the P well and the P-type active regions are formed in the N well; polysilicon gates bridge the N-type active regions and the P-type active regions; gate oxide layers insulate the P-type regions and the N-type regions from the polysilicon gates, so that the P-type regions and the N-type regions are independent; the N-type active regions connect with circular contact holes while the P-type active regions and the polysilicon gates connect with oval contact holes; a electron beam scanner detects the circular uniformity of the contact holes. This invention advantageously reflects effectively and comprehensively the circular uniformity of the contact holes. | 05-08-2014 |
20140134757 | Method to Form Multiple Trenches Utilizing a Grayscale Mask - The present disclosure relates to a method to form a plurality of openings within a substrate with a single photo exposure and a single etch process. A photoresist layer is disposed over a substrate and aligned with a photomask, wherein the photomask comprises a transparent area, a grayscale area, and an opaque area. The photomask and substrate are exposed to radiation comprising a single illumination step to form a first 3-dimensional pattern within the photoresist layer. The 3-dimensional pattern comprises a first opening comprising a first thickness formed by transmitting the radiation through the transparent area with full intensity, and a second opening comprising a second thickness formed by transmitting the radiation through the grayscale area with partial intensity. The 3-dimensional pattern is transferred to form a plurality of openings of varying depths within the substrate through a single etch step. | 05-15-2014 |
20140134758 | TECHNIQUES FOR MATCHING SPECTRA - A method of controlling processing of a substrate includes measuring a spectrum reflected from the substrate, for each partition of a plurality of partitions of the measured spectrum, computing a partition value based on the measured spectrum within the partition to generate a plurality of partition values, for each reference spectrum signature of a plurality of reference spectrum signatures, determining a membership function for each partition, for each partition, computing a membership value based on the membership function for the partition and the partition value for the partition to generate a plurality of groups of membership values with each group of the plurality of groups associated with a reference spectrum signature, selecting a best matching reference spectrum signature from the plurality of reference spectra signatures based on the plurality of groups of membership values, and determining a characterizing value associated with the best matching reference spectrum signature. | 05-15-2014 |
20140141538 | METHODS OF CHARACTERIZING SEMICONDUCTOR LIGHT-EMITTING DEVICES BASED ON PRODUCT WAFER CHARACTERISTICS - Methods of characterizing semiconductor light-emitting devices (LEDs) based on product wafer characteristics are disclosed. The methods include measuring at least one product wafer characteristic, such curvature or device layer stress. The method also includes establishing a relationship between the at least one characteristic and the emission wavelengths of the LED dies formed from the product wafer. The relationship allows for predicting the emission wavelength of LED structures formed in the device layer of similarly formed product wafers. This in turn can be used to characterize the product wafers and in particular the LED structures formed thereon, and to perform process control in high-volume LED manufacturing. | 05-22-2014 |
20140141539 | APPARATUS AND METHOD OF RECOGNIZING AN OBJECT, AND APPARATUS AND METHOD OF MOUNTING A SEMICONDUCTOR CHIP - An apparatus for recognizing an object may include a lens, a camera and a signal-processing unit. The lens may include two cross sections having different focal lengths. The camera may be configured to photograph the object having a first part through the lens. The first part may have a first shape. The signal-processing unit may be configured to recognize a height of the first part based on deviations of the first shape in an image obtained from the camera. Thus, the apparatus may only include the cylindrical lens interposed between the object and the camera except for the softwares for processing the signals. As a result, the apparatus may have a simple structure without a structure of a laser irradiation. | 05-22-2014 |
20140141540 | RESIN COATING DEVICE, AND RESIN COATING METHOD - A translucent member | 05-22-2014 |
20140162380 | Pattern Improvement in Multiprocess Patterning - Improved fidelity to an integrated circuit pattern design in a semiconductor structure ultimately produced is achieved by modeling material removal and deposition processes in regard to materials, reactant, feature size, feature density, process parameters and the like as well as the effects of such parameters on etch and material deposition bias due to microloading and RIE lag (including inverse RIE lag) and using the models to work backward through the intended manufacturing method steps, including hard mask pattern decomposition, to morphologically develop feature patterns for use in most or all process steps which will result in the desired feature sizes and shapes at the completion of the overall process. Modeling of processes may be simplified through use of process assist features to locally adjust rates of material deposition and removal. | 06-12-2014 |
20140162381 | LASER ANNEALING DEVICE AND METHOD - A laser annealing device for compensating wafer heat maps and its method are disclosed. A laser annealing device comprises a pump laser source array including of a plurality of pump laser sources for irradiating a tunable mask, each pump laser source emitting pump laser, an annealing laser source for emitting annealing laser and irradiating the tunable mask, and a tunable mask for transmitting at least part of the annealing laser after being irradiated by the pump laser. | 06-12-2014 |
20140179027 | ADJUSTING INTENSITY OF LASER BEAM DURING LASER OPERATION ON A SEMICONDUCTOR DEVICE - Among other things, a system and method for adjusting the intensity of a laser beam applied to a semiconductor device are provided for herein. A sensor is configured to measure the intensity of a laser beam reflected from the semiconductor device. Based upon the reflection intensity, an intensity of the laser beam that is applied to the semiconductor device is adjusted, such as to alter an annealing operation performed on the semiconductor device, for example. | 06-26-2014 |
20140179028 | PLASMA DOPING APPARATUS AND PLASMA DOPING METHOD - Disclosed is a plasma doping apparatus provided with a plasma generating mechanism. The plasma generating mechanism includes a microwave generator that generates microwave for plasma excitation, a dielectric window that transmits the microwave generated by the microwave generator into a processing container, and a radial line slot antenna formed with a plurality of slots. The radial line slot antenna radiates the microwave to the dielectric window. A control unit controls the plasma doping apparatus such that a doping gas and a gas for plasma excitation are supplied into the processing container by a gas supply unit in a state where the substrate is placed on a holding unit, and then plasma is generated by the plasma generating mechanism to perform doping on the substrate such that the concentration of the dopant implanted into the substrate is less than 1×10 | 06-26-2014 |
20140179029 | METHOD OF PROCESSING A SEMICONDUCTOR STRUCTURE - A method according to embodiments of the invention includes providing a wafer including a semiconductor structure grown on a growth substrate, the semiconductor structure comprising a III-nitride light emitting layer sandwiched between an n-type region and a p-type region. The wafer is bonded to a second substrate. The growth substrate is removed. After bonding the wafer to the second substrate, the wafer is processed into multiple light emitting devices. | 06-26-2014 |
20140186975 | METHOD AND SYSTEM FOR IN-LINE REAL-TIME MEASUREMENTS OF LAYERS OF MULTILAYERED FRONT CONTACTS OF PHOTOVOLTAIC DEVICES AND CALCULATION OF OPTO-ELECTRONIC PROPERTIES AND LAYER THICKNESSES THEREOF - A method and system for real-time, in-line calculations of opto-electronic properties and thickness of the layers of multi-layered transparent conductive oxide stacks of photovoltaic devices is provided. The method and system include taking measurements of each layer of the stack during deposition thereof. The measurements are then used to calculate the opto-electronic properties and thicknesses of the layers in real-time. | 07-03-2014 |
20140199789 | FABRICATING METHOD OF CUSTOMIZED MASK AND FABRICATING METHOD OF SEMICONDUCTOR DEVICE USING CUSTOMIZED MASK - A fabricating method of a customized mask includes forming first patterns in a mold structure, forming second patterns in the mold structure using initial masks, the mold structure having the first patterns formed therein, measuring overlap failure between the first patterns and the second patterns, and fabricating customized masks by compensating for pattern positions of the initial masks based on the measuring results, wherein compensating for the pattern positions of the initial masks includes shifting positions of at least some patterns of the initial masks according to shift directions and sizes of at least some of the first patterns. | 07-17-2014 |
20140199790 | RESIN COATING DEVICE, AND RESIN COATING METHOD - A translucent member | 07-17-2014 |
20140220711 | APPARATUS, METHOD AND PROGRAM FOR MANUFACTURING NITRIDE FILM - A nitride film manufacturing apparatus forms a nitride film on a substrate provided in a chamber by a plasma CVD technique. Specifically, the nitride film manufacturing apparatus includes a controller for calculating a first period for applying first high-frequency power having a relatively high frequency and a second period for applying second high-frequency power having a relatively low frequency in order to obtain desired compressive stress or tensile stress of the nitride film, based on distribution of a refractive index of the nitride film and/or distribution of a deposition rate of the nitride film, the distribution falling within a predetermined numerical range and being obtained using the first high-frequency power and/or the second high-frequency power applied independently for forming the nitride film. | 08-07-2014 |
20140273294 | System and Method for Forming a Semiconductor Device - A system and method for forming a semiconductor device is provided. The system may measure characteristics of the substrate to determine an amount of induced stress on the substrate. The measured characteristics may include warpage, reflectivity and/or crack information about the substrate. The induced stress may be determined, at least in part, based on the measured characteristics. The system may compare the induced stress on the substrate to a maximum intrinsic strength of the substrate and adjust an anneal for the substrate based on the comparison. The adjustment may reduce or limit breakage of the substrate during the anneal. The system may control at least one of a peak anneal temperature and a maximum anneal duration for an anneal unit, which may perform an anneal on the substrate. The measurements and control may be performed ex-situ or in-situ with the anneal. | 09-18-2014 |
20140273295 | Optical Control Of Multi-Stage Thin Film Solar Cell Production - Embodiments include methods of depositing and controlling the deposition of a film in multiple stages. The disclosed deposition and deposition control methods include the optical monitoring of a deposition matrix to determine a time when at least one transition point occurs. In certain embodiments, the transition point or transition points are a stoichiometry point. Methods may also include controlling the length of time in which material is deposited during a deposition stage or controlling the amount of the first, second or subsequent materials deposited during any deposition stage in response to a determination of the time when a selected transition point occurs. | 09-18-2014 |
20140287540 | DEPOSITION APPARATUS AND METHOD OF RECYCLING SOLUTION - A deposition apparatus and a method for recycling a solution. The deposition apparatus includes a bath in which a solution used in a chemical bath deposition (CBD) method is filled, a tank in which the solution used in the CBD method is temporarily stored, and a filter unit for filtering the solution stored in the tank to be reused in the CBD method again. Thus, when a buffer layer is formed by the CBD method, the number of times of reusing the solution for forming the buffer layer may be increased. | 09-25-2014 |
20140295581 | METHOD AND APPARATUS TO FABRICATE VIAS IN THE GaN LAYER OF GaN MMICS - The method and apparatus to fabricate vias in the gallium nitride (“GaN”) layer of a GaN monolithic microwave integrated circuit (“MMIC”). The method and apparatus create vias in the GaN layer of a GaN MMIC through the use of controlled laser ablation and spectroscopic analysis of SiC and CVD diamond MMICs. The use of spectroscopic measurements helps to control the ablation by detecting a change in layers, including the GaN layer. The method and apparatus uses short pulse length, short wavelength, and a lower threshold intensity to remove material without undue heating or damage to the surrounding areas while retaining depth control. | 10-02-2014 |
20140308763 | THIN FILM DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING THE SAME - A thin film deposition apparatus includes: a chamber; a mask stage in the chamber and configured to support a mask; a jig in the chamber and above the mask stage, the jig being configured to move in a direction of the mask stage; and a rail in the chamber and configured to support the movement of the jig. Another thin film deposition apparatus includes a chamber, a mask stage positioned within the chamber and configured to support a mask, a camera part proximate to a side of the mask stage, and a jig above the mask stage and configured to move in a direction of the mask stage and over the camera part. The jig is further configured to radiate laser beams in a downward direction from the jig to obtain first scanning data regarding the mask stage and second scanning data regarding the camera part. | 10-16-2014 |
20140315330 | MEASUREMENT DEVICE, MEASUREMENT METHOD, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - There is provided a measuring apparatus including: an illuminator configured to illuminate, with an illumination light, a substrate having a pattern formed by exposure on a surface; a detector configured to detect the illumination light modulated by the pattern to output a detection signal; and a measuring unit configured to measure an exposure condition of the pattern of a desired portion by using the detection signals detected at a plurality of portions of the pattern. | 10-23-2014 |
20140322831 | LITHOGRAPHY APPARATUS, LITHOGRAPHY METHOD, AND METHOD OF MANUFACTURING ARTICLE - A lithography apparatus for performing pattern formation on a substrate includes a stage configured to hold the substrate and be movable, an optical system configured to irradiate the substrate with an energy beam for the pattern formation, and a controller configured to set an arrangement of first and second marks for overlay inspection, which is variable with respect to a first substrate for condition setting, and control the stage and the optical system so that first processing for forming the first mark on the first substrate without the pattern formation and second processing for forming the second mark on the first substrate with the pattern formation are performed based on the set arrangement. | 10-30-2014 |
20140335632 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE - Provided is a semiconductor device that suppresses the occurrence of defects due to photocorrosion. A method for manufacturing the semiconductor device includes the steps of: forming an insulating layer with a concave portion over a substrate; forming a conductive film over the insulating film and the inside of the concave portion; polishing and removing the conductive film positioned over the insulating layer; and cleaning the insulating layer in a light-shielded state. Between the step of polishing and the step of cleaning, or after the step of cleaning, the substrate SUB is moved by detecting the presence or absence of the substrate SUB in the light-shielded state using an infrared sensor. | 11-13-2014 |
20140335633 | SEPARATION METHOD, COMPUTER STORAGE MEDIUM, AND SEPARATION SYSTEM - A superposed wafer is separated to a processing target wafer and a supporting wafer while being heated. Then, an adhesive on a joint surface of the processing target wafer is removed by supplying an organic solvent onto the joint surface of the processing target wafer. Then, an oxide film formed on the predetermined pattern on the joint surface of the processing target wafer is removed by supplying acetic acid to the joint surface of the processing target wafer. Then, the joint surface of the processing target wafer is inspected. Then, based on an inspection result, the adhesive on the joint surface of the processing target wafer is removed and the oxide film formed on the predetermined pattern on the joint surface of the processing target wafer is removed. | 11-13-2014 |
20140356983 | DISTORTING DONOR WAFER TO CORRESPONDING DISTORTION OF HOST WAFER - A method generally for improving wafer-to-wafer bonding alignment. Planar distortions of the bonding surface of a host wafer are determined. The bonding surface of a donor wafer is distorted such that the distortions of the donor wafer bonding surface correspond to the determined planar distortions of the host wafer bonding surface. Also, a method to separate bonded wafers. A bonded wafer pair is mounted between first and second bonding chucks having flat chuck faces, the first bonding chuck face including adjustable zones capable of movement relative to each other, at least a component of the relative movement is along an axis that is perpendicular to the flat first bonding chuck face. The adjustable zones of the first face are moved relative to each other in a coordinated manner such that a widening gap is formed between the bonding faces of the wafer pair. | 12-04-2014 |
20140356984 | SOLID STATE SOURCE INTRODUCTION OF DOPANTS AND ADDITIVES FOR A PLASMA DOPING PROCESS - A method of doping a non-planar surface or a surface of a substrate subject to poor view factors is provided. The processing chamber comprises a window, walls, and a bottom of the processing chamber with oxygen-containing material, the processing chamber configured to supply oxygen radicals as an additive to doping materials. One or more quartz pieces are placed inside the processing chamber, where a magnet proximate to the processing chamber is configured to create a local magnetron plasma inside the processing chamber. Process gas containing an inert gas, sublimated doping materials, and optionally oxygen gas is flowed into the processing chamber; energy is applied to the process gas, generating a doping plasma used to expose a portion of the substrate surface while controlling operating variables to achieve target uniformity of dopant concentration, sheet resistance, degree of dopant clustering, and erosion of features on the substrate. | 12-04-2014 |
20150024516 | Electrostatic Phosphor Coating Systems and Methods for Light Emitting Structures and Packaged Light Emitting Diodes Including Phosphor Coating - Methods are disclosed including applying a layer of binder material onto an LED structure. A luminescent solution including an optical material suspended in a solution is atomized using a flow of pressurized gas, and the atomized luminescent solution is sprayed onto the LED structure including the layer of binder material using the flow of pressurized gas. | 01-22-2015 |
20150037910 | METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT - A method of manufacturing an organic electroluminescent element including: a first manufacturing process of stacking at least a first electrode layer, a dielectric layer, and a second electrode layer on a substrate in this order, the organic electroluminescent element having a light-emitting portion that is in contact with an inner surface of a concave portion formed to penetrate the dielectric layer; measuring a brightness distribution of the organic electroluminescent element while causing the light-emitting portion to emit light by applying a voltage to the first electrode layer and the second electrode layer of the organic electroluminescent element manufactured in the first manufacturing process, and obtaining uneven brightness information of the organic electroluminescent element; and a second manufacturing process of adjusting concave portion density on the basis of the uneven brightness information obtained in the brightness distribution measurement process, and reducing uneven brightness of the organic electroluminescent element. | 02-05-2015 |
20150037911 | SUBSTRATE TREATMENT APPARATUS, SUBSTRATE TREATMENT METHOD, AND NON-TRANSITORY STORAGE MEDIUM - A substrate treatment apparatus configured such that substrates in a same lot are distributed by a delivery mechanism into a plurality of unit blocks, each unit block including a solution treatment module, an ultraviolet irradiation module, and a substrate carrying mechanism, the apparatus includes: an illuminance detection part that detects an illuminance of a light source of the ultraviolet irradiation module; and a control part that controls, when an illuminance detection value of the ultraviolet irradiation module in one unit block among the plurality of unit blocks becomes a set value or less, the delivery mechanism to stop delivery of a substrate to the one unit block and deliver subsequent substrates to another unit block, and the ultraviolet irradiation module to perform irradiation on substrates which have already been delivered to the one unit block with an irradiation time adjusted to a length according to the illuminance detection value. | 02-05-2015 |
20150044784 | MANUFACTURING METHOD FOR ELECTROLUMINESCENT ELEMENT - A manufacturing method for an electroluminescent element including a first manufacturing step of layering on a substrate, a first electroconductive layer, a dielectric layer in which plural contact holes are formed which penetrate therethrough, a second electroconductive layer electrically connected to the first electroconductive layer inside the contact holes and which fills the contact holes, a light-emitting layer, and a third electroconductive layer; a luminance distribution measurement step of applying a voltage to the first electroconductive layer and the third electroconductive layer of the electroluminescent element manufactured, causing the light-emitting layer to emit light, and the luminance distribution of the electroluminescent element is measured to obtain luminance unevenness information; and a second manufacturing step in which, on the basis of the luminance unevenness information, the density of the plural contact holes that penetrate the dielectric layer is adjusted to manufacture a second electroluminescent element having reduced luminance unevenness. | 02-12-2015 |
20150072445 | LITHOGRAPHY APPARATUS AND METHOD OF MANUFACTURING ARTICLE - A lithography apparatus which performs writing on a substrate using a charged particle beam is provided. The apparatus comprises a plurality of column units each of which comprises a charged particle optical system, a plurality of stages each of which is movable while holding the substrate, and a controller. The controller moves the stages in synchronization with each other in a positional relationship corresponding to an arrangement of the column units, and performs writing on substrates held in the stages simultaneously. | 03-12-2015 |
20150079702 | MEASUREMENT DEVICE FOR TEXTURE SIZE, MANUFACTURING SYSTEM FOR SOLAR CELL, AND MANUFACTURING METHOD FOR SOLAR CELL - A manufacturing method for a solar cell, wherein after a texture is formed on a principal surface of a substrate, infrared light in a predetermined wave number range is applied to a portion, on which the texture is formed, of the principal surface, a wave number at a specified transmission detection rate of the infrared light transmitted through the substrate and detected is acquired, the Tx size of the substrate is calculated on the basis of the acquired wave number using a previously obtained relationship between the wave number at the specified transmission detection rate and the Tx size, and when the calculated Tx size is within a reference value range, a collecting electrode is formed on the principal surface. | 03-19-2015 |
20150079703 | METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting diode (OLED) display includes a substrate where a plurality of pixels are formed, a first pixel defining layer on the substrate, the first pixel defining layer dividing the plurality of pixels, a connection wire on the first pixel defining layer, the connection wire electrically connecting two adjacent pixels, and a second pixel defining layer on the first pixel defining layer, the second pixel defining layer covering the connection wire. | 03-19-2015 |
20150099313 | METHOD AND DEVICE FOR PRODUCING A PLURALITY OPTOELECTRONIC ELEMENTS - A method for producing a plurality of optoelectronic components may include measuring at least one measurement parameter for a first optoelectronic component and a second optoelectronic component, and processing the first optoelectronic component and the second optoelectronic component taking account of the measured measurement parameter value of the first optoelectronic component and the measured measurement parameter value of the second optoelectronic component, such that the optoelectronic properties of the first optoelectronic component and the optoelectronic properties of the second optoelectronic component are changed in a different way toward at least one common predefined optoelectronic target property. The processing of at least one value of a measurement parameter of the optoelectronic properties of the first optoelectronic component or of the optoelectronic properties of the second optoelectronic component toward the optoelectronic target property is formed by means of a compensation element. The compensation element is formed as a film. | 04-09-2015 |
20150104886 | SEMICONDUCTOR DEVICE ARRANGEMENT, A METHOD OF ANALYSING A PERFORMANCE OF A FUNCTIONAL CIRCUIT ON A SEMICONDUCTOR DEVICE AND A DEVICE ANALYSIS SYSTEM - A semiconductor device arrangement comprising a functional circuit comprising a plurality of timing components and a reference module comprising a plurality of reference components is described. Each reference component comprises a reference timing component corresponding to a timing component of the plurality of timing components and a controllable timing component. The controllable timing component is arranged to provide a delay in dependence on an applied light stimulus. A method of analysing a performance of a functional circuit on a semiconductor device is also described. A device analysis system for analysing a functional circuit comprising a plurality of timing components is also described. | 04-16-2015 |
20150111312 | DEPOSITION DATA PROCESSING APPARATUS, AND APPARATUS AND METHOD FOR MANUFACTURING ORGANIC EL DEVICE - Provided are a deposition data processing apparatus, an apparatus and a method for manufacturing an organic EL device, which make it possible to check deposition states of constituent layers of each of organic EL elements that are continuously formed on a substrate being conveyed. The deposition data processing apparatus includes a scanning section configured to scan at least two of a plurality of constituent layers that constitute each of the organic EL elements; and a processor configured to accumulate data of the constituent layers scanned by the scanning section at a specific position in a longitudinal direction of the substrate as data of a specific one of the organic EL elements. | 04-23-2015 |
20150111313 | METHOD FOR MANUFACTURING LED, APPARATUS FOR MANUFACTURING LED, AND LED - Provided are a high-quality LED and LED member, and a method and a device with which it is possible to manufacture the same in large quantities and at minimal manufacturing cost. The present invention comprises a detachment/attachment unit for the LED or the LED member, a coating unit for performing automatic coating, and a drying unit. A coating is applied using the coating unit, and provisional drying is performed or hardening is accelerated using the drying device. Alternatively, coating and drying are repeated multiple times, after which drying or hardening is finally performed. | 04-23-2015 |
20150125968 | OPTICAL SYSTEM AND METHOD OF USE - A method for improving imaging properties of an optical system and an optical system of this type having improved imaging properties are described. The optical system can have a plurality of optical elements. In some embodiments, an optical element is positioned and/or deformed by mechanical force action and by thermal action. In certain embodiments, one optical element is positioned and/or deformed by mechanical force action and another optical element is deformed by thermal action. | 05-07-2015 |
20150132864 | MANUFACTURING METHOD FOR ELECTROLUMINESCENT ELEMENT - A method for manufacturing an electroluminescent element including: a first manufacturing step of layering on a substrate, in the following order, a first electroconductive layer, a dielectric layer in which plural contact holes are formed which pass therethrough in a direction orthogonal to the substrate, a second electroconductive layer which is electrically connected to the first electroconductive layer inside the contact holes and which fills the contact holes, a light-emitting layer, and a third electroconductive layer; a temperature distribution measurement step of applying a voltage to the first electroconductive layer and the third electroconductive layer, causing the light-emitting layer to emit light, and measuring the temperature distribution of the electroluminescent element to obtain temperature unevenness information for the electroluminescent element; and a second manufacturing step of adjusting, on the basis of the temperature unevenness information, the density of the plural contact holes that pass through the dielectric layer. | 05-14-2015 |
20150132865 | METHOD FOR FORMING BUMPS, SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME, SUBSTRATE PROCESSING APPARATUS, AND SEMICONDUCTOR MANUFACTURING APPARATUS - A semiconductor substrate is secured by suction to a rear face of a supporting face of a substrate supporting table. In this event, the thickness of the semiconductor substrate is made fixed by planarization on the rear face, and the rear face is forcibly brought into a state free from undulation by the suction to the supporting face, so that the rear face becomes a reference face for planarization of a front face. In this state, a tool is used to cut surface layers of Au projections and a resist mask on the front face, thereby planarizing the Au projections and the resist mask so that their surfaces become continuously flat. This can planarize the surfaces of fine bumps formed on the substrate at a low cost and a high speed in place of CMP. | 05-14-2015 |
20150147826 | Integrated System, Integrated System Operation Method And Film Treatment Method - An integrated system operation method is disclosed that includes the following steps: the film of a substrate is measured by a metrology apparatus to obtain a film information. The substrate is moved from the metrology apparatus to a process apparatus adjacent to the transfer apparatus. The film information is sent to the process apparatus. A film treatment is applied to the substrate in accordance with the film information. | 05-28-2015 |
20150147827 | Substrate Tuning System and Method Using Optical Projection - Techniques herein include systems and methods that provide a spatially-controlled or pixel-based projection of light onto a substrate to tune various substrate properties. A given pixel-based image projected on to a substrate surface can be based on a substrate signature. The substrate signature can spatially represent non-uniformities across the surface of the substrate. Such non-uniformities can include energy, heat, critical dimensions, photolithographic exposure dosages, etc. Such pixel-based light projection can be used to tune various properties of substrates, including tuning of critical dimensions, heating uniformity, evaporative cooling, and generation of photo-sensitive agents. Combining such pixel-based light projection with photolithographic patterning processes and/or heating processes improves processing uniformity and decreases defectivity. Embodiments can include using a digital light processing (DLP) chip, grating light valve (GLV), or other grid-based micro projection technology. | 05-28-2015 |
20150147828 | SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a semiconductor light emitting device includes a semiconductor layer, a p-side electrode, an n-side electrode, a fluorescent material layer and a scattering layer. The semiconductor layer has a first surface and a second surface on an opposite side to the first surface and includes a light emitting layer. The p-side electrode and the n-side electrode are provided on the semiconductor layer on a side of the second surface. The fluorescent material layer is provided on a side of the first surface and includes a plurality of fluorescent materials and a first bonding material. The first bonding material integrates the fluorescent materials. The scattering layer is provided on the fluorescent material layer and includes scattering materials and a second bonding material. The scattering materials are configured to scatter radiated light of the light emitting layer. The second bonding material integrates the scattering materials. | 05-28-2015 |
20150292868 | SYSTEMS AND METHODS FOR SEMICONDUCTOR DEVICE PROCESS DETERMINATION USING REFLECTIVITY MEASUREMENT - Methods and systems that include receiving a plurality of reflectivity measurements on a semiconductor wafer. A reflectivity map is generated based on the received plurality of reflectivity measurements. The generated reflectivity map is used to determine a process parameter of an epitaxial growth process using the reflectivity map. In an embodiment, the process parameter is a power setting (heating) of a lamp of a CVD epitaxy tool. | 10-15-2015 |
20150294917 | Method for Correcting Wafer Bow from Overlay - Described herein are methods for flattening a substrate, such as a semiconductor wafer, to reduce bowing in such substrates. Methods include treating or bombarding a backside surface of a substrate with particles of varying doses, densities, and spatial locations. Particle bombardment and selection is such that the substrate becomes more planar by selectively increasing or decreasing z-height points to reduce overall deflection. One or more tensile or compressive films can be added to the backside surface to be selectively relaxed at specific point locations. Such methods can correct bowing in substrates resulting from various fabrication processes such as thermal annealing. | 10-15-2015 |
20150298153 | Techniques for Arrayed Printing of a Permanent Layer with Improved Speed and Accuracy - A repeatable manufacturing process uses a printer to deposits liquid for each product carried by a substrate to form respective thin films. The liquid is dried, cured or otherwise processed to form from the liquid a permanent layer of each respective product. To perform printing, each newly-introduced substrate is roughly mechanically aligned, with an optical system detecting sub-millimeter misalignment, and with software correcting for misalignment. Rendering of adjusted data is performed such that nozzles are variously assigned dependent on misalignment to deposit droplets in a regulated manner, to ensure precise deposition of liquid for each given area of the substrate. For example, applied to the manufacture of flat panel displays, software ensures that exactly the right amount of liquid is deposited for each “pixel” of the display, to minimize likelihood of visible discrepancies in the resultant display. | 10-22-2015 |
20150352669 | ETCHING METHOD AND BEVEL ETCHING APPARATUS - An etching method using a bevel etching apparatus is provided. The bevel etching apparatus is configured to etch a substrate by emitting a laser beam and includes a laser generator and a power meter configured to measure the laser beam output from the laser generator. In the method, the power meter is irradiated with the laser beam for a predetermined period of time before etching the substrate by irradiating the substrate with the laser beam. An output value of the laser beam is measured by the power meter. It is determined whether the measured output value of the laser beam is in a range of predetermined thresholds with respect to an output setting value of the laser beam output from the laser generator. | 12-10-2015 |
20150357250 | METHOD OF MANUFACTURING LIGHT EMITTING DEVICE PACKAGE - A method of manufacturing a light emitting device package includes forming a plurality of light emitting devices by growing a plurality of semiconductor layers on a wafer, and measuring color characteristics of light emitted from each of the plurality of light emitting devices. For each of the plurality of light emitting devices, a type and an amount of wavelength conversion material is determined for color compensating the light emitting device based on a difference between the measured color characteristics and target color characteristics. A wavelength conversion layer is formed on at least two light emitting devices among the plurality of light emitting devices, the wavelength conversion layer having the type and the amount of wavelength conversion material determined for the at least two light emitting devices. The plurality of light emitting devices is then divided into individual light emitting device packages. | 12-10-2015 |
20150357251 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A sample semiconductor device is manufactured and the curvature of the sample is measured. An area is set to be removed from an encapsulation resin layer on the basis of the measurement value. After forming the encapsulation resin layer during the process of manufacturing the semiconductor device, the removal area is removed. | 12-10-2015 |
20150357253 | AUTOMATED FILLET INSPECTION SYSTEM WITH CLOSED LOOP FEEDBACK AND METHODS OF USE - Systems and methods for automated inspection of fillet formation along on or more peripheral edges ( | 12-10-2015 |
20150358558 | WAFER LEVEL PACKAGING OF REDUCED-HEIGHT INFRARED DETECTORS - Systems and methods may be provided for generating reduced-height circuit packages such as infrared detector packages. An alignment and dicing system may include an infrared camera that captures images of alignment marks of a wafer assembly through a lid wafer of the wafer assembly, a light source that illuminates the alignment marks through the lid wafer, and dicing equipment that dices the wafer assembly based on infrared images captured using the infrared camera. The light source may illuminate the alignment marks through the lid wafer by providing light such as infrared light to the wafer assembly through optics of the infrared camera. The infrared camera may capture images of alignment marks formed on a detector wafer of the wafer assembly or on an interior or lower surface of the lid wafer through the lid wafer. The dicing equipment may be aligned with the wafer assembly based on the captured images. | 12-10-2015 |
20150364484 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device manufacturing method includes forming a silicon layer by epitaxial growth over a semiconductor substrate having a first area and a second area; forming a first gate oxide film by oxidizing the silicon layer; removing the first gate oxide film from the second area, while maintaining the first gate oxide film in the first area; thereafter, increasing a thickness of the first gate oxide film in the first area and simultaneously forming a second gate oxide film by oxidizing the silicon layer in the second area; and forming a first gate electrode and a second gate electrode over the first gate oxide film and the second gate oxide film, respectively, wherein after the formation of the first and second gate electrodes, the silicon layer in the first area is thicker than the silicon layer in the second area. | 12-17-2015 |
20150364639 | METHOD OF MANUFACTURING SEMICONDUCTOR LIGHT EMITTING DEVICE PACKAGE - A method of manufacturing a semiconductor light emitting device package includes arranging a plurality of light emitting structures on a support substrate, each light emitting structure including a first conductivity-type semiconductor layer, an active layer and a second conductivity-type semiconductor layer, bonding a light transmissive substrate to the plurality of light emitting structures, the light transmissive substrate having a plurality of wavelength conversion regions corresponding to the plurality of light emitting structures, respectively, removing the support substrate from the plurality of light emitting structures, and separating individual semiconductor light emitting device packages from one another by removing at least a portion of the light transmissive substrate. | 12-17-2015 |
20150371884 | Concentric Stiffener Providing Warpage Control To An Electronic Package - Techniques or processes for reducing and/or mitigation warpage are disclosed. A method for fabricating a package includes providing a stiffener member for mounting on a substrate of the package, determining an out-of-plane displacement for the substrate at a temperature of interest, the out-of-plane displacement corresponding to warpage, and if the warpage exceeds a predetermined value, modifying at least one attribute associated with the stiffener member. | 12-24-2015 |
20150371910 | Automated Inline Inspection of Wafer Edge Strain Profiles Using Rapid Photoreflectance Spectroscopy - Photoreflectance spectroscopy is used to measure strain at or near the edge of a wafer in a production process. The strain measurement is used to anticipate defects and make prospective corrections in later stages of the production process. Strain measurements are used to associate various production steps with defects to enhance later production processes. | 12-24-2015 |
20150371911 | Systems and methods for reducing beam instability in laser annealing - Systems and methods for reducing beam instability in laser annealing are disclosed. The method includes: directing a conditioned laser beam through an opening in an aperture using a beam-redirecting element; forming a line image on the surface of the semiconductor wafer by imaging the aperture onto the surface, thereby locally heating the surface to form an annealing temperature distribution; detecting a thermal emission from the locally heated wafer surface; determining the annealing temperature distribution from the detected thermal emission; determining from the annealing temperature distribution a line-image intensity profile that includes a time-varying amount of slope; and adjusting the beam-redirecting element to redirect the laser beam to reduce or eliminate the time-varying amount of slope in the line-image intensity profile. | 12-24-2015 |
20150380321 | System and Method for Dark Field Inspection - A method for fabricating a semiconductor structure includes providing a substrate and a first layer over the substrate, wherein the first layer includes one or more overlay marks. The method further includes forming one or more layers on the first layer and performing a dark field (DF) inspection on the one or more overlay marks underlying the one or more layers to receive a post-film-formation data. | 12-31-2015 |
20160013052 | INTEGRATION OF ABSORPTION BASED HEATING BAKE METHODS INTO A PHOTOLITHOGRAPHY TRACK SYSTEM | 01-14-2016 |
20160027707 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE USING SEMICONDUCTOR MEASUREMENT SYSTEM - A method includes loading a substrate into a sensing chamber; while the substrate is in the sensing chamber, performing a spectral analysis of the substrate; transferring the substrate between the sensing chamber and a processing chamber coupled to the sensing chamber; processing the substrate in the processing chamber to form at least a first layer and/or pattern on the substrate; and based on at least the spectral analysis, determining whether a parameter resulting from the formation of first layer and/or pattern is satisfied. | 01-28-2016 |
20160035635 | WAFER PROCESSING METHOD - A wafer processing method includes a first correction step of measuring a distance “a” between a first cut groove previously formed by a first cutting unit and a division line for the next cut groove, and correcting an actual index amount by using a deviation “b” of the first cutting unit equivalent to the difference between the distance “a” and a proper index amount of the first cutting unit, and a second correction step of forming a measurement groove by using a second cutting unit along the division line for the next cut groove, measuring a distance “c” between the first cut groove and the measurement groove, and correcting an actual index amount of the second cutting unit by using a deviation “d” equivalent to the difference between the distance “c” and a proper index amount of the second cutting unit during the cutting step. | 02-04-2016 |
20160035944 | METHODS OF TUNING LIGHT EMITTING DEVICES AND TUNED LIGHT EMITTING DEVICES - Methods of treating an emission spectrum of visible light emitted from a light emitting source, and resulting apparatus, are disclosed. The methods include obtaining the visible light emission spectrum emitted from the light emitting source and a desired visible light emission spectrum. The methods may also include determining at least one wavelength of the emission spectrum of the source with an irradiance or intensity that is less than that of the desired emission spectrum. The methods may include selecting at least one pigment that is effective in tuning the emission spectrum of the source by increasing the intensity or irradiance of the at least one wavelength. The methods may include applying the at least one pigment to the light emitting source to treat the emission spectrum emitted therefrom. | 02-04-2016 |
20160043007 | SUBSTRATE BACKSIDE TEXTURING - Embodiments described relate to a method and apparatus for reducing lithographic distortion. A backside of a semiconductor substrate may be texturized. Then a lithographic process may be performed on the semiconductor substrate having the texturized backside. | 02-11-2016 |
20160087211 | METHOD FOR FORMING PATTERN OF ORGANIC ELECTROLUMINESCENT ELEMENT - A method includes forming an emission pattern on an organic electroluminescent element including an organic functional layer between two electrodes by light irradiation to the organic electroluminescent element, and controlling at least one of light intensity and exposure time as variable factors during the light irradiation based on reciprocity failure characteristics involving modification of a function of the organic functional layer due to the light irradiation. | 03-24-2016 |
20160111343 | METHOD OF FABRICATING FLASH MEMORY - A method of fabricating a flash memory includes providing a fin structure. The fin structure includes a floating gate material, an oxide layer and a semiconductive layer. An insulating layer is disposed at two sides of the fin structure. Then, a dielectric layer conformally covers the floating gate material and insulating layer. Later, a patterned first mask layer, a patterned second mask layer, and a control gate are stacked on the dielectric layer from bottom to top. The control gate crosses at least one fin structure. Next, at least one isotropic etching step is performed to entirely remove the exposed dielectric layer. | 04-21-2016 |
20160111591 | LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - A method of fabricating a light-emitting device, the method including forming a first resin comprising a phosphor inside a cavity of a package body on which a light-emitting diode chip is mounted, measuring color coordinates of light emitted by combination of the light-emitting diode chip and the phosphor, and correcting the color coordinates by forming a second resin on the first resin. The first resin is not fully cured before measuring and correcting the color coordinates. | 04-21-2016 |
20160118435 | IMAGE PICKUP MODULE MANUFACTURING METHOD, AND IMAGE PICKUP MODULE MANUFACTURING DEVICE - A manufacturing device holds a lens unit on a Z axis that is orthogonal to a chart surface of a measurement chart, holds an image pickup element unit on the Z axis, picks up an image of the measurement chart by an image pickup element while changing a Z-axis direction position of the image pickup element unit held on the Z axis in a state in which current is applied to a second lens drive unit and a third lens drive unit of the lens unit held on the Z axis, adjusts the position and a tilt of the image pickup element unit relative to the lens unit on the basis of image pickup signals that are obtained in the case where the image of the measurement chart is picked up, and fixes the image pickup element unit to the lens unit. | 04-28-2016 |
20160118552 | METHOD OF MANUFACTURING LIGHT EMITTING DIODE PACKAGE - A method of manufacturing a light emitting diode package comprises steps of: scanning a light emitting diode chip mounted on a package substrate to acquire mounting image data; generating three dimensional (3D) image data by comparing the mounting image data with mounting reference data; and forming an optical structure including a plurality of layers on the package substrate on using the 3D image data. | 04-28-2016 |
20160124323 | EXPOSURE METHOD OF WAFER SUBSTRATE, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, AND EXPOSURE TOOL - A method for exposing a wafer substrate includes forming a reticle having a device pattern. A relative orientation between the device pattern and a mask field of an exposure tool is determined based on mask field utilization. The reticle is loaded on the exposure tool. The wafer substrate is rotated based on an orientation of the device pattern. Radiation is projected through the reticle onto the rotated wafer substrate by the exposure tool, thereby imaging the device pattern onto the rotated wafer substrate. | 05-05-2016 |
20160131593 | SURFACE INSPECTION APPARATUS AND METHOD, AND METHOD OF MANUFACTURING DISPLAY DEVICE - A surface inspection apparatus and method, and a method of manufacturing a display device are disclosed. In one aspect, the surface inspection method includes placing an object on a stage comprising a top surface inclined at a predetermined angle with respect to a plane having a first direction and a second direction crossing the first direction. The method also includes irradiating light onto the object via a surface inspection unit. The method also includes obtaining a first image comprising first interference fringes captured by the imaging device, moving at least one of the surface inspection unit and the stage in at least one of the first and second directions, obtaining a second image including second interference fringes captured by the imaging device, and moving the surface inspection unit in the third direction so as to correct movement of the second interference fringes with respect to the first interference fringes. | 05-12-2016 |
20160141169 | SUBSTRATE BACKSIDE TEXTURING - Embodiments described relate to a method and apparatus for reducing lithographic distortion. A backside of a semiconductor substrate may be texturized. Then a lithographic process may be performed on the semiconductor substrate having the texturized backside. | 05-19-2016 |
20160172254 | MEASURING DEVICE AND METHOD FOR MEASURING LAYER THICKNESSES AND DEFECTS IN A WAFER STACK | 06-16-2016 |
20160172256 | Detection of Lost Wafer from Spinning Chuck | 06-16-2016 |
20160172257 | ETCHING PROCESSING METHOD AND BEVEL ETCHING APPARATUS | 06-16-2016 |
20160181134 | MONITORING SYSTEM FOR DEPOSITION AND METHOD OF OPERATION THEREOF | 06-23-2016 |
20160190023 | WAFER BACK-SIDE POLISHING SYSTEM AND METHOD FOR INTEGRATED CIRCUIT DEVICE MANUFACTURING PROCESSES - A wafer polishing process includes polishing a central area on the back side of a wafer, polishing a peripheral area on the back side of the wafer, buffing the central area, and buffing the peripheral area. The process can significantly reduce scratch-related wafer breakage, can correct focus spots on wafers, and can replace cleaning processes that use chemical etchants. Polishing and buffing can include polishing and buffing the bevel region. Further improvements include polishing with abrasive pads having a soft backing, polishing or buffing with pads having relatively soft abrasive particles, polishing or buffing with abrasive pads made from abrasive particles that have been sorted and selected for regularity of shape, irrigating the surface being polished or buffed with an aqueous solution that includes a friction-reducing agent, and buffing with abrasive pads having 20k or finer grit or non-abrasive pads. | 06-30-2016 |
20160379897 | HEAT TREATMENT APPARATUS AND TEMPERATURE CONTROL METHOD - There is provided a heat treatment apparatus for performing a predetermined film forming process on a substrate by mounting the substrate on a surface of a rotary table installed in a processing vessel and heating the substrate by a heating part while rotating the rotary table. The heat treatment apparatus includes: a first temperature measuring part of a contact-type configured to measure a temperature of the heating part; a second temperature measuring part of a non-contact type configured to measure a temperature of the substrate mounted on the rotary table in a state where the rotary table is being rotated; and a temperature control part configured to control the heating part based on a first measurement value measured by the first temperature measuring part and a second measurement value measured by the second temperature measuring part. | 12-29-2016 |
20160379900 | METHODS INCLUDING A PROCESSING OF WAFERS AND SPIN COATING TOOL - A method includes performing a spin coating process. In the spin coating process, a first fluid is dispensed to a surface of a wafer. The method further includes performing an inspection of an edge area of the wafer. On the basis of the inspection of the edge area of the wafer, a defect analysis is performed. In the defect analysis, it is determined if the edge area of the wafer has a defect that is indicative of an insufficient coating of the surface of the wafer by the first fluid. | 12-29-2016 |
20170236721 | RAPID HEATING PROCESS IN THE PRODUCTION OF SEMICONDUCTOR COMPONENTS | 08-17-2017 |
20180025951 | INFORMATION PROCESSING DEVICE, PROCESSING DEVICE, PREDICTION METHOD, PROGRAM, AND PROCESSING METHOD | 01-25-2018 |
20220140296 | NOZZLE-DROPLET COMBINATION TECHNIQUES TO DEPOSIT FLUIDS IN SUBSTRATE LOCATIONS WITHIN PRECISE TOLERANCES - An ink printing process employs per-nozzle droplet volume measurement and processing software that plans droplet combinations to reach specific aggregate ink fills per target region, guaranteeing compliance with minimum and maximum ink fills set by specification. In various embodiments, different droplet combinations are produced through different print head/substrate scan offsets, offsets between print heads, the use of different nozzle drive waveforms, and/or other techniques. Optionally, patterns of fill variation can be introduced so as to mitigate observable line effects in a finished display device. The disclosed techniques have many other possible applications. | 05-05-2022 |