Entries |
Document | Title | Date |
20080199977 | Activated Chemical Process for Enhancing Material Properties of Dielectric Films - A method for restoring a dielectric constant of a layer of a silicon-containing dielectric material having a first dielectric constant and at least one surface, wherein the first dielectric constant of the layer of silicon-containing dielectric material has increased to a second dielectric constant, the method comprising the steps of: contacting the at least one surface of the layer of silicon-containing dielectric material with a silicon-containing fluid; and exposing the at least one surface of the layer of silicon-containing dielectric material to an energy source selected from the group consisting of: UV radiation, heat, and an electron beam, wherein the layer of silicon-containing dielectric material has a third dielectric constant that is lower than the second dielectric constant after exposing the layer of silicon-containing dielectric material to the energy source. | 08-21-2008 |
20080206896 | METHOD FOR REPAIRING LIQUID CRYSTAL DISPLAY PANEL - Provided is a method for repairing a LCD panel. An opaque substance is disposed within a region on the thin film transistor panel of LCD panel corresponding to at least one pixel with the bright spot defect before forming a cell, or within a region on the LCD panel corresponding to at least one pixel with the bright spot defect after forming a cell. | 08-28-2008 |
20080220543 | Method for fabricating semiconductor device - A method for fabricating a semiconductor device includes forming a fuse over a substrate, the fuse having a barrier layer, a metal layer, and an anti-reflective layer stacked, selectively removing the anti-reflective layer, forming an insulation layer over a whole surface of the resultant structure including the fuse, and performing repair-etching such that part of the insulation layer remains above the fuse. | 09-11-2008 |
20080227223 | ACTIVE MATRIX SUBSTRATE AND REPAIRING METHOD THEREOF - An active matrix substrate including a substrate, a plurality of pixel units, a plurality of driving lines, an electron static discharge (ESD) protection circuit and a floating line is provided. The substrate has an active region and a peripheral region connected with the active region. The pixel units are arranged in a matrix in the active region. The driving lines electrically connected to the pixels are disposed in the active region and the peripheral region. The ESD protection circuit and the floating line are disposed in the peripheral region of the substrate. The ESD protection circuit is electrically connected to the driving lines. The ESD protection circuit includes an outer short ring (OSR) and an inner short ring (ISR) disposed between the pixel units and the OSR. The floating line is located beside the outer driving line. | 09-18-2008 |
20080241968 | MANUFACTURING METHOD, REMANUFACTURING METHOD AND RESHIPPING METHOD FOR A SEMICONDUCTOR MEMORY DEVICE - A manufacturing method, remanufacturing method and reshipping method for a semiconductor memory device capable of preventing the charge hold characteristic from deteriorating even if information data is repeatedly written and erased. The manufacturing method is for a semiconductor memory device having a plurality of memory cells in an FET structure formed on a semiconductor substrate, wherein each of the plurality of memory cells is to store a unit bit and hold information data. Preparing a plurality of memory cells, bits of the information data are written to the memory cells. After writing the information data bits to the memory cells, the memory cells are allowed to stand at a predetermined ambient temperature for a predetermined time. Thereafter, bits of the information data are written to the memory cells. | 10-02-2008 |
20080286884 | METHOD FOR IN-SITU REPAIRING PLASMA DAMAGE AND METHOD FOR FABRICATING TRANSISTOR DEVICE - A method for in-situ repairing plasma damage, suitable for a substrate, is provided. A component is formed on the substrate. The formation steps of the component include a main etching process containing plasma. The method involves performing a soft plasma etching process in the apparatus of the main etching process containing plasma to remove a portion of the substrate. The soft plasma etching process is less than 30% of the power used in the main etching process. | 11-20-2008 |
20080305560 | Method for eliminating defects from semiconductor materials - Using a helium cryostat, the temperature for a substrate wafer(s) is reduced to 2.2 Kelvin over a period of twenty-four hours. Next, a soak segment will hold the temperature of the substrate wafer at 2.2 Kelvins for a period of ninety-six hours. At these low temperatures, alloys such as GaAs, InP, and GaP will form dipole molecular moments, which will re-align along lines of internal magnetic force as molecular bonds condense. Next the substrate wafer's temperature is ramped up to room temperature over a period of twenty-four hours. Next, the temperature of the substrate wafer is ramped up to assure that the temperature gradients made to occur within the wafer are kept low. Typically, a temper ramp up temperature will range between 300° F. to 1100° F. and depends upon the single crystal material used to construct the substrate wafer. Next, the substrate wafer undergoes a temper hold segment, which assures that the entire substrate wafer has had the benefit of the tempering temperature. A typical temper hold segment is around 3 hours and depends upon the material, thickness, and diameter size of the substrate wafer. | 12-11-2008 |
20080311684 | Programmable Chip Enable and Chip Address in Semiconductor Memory - Memory die are provided with programmable chip enable circuitry to allow particular memory die to be disabled after packaging and/or programmable chip address circuitry to allow particular memory die to be readdressed after being packaged. In a multi-chip memory package, a memory die that fails package-level testing can be disabled and isolated from the memory package by a programmable circuit that overrides the master chip enable signal received from the controller or host device. To provide a continuous address range, one or more of the non-defective memory die can be re-addressed using another programmable circuit that replaces the unique chip address provided by the pad bonding. Memory chips can also be also be readdressed after packaging independently of detecting a failed memory die. | 12-18-2008 |
20080311685 | METHODS RELATING TO THE RECONSTRUCTION OF SEMICONDUCTOR WAFERS FOR WAFER LEVEL PROCESSING - Methods relating to the reconstruction of semiconductor wafers for wafer-level processing are disclosed. Selected semiconductor dice having alignment cavities formed in a surface thereof are placed in contact with liquid, gel or other flowable alignment droplets in a similar pattern protruding from a substrate to position the dice through surface tension interaction. The alignment droplets are then solidified to maintain the positioning and an underfill is disposed between the dice and the fixture to strengthen and maintain the reconstructed wafer. A fixture plate may be used in combination with the underfill to add additional strength and simplify handling. The reconstructed wafer may be subjected to wafer-level processing, wafer-level testing and burn-in being particularly facilitated using the reconstructed wafer. Alignment droplets composed of sacrificial material may be removed from the reconstructed wafer and the resulting void filled to form interconnects or contacts on the resulting dice. | 12-18-2008 |
20080318343 | Wafer reclaim method based on wafer type - A method for reclaiming a wafer is described. Embodiments of the invention describe a method in which an analytical measurement of a wafer surface is performed in order to determine a wafer type of the wafer. In an embodiment an XRF measurement is performed to determine the composition of a film disposed over a surface of the wafer. The XRF results are correlated with a wafer type. The wafer is then stripped in accordance with the wafer type. | 12-25-2008 |
20090004760 | METHOD FOR PRODUCING A MATRIX FOR DETECTING ELECTROMAGNETIC RADIATION AND METHOD FOR REPLACING AN ELEMENTARY MODULE OF SUCH A DETECTION MATRIX - A method for producing a matrix of electromagnetic radiation detectors made up of a plurality of elementary detection modules mounted on an interconnection substrate. The method includes depositing on the interconnection substrate a predefined number of quantities of solder or hybridization material, intended to constitute hybridization bumps for the elementary modules, in at least a first array for the nominal hybridization, and at least one second array, with the deposits of solder or hybridization material of the second array being lower in volume than those of the first array, depositing a liquid flux on the interconnection substrate, mounting the elementary modules to be hybridized on the interconnection substrate, and raising the temperature of a chamber in which the various elements to be hybridized are positioned until reaching at least the melting point of the solder or hybridization material to join the modules and interconnection substrate together by reflow effect. | 01-01-2009 |
20090011522 | Semiconductor Device Package Disassembly - Systems and methods are disclosed for the disassembly and preferably reassembly of semiconductor device packages. A method of the invention includes steps for excavating a portion of a semiconductor device package to expose a target surface within the interior of the package. The technique further includes steps of focusing a laser at a selected distance from the target surface in order to ablate the package material, exposing the target surface. Preferred embodiments of the invention are disclosed in which a cavity is excavated through the package to expose portions of leadfingers within. A temporary chip mount plate is affixed to an exterior surface of the package to cover one side of the cavity. A chip is attached to the temporary chip mount plate where it is electrically coupled to the leadfingers in the interior of the package. The contents of the cavity are then encapsulated with dielectric mold compound and the temporary chip mount plate is preferably removed to expose the backside of the chip. | 01-08-2009 |
20090017563 | PLASMA TREATMENT AND REPAIR PROCESSES FOR REDUCING SIDEWALL DAMAGE IN LOW-K DIELECTRICS - A method of forming an interconnect structure for an integrated circuit, including the steps of providing a substrate and forming a dielectric stack on the substrate including an etch-stop layer, a low-k dielectric layer, and a hardmask layer. The method further includes the steps of patterning a photoresist masking layer on the dielectric stack to define a plurality of feature defining regions and plasma processing the substrate in a plasma-based reactor, The processing step includes etching a plurality of features into the hardmask layer and at least a portion of the low-k dielectric layer and performing a plasma treatment process in situ in the plasma-based reactor, where the plasma treatment process includes flowing at least one hydrocarbon into the reactor and generating a plasma, where a mass flow rate of the hydrocarbon is at least 0.1 sccm. The method also includes forming a metal conductor in the plurality of features. | 01-15-2009 |
20090162949 | METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DEVICE - The present invention provides a method of manufacturing an organic light-emitting device which is applicable to a large-screen display device. The method includes the steps of: forming, over a drive substrate, an element region including a drive transistor, and an organic electroluminescence element in which, an anode, an organic layer and a cathode are stacked in this order; and after the formation of the element region, repairing a short circuit area while setting at least the element region in an atmosphere in which an oxygen concentration is 0.1% or higher and less than 1% and a dew point is −50 degrees or less, and applying a voltage across the anode and the cathode. | 06-25-2009 |
20090325320 | PROCESSES FOR RECONDITIONING MULTI-COMPONENT ELECTRODES - A process for reconditioning a multi-component electrode comprising a silicon electrode bonded to an electrically conductive backing plate is provided. The process comprises: (i) removing metal ions from the multi-component electrode by soaking the multi-component electrode in a substantially alcohol-free DSP solution comprising sulfuric acid, hydrogen peroxide, and water and rinsing the multi-component electrode with de-ionized water; (ii) polishing one or more surfaces of the multi-component electrode following removal of metal ions there from; and (iii) removing contaminants from silicon surfaces of the multi-component electrode by treating the polished multi-component electrode with a mixed acid solution comprising hydrofluoric acid, nitric acid, acetic acid, and water and by rinsing the treated multi-component electrode with de-ionized water. Additional embodiments of broader and narrower scope are contemplated. | 12-31-2009 |
20090325321 | Reclaiming Packages - A method of forming a semiconductor card. A semiconductor package having a damaged controller die is reclaimed. The reclaim process includes severing the electrical connections between the controller die and the semiconductor package substrate without exposing the passive component. In one embodiment, the cutting tool comprises a saw blade. An electrically insulating material is deposited over the exposed bond wires to complete the reclaim process. The reclaimed package and a new controller die are affixed to a second substrate to electrically couple the memory die of the reclaimed package with the new controller die—forming a new package. The new package is encapsulated to form a new memory card. | 12-31-2009 |
20100003768 | SYSTEM AND METHOD FOR PROCESSING SUBSTRATES WITH DETACHABLE MASK - Apparatus and methods are provided that enable processing of patterned layers on substrates using a detachable mask. Unlike prior art where the mask is formed directly over the substrate, according to aspects of the invention the mask is made independently of the substrate. During use, the mask is positioned in close proximity or in contact with the substrate so as to expose only portions of the substrate to processing, e.g., sputtering or etch. Once the processing is completed, the mask is moved away from the substrate and may be used for another substrate. The substrate may be cycled for a given number of substrates and then be removed for cleaning or disposal. | 01-07-2010 |
20100015731 | Method of low-k dielectric film repair - An apparatus, system and method for repairing a carbon depleted low-k material in a low-k dielectric film layer includes identifying a repair chemistry having a hydrocarbon group, the repair chemistry configured to repair the carbon depleted low-k material and applying the identified repair chemistry meniscus to the low-k dielectric film layer such that the carbon depleted low-k material in the low-k dielectric film layer is sufficiently exposed to the repair chemistry meniscus substantially repairing the low-k material. The repaired low-k material exhibits substantially equivalent low-k dielectric characteristics of the low-k dielectric film layer. | 01-21-2010 |
20100015732 | SEMICONDUCTOR CHIP REPAIR BY STACKING OF A BASE SEMICONDUCTOR CHIP AND A REPAIR SEMICONDUCTOR CHIP - Base semiconductor chips, each comprising a plurality of chiplets, are manufactured and tested. For a base semiconductor chip having at least one non-functional chiplet, at least one repair semiconductor chiplet, which provides the same functionality as one of the at least one non-functional chiplet is designed to provide, is vertically stacked. The at least one repair semiconductor chiplet provides the functionality that the at least one non-functional chiplet is designed to provide to the base semiconductor chip. A functional multi-chip assembly is formed, which provides the same functionality as a base semiconductor chip in which all chiplets are functional. In case a first attempt to repair the base semiconductor chip by stacking repair semiconductor chips is unsuccessful, additional repair semiconductor chips may be subsequently stacked to fully repair the base semiconductor chip. | 01-21-2010 |
20100029018 | METHOD FOR REPAIR OF SEMICONDUCTOR DEVICE - The present invention relates to a method for repairing a semiconductor device. The method includes cutting a fuse without creation of residue by transforming the fuse into a nonconductor of high resistance by oxidizing the fuse by irradiating the fuse with an oxygen ion beam instead of a laser in a blowing process. The method includes transforming a fuse corresponding to a defective cell among a plurality of fuses formed in an upper portion of a semiconductor substrate into an oxide film. | 02-04-2010 |
20100029019 | DETECTING MATERIALS ON WAFER AND REPAIR SYSTEM AND METHOD THEREOF - Disclosed is a system and a method for detecting and repairing alien materials on a semiconductor wafer. The system includes a transfer arm for transferring and aligning a wafer, an inspection unit, on which the wafer is seated, and which obtains an image of the wafer surface, an analysis module for analyzing the alien material appearing in the image obtained by the inspection unit, and a repair unit for repairing the alien material according to information regarding the analyzed alien material. The simple construction of the system and method for detecting and repairing alien materials on a wafer reduces the manufacturing cost, avoids the loss of manufacturing cost, and increases the semiconductor chip yield ratio. | 02-04-2010 |
20100099204 | METHOD FOR REPAIRING THIN FILM TRANSISTOR ARRAY - A thin film transistor array comprising a substrate, a plurality of scan lines, a plurality of data lines, a plurality of thin film transistors, a plurality of common lines, a plurality of top electrodes, a plurality of connection lines and a plurality of pixel electrodes is provided. Wherein, each thin film transistor is disposed in one of the pixel areas and driven through the corresponding scan line and data line. Each thin film transistor includes a gate, a source and a drain. The drain of the thin film transistor is electrically connected to the corresponding top electrode by the corresponding connection line. Besides, the drain of the thin film transistor is electrically connected to the pixel electrode, and a portion of the connection line is not covered by the pixel electrode. | 04-22-2010 |
20100173431 | WAFER RECLAMATION METHOD AND WAFER RECLAMATION APPARATUS - Provided is a wafer reclamation method for reclaiming a semiconductor wafer, on which a different material layer is formed, by removing the different material layer. The wafer reclamation method includes a physically removing step of physically removing the different material layer, a film forming step of forming a film on a surface of the semiconductor wafer from which the different material layer has been removed in the physically removing step, and a dry etching step of etching the semiconductor wafer by plasma together with the film formed in the film forming step. | 07-08-2010 |
20100178716 | METHOD AND APPARATUS TO REMOVE A SEGMENT OF A THIN FILM SOLAR CELL STRUCTURE FOR EFFICIENCY IMPROVEMENT - The present inventions relate to methods and apparatus for detecting and mechanically removing defects and a surrounding portion of the photovoltaic layer and the substrate in a thin film solar cell such as a Group IBIIIAVIA compound thin film solar cell to improve its efficiency. | 07-15-2010 |
20100190272 | REWORK METHOD OF METAL HARD MASK - A rework method of a metal hard mask layer is provided. First, a material layer is provided. A dielectric layer, a first metal hard mask layer, and a patterned first dielectric hard mask layer have been sequentially formed on the material layer. There is a defect on a region of the first metal hard mask layer, and therefore the region of the first metal hard mask layer is not able to be patterned. After that, the patterned first dielectric hard mask layer and the first metal hard mask layer are removed. A planarization process is then performed on the dielectric layer. Next, a second metal hard mask layer and a second dielectric hard mask layer are sequentially formed on the dielectric layer. | 07-29-2010 |
20100210040 | Method and apparatus for reducing the effect of shunting defects on thin film solar cell performance - The present invention provides methods of manufacturing a high efficiency solar cell. In one embodiment, in a solar cell having a grid pattern that channels current, a defect causes an undesired current flow is removed by mechanically removing a portion of the grid pattern, thereby passivating the defect by removing a segment of the solar cell adjacent the defect. The segment also includes the front and back portions of the solar cell at the location of the defect without including the defect. | 08-19-2010 |
20100233829 | CYCLIC AMINO COMPOUNDS FOR LOW-K SILYLATION - Disclosed herein are mono-functional silylating compounds that may exhibit enhanced silylating capabilities. Also disclosed are method of synthesizing and using these compounds. Finally methods to determine effective silylation are also disclosed. | 09-16-2010 |
20100240153 | MANUFACTURE METHOD FOR PHOTOVOLTAIC MODULE - The present invention is directed to permitting a wiring material to be reused in a repair work so as to achieve productivity improvement and cost reduction. For this purpose, the invention includes: a step of overlaying a conductive adhesive film and the wiring material on an electrode of a solar cell in this order and temporarily fixing the wiring material to the solar cell by pressure bonding the wiring material under first temperature condition and first pressurizing condition; an inspection step of inspecting the quality of the temporarily fixed solar cell; a step of removing a solar cell determined to be defective in the inspection step so as to replace the defective solar cell with a non-defective solar cell and temporarily fixing the wiring material to the non-defective solar cell by pressure bonding the wiring material with the conductive adhesive film interposed therebetween, under the first temperature condition and first pressurizing condition; and a fixing step in which the arrayed solar cells having the wiring materials temporarily fixed thereto and the wiring materials are fixed together by thermally setting the conductive adhesive film under second temperature condition to apply heat higher than the first temperature condition. | 09-23-2010 |
20100273276 | LIQUID CRYSTAL DISPLAY DEVICE HAVING A DUMMY PATTERN FOR REPAIRING DATA LINES - A liquid crystal display device and its fabrication method may prevent occurrence of light leakage generated from the sides of a data line. A dummy pattern at sides of the data line with glass powder as an insulation film may simplify the repairing process. A method for fabricating a liquid crystal display device includes a gate electrode, a gate line, a dummy pattern and a first insulation film that are formed on a substrate. A switching element is formed on a portion of the gate electrode and includes a source electrode, a drain electrode and an active layer. A data line formed at a portion of the dummy pattern. A second insulation film is formed on the substrate and has a first contact hole that exposes a portion of the drain electrode. A pixel electrode is formed on the substrate and is electrically connected with the drain electrode through the first contact hole. | 10-28-2010 |
20100304505 | PROCESSING METHOD AND STORAGE MEDIUM - There is provided a processing method for performing a recovery process on a damaged layer formed on a surface of a low-k film of a target substrate by introducing a processing gas containing a methyl group into a processing chamber. The method includes: increasing an internal pressure of the processing chamber up to a first pressure lower than a processing pressure for the recovery process by introducing a dilution gas into the processing chamber maintained in a depressurized state; then stopping the introduction of the dilution gas, and increasing the internal pressure of the processing chamber up to a second pressure as the processing pressure for the recovery process by introducing the processing gas into a region where the target substrate exists within the processing chamber; and performing the recovery process on the target substrate while the processing pressure is maintained. | 12-02-2010 |
20110003402 | RECOVERY OF HYDROPHOBICITY OF LOW-K AND ULTRA LOW-K ORGANOSILICATE FILMS USED AS INTER METAL DIELECTRICS - Often used to reduce the RC delay in integrated circuits are dielectric films of porous organosilicates which have a silica like backbone with alkyl or aryl groups (to add hydrophobicity to the materials and create free volume) attached directly to the Si atoms in the network. Si—R bonds rarely survive an exposure to plasmas or chemical treatments commonly used in processing; this is especially the case in materials with an open cell pore structure. When Si—R bonds are broken, the materials lose hydrophobicity, due to formation of hydrophilic silanols and low dielectric constant is compromised. A method by which the hydrophobicity of the materials is recovered using a novel class of silylation agents which may have the general formula (R | 01-06-2011 |
20110014725 | METHOD FOR MANUFACTURING SOLAR CELL MODULE - Disclosed is a method for manufacturing a solar cell module in which a wiring substrate having a base material and a wiring formed on the base material, and a plurality of solar cells electrically connected by being placed on the wiring of the wiring substrate are sealed with a sealant, including a first step of placing at least one of the solar cells on the wiring of the wiring substrate, and a second step of sealing the wiring substrate and the solar cells with the sealant, the method including the step of conducting an inspection of the solar cells after the first step and before the second step. | 01-20-2011 |
20110020955 | VAPOR PHASE REPAIR AND PORE SEALING OF LOW-K DIELECTRIC MATERIALS - A method of treating a nanoporous low-k dielectric material formed on a semiconductor substrate is provided. The low-k dielectric material has etched openings with an etch damaged region containing silanol groups on exterior surfaces of the etched openings and on interior surfaces of interconnected pores. First, the low-k dielectric material is contacted with a vapor phase catalyst in an amount effective to form hydrogen bonds between the catalyst and the silanol groups in the etch damaged region, forming a catalytic intermediary. Second, the low-k dielectric material is contacted with a vapor phase alkoxysilane repair agent in an amount effective to react with about 50% or more of the silanol groups in the etch damaged region, such that the alkoxysilane repair agent reacts with the catalytic intermediary; and/or the low-k dielectric material is contacted with a vapor phase alkoxysilane sealing agent in an amount effective to prevent diffusion of an overlying barrier layer into the interconnected pores, such that the alkoxysilane sealing agent reacts with the catalytic intermediary. | 01-27-2011 |
20110045610 | UV TREATMENT FOR CARBON-CONTAINING LOW-K DIELECTRIC REPAIR IN SEMICONDUCTOR PROCESSING - A method for the ultraviolet (UV) treatment of carbon-containing low-k dielectric enables process-induced damage repair. The method is particularly applicable in the context of damascene processing. A method provides for forming a semiconductor device by depositing a carbon-containing low-k dielectric layer on a substrate and forming a trench in the low-k dielectric layer, the trench having sidewalls ending at a bottom. The trench is then exposed to UV radiation and, optionally a gas phase source of —CH | 02-24-2011 |
20110097821 | METHOD FOR TUNABLY REPAIRING LOW-K DIELECTRIC DAMAGE - A method for providing a tuned repair for damage to a silicon based low-k dielectric layer with organic compounds, where damage replaces a methyl attached to silicon with a hydroxyl attached to silicon is provided. A precursor gas is provided, comprising a first repair agent represented as Si—(R) | 04-28-2011 |
20110111533 | UV AND REDUCING TREATMENT FOR K RECOVERY AND SURFACE CLEAN IN SEMICONDUCTOR PROCESSING - Treatment of carbon-containing low-k dielectric with UV radiation and a reducing agent enables process-induced damage repair. Also, treatment with a reducing agent and UV radiation is effective to clean a processed wafer surface by removal of metal oxide (e.g., copper oxide) and/or organic residue of CMP slurry from the planarized surface of a processed wafer with or without low-k dielectric. The methods of the invention are particularly applicable in the context of damascene processing to recover lost low-k property of a dielectric damaged during processing, either pre-metalization, post-planarization, or both, and/or provide effective post-planarization surface cleaning to improve adhesion of subsequently applied dielectric barrier and/or other layers. | 05-12-2011 |
20110117678 | CARBON CONTAINING LOW-K DIELECTRIC CONSTANT RECOVERY USING UV TREATMENT - A method for the ultraviolet (UV) treatment of carbon-containing low-k dielectric and associated apparatus enables process induced damage repair. The methods of the invention are particularly applicable in the context of damascene processing to recover lost low-k property of a dielectric damaged during processing, either pre-metallization, post-planarization, or both. UV treatments can include an exposure of the subject low-k dielectric to a constrained UV spectral profile and/or chemical silylating agent, or both. | 05-19-2011 |
20110136265 | Method of Manufacturing Thin-Film Solar Panel and Laser Scribing Apparatus - The present invention provides a method of manufacturing a thin-film solar panel with a laser scribing process to perform linear groove processing by irradiating a thin-film layer formed on a substrate with laser light to be separated from adjacent structure, including steps of: specifying an accurate position, size, shape of a adhered foreign matter on a glass substrate, a glass scratch, an air-bubble in the glass substrate causing an imperfection by inspecting a scribe line; and performing repair processing to form a new scribe line to bypass a portion of the imperfection after a final scribe line is formed. | 06-09-2011 |
20110136266 | METHOD OF MANUFACTURING A LIGHT EMITTING DEVICE AND THIN FILM FORMING APPARATUS - A method of manufacturing a light emitting device is provided in which satisfactory image display can be performed by the investigation and repair of short circuits in defect portions of light emitting elements. A backward direction electric current flows in the defect portions if a reverse bias voltage is applied to the light emitting elements having the defect portions. Emission of light which occurred from the backward direction electric current flow is measured by using an emission microscope, specifying the position of the defect portions, and short circuit locations can be repaired by irradiating a laser to the defect portions, turning them into insulators. | 06-09-2011 |
20110151590 | APPARATUS AND METHOD FOR LOW-K DIELECTRIC REPAIR - A method, a system and a computer readable medium for integrated in-vacuo repair of low-k dielectric thin films damaged by etch and/or strip processing. A repair chamber is integrated onto a same platform as a plasma etch and/or strip chamber to repair a low-k dielectric thin film without breaking vacuum between the damage event and the repair event. UV radiation may be provided on the integrated etch/repair platform in any combination of before, after, or during the low-k repair treatment to increase efficacy of the repair treatment and/or stability of repair. | 06-23-2011 |
20110151591 | PHOTOVOLTAIC CELL MANUFACTURING METHOD - The present invention provides a photovoltaic cell manufacturing method, the photovoltaic cell including: a photoelectric converter in which at least a first electrode layer, a semiconductor layer, and a second electrode layer are stacked in layers in this order being formed on a face of a substrate; and a connection portion of the first electrode layer and the second electrode layer, the photoelectric converter having a plurality of compartment elements which are electrically separated by a predetermined size using scribing lines at which the semiconductor layer and the second electrode layer are removed, adjacent compartment elements being electrically connected to each other, the photovoltaic cell manufacturing method comprising: a defect region specifying step in which a region at which the structural defect exists is specified in the photoelectric converter; and a repairing step in which at least three repair lines in which the semiconductor layer and the second electrode layer are removed are formed by irradiating the photoelectric converter with a laser, the region at which the structural defect exists is surrounded by at least three repair lines described above and one of the scribing lines, and the structural defect is removed or separated off, wherein one of at least three repair lines described above are formed at a region between the structural defect and the connection portion and at a region α including a contact portion of the semiconductor layer and the substrate in the photoelectric converter. | 06-23-2011 |
20110171756 | REWORKABLE ELECTRONIC DEVICE ASSEMBLY AND METHOD - An electronic device assembly is provided which includes a substrate, an interposer and an integrated circuit chip. The substrate is fabricated of a first material having a first thermal expansivity, and the interposer and integrated circuit chip are fabricated of a second material having a second thermal expansivity. The second thermal expansivity is different from the first thermal expansivity so that there is a coefficient of thermal expansion mismatch between the substrate and the interposer or chip. The interposer is coupled to the substrate via a first plurality of electrical contacts and an underfill adhesive at least partially surrounding the electrical contacts to bond the interposer to the substrate and thereby reduce strain on the first plurality of electrical contacts. The integrated circuit chip is coupled to the interposer via a second plurality of electrical contacts only, without use of an adhesive surrounding the second plurality of electrical contacts. | 07-14-2011 |
20110171757 | METHOD OF MANUFACTURING PHOTOVOLTAIC CELL - Provided is a method of manufacturing a photovoltatic cell according to the present invention, the photovoltatic cell including a substrate, and a structure in which a first conductive layer, a photoelectric conversion layer and a second conductive layer are superposed on the substrate in this order; the structure is electrically separated by a predetermined size to form a plurality of compartment elements; and the compartment elements adjacent to each other are electrically connected to each other, the method including: a defect region specifying step of specifying a region in which a structural defect exists from the plurality of compartment elements; and a repairing step of irradiating the region or the periphery thereof with a laser beam to remove the structural defect, wherein the repairing step includes a step α of irradiating the structure with a first laser to remove or separate the region, and a step β of irradiating an end portion of the structure generated by the removal or separation with a second laser to clean the end portion, and wherein the second laser uses a laser obtained by defocusing the first laser so that a focus position thereof is away from the substrate. | 07-14-2011 |
20110183442 | ENCAPSULANT LAYER FOR PHOTOVOLTAIC MODULE, PHOTOVOLTAIC MODULE AND METHOD FOR MANUFACTURING REGENERATED PHOTOVOLTAIC CELL AND REGENERATED TRANSPARENT FRONT FACE SUBSTRATE - An encapsulant layer for a photovoltaic module enabling recovering and recycling or reusing of reutilizeable resources such as a transparent front face substrate and photovoltaic cell and the like among constituents of a photovoltaic module, and a method for manufacturing a regenerated photovoltaic cell and a regenerated transparent front face substrate. The photovoltaic module is formed by laminating: a transparent front face substrate; a photovoltaic cell carrying a wiring electrode and a takeoff electrode, and an encapsulant layer is placed on at least one surface; and a rear face protecting sheet. The encapsulant layer is a separable layer formed mainly of a thermoplastic resin, and an output maintenance factor of photoelectronic power of the photovoltaic module using the encapsulant layer is in a range of 80% to 100%. | 07-28-2011 |
20110237001 | SEMICONDUCTOR CHIP USED FOR EVALUATION, EVALUATION SYSTEM, AND REPAIRING METHOD THEREOF - A technique for evaluating a semiconductor chip is provided. The semiconductor chip is mounted on a mount substrate, the semiconductor chip laminating on one surface of a silicone substrate, at least any of a metal wiring film | 09-29-2011 |
20110244600 | METHOD FOR TUNABLY REPAIRING LOW-K DIELECTRIC DAMAGE - A method for providing a tuned repair for damage to a silicon based low-k dielectric layer with organic compounds, where damage replaces a methyl attached to silicon with a hydroxyl attached to silicon is provided. A precursor gas is provided, comprising a first repair agent represented as Si—(R) | 10-06-2011 |
20110275164 | COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS HAVING LOW-K DIELECTRIC MATERIALS THEREON - A removal composition and process for removing low-k dielectric material, etch stop material, and/or metal stack material from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves at least partial removal of the material(s) from the surface of the microelectronic device structure having same thereon, for recycling and/or reuse of said structure, without damage to the underlying polysilicon or bare silicon layer employed in the semiconductor architecture. | 11-10-2011 |
20110275165 | THERMOELECTRIC CONVERSION MODULE AND METHOD OF RESTORING THE SAME - A thermoelectric conversion module includes a pair of heat transfer plates, p-type semiconductor blocks and n-type semiconductor blocks arranged between the heat transfer plates, and terminal electrodes formed respectively on inner surfaces of the heat transfer plates and connecting the semiconductor blocks in series. The heat transfer plates include holes reaching from an outer surface to the terminal electrodes, and grooves each formed between the terminal electrodes and communicating between the adjacent holes. If a disconnection occurs, for example, a pin of a tester is brought into contact with the terminal electrode via the hole to specify a disconnected portion, and the terminal electrodes are electrically connected by injecting conductive paste into the holes in the disconnected portion as well as the groove. | 11-10-2011 |
20110294231 | METHOD FOR REPAIRING COPPER DIFFUSION BARRIER LAYERS ON A SEMICONDUCTOR SOLID SUBSTRATE AND REPAIR KIT FOR IMPLEMENTING THIS METHOD - Method for repairing copper diffusion barrier layers on a semiconductor solid substrate and repair kit for implementing this method. | 12-01-2011 |
20110294232 | METHOD FOR RECOVERING DAMAGE OF LOW DIELECTRIC INSULATING FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - There is provided a damage recovery method capable of recovering electrical characteristics of a low dielectric insulating film sufficiently while suppressing oxidation of buried metal and generation of pattern defaults. | 12-01-2011 |
20110300643 | Method of assembling displays on substrates - Various embodiments of methods and systems for designing and constructing displays from multiple light-modulating elements are disclosed. Display elements having different light-modulating and self-assembling characteristics may be used during display assembly and operation. | 12-08-2011 |
20120015453 | PHOTOVOLTAIC CELL MANUFACTURING METHOD AND PHOTOVOLTAIC CELL MANUFACTURING APPARATUS - A photovoltaic cell manufacturing method includes: forming a photoelectric converter which has a plurality of compartment elements that are separated by a scribing line and in which adjacent compartment elements are electrically connected; detecting a structural defect existing in the compartment element; specifying a position in which the structural defect exists, as distance data indicating a distance between the structural defect and the scribing line that is closest to the structural defect; and removing a region in which the structural defect exists based on the distance data. | 01-19-2012 |
20120028375 | INSPECTION METHOD OF LIGHT-EMITTING DEVICE AND PROCESSING METHOD AFTER INSPECTION OF LIGHT-EMITTING DEVICE - The present invention relates to a method for inspecting a light-emitting device, the method including performing a light emission test of (A) a light-emitting device including a lead frame having mounted and packaged thereon a plurality of light-emitting elements or (B) a light-emitting device obtained by resin encapsulating and packaging the light-emitting device (A), by applying a current to the plurality of light-emitting elements and judging each light-emitting element as passed or failed, in which arrangement of the plurality of light-emitting elements in the light-emitting device is set as in the following (α): (α) In a lead frame having a lattice form including a plurality of rows and a plurality of columns with a plurality of intersection points formed thereby, a plurality of light-emitting elements are disposed between the adjacent intersection points in each row, the adjacent light-emitting elements in each row are connected to each other so that positive electrode terminals or negative electrode terminals thereof face each other, and a positive-side power supply channel or a negative-side power-supply channel in the lead frame works as a common channel between a certain column and a column adjacent thereto. | 02-02-2012 |
20120064641 | METHOD OF FABRICATING ARRAY SUBSTRATE FOR ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD OF REPAIRING THE SAME - A method of fabricating an organic electroluminescent device (OELD) according to the present invention has steps of repairing a pixel region by irradiating a laser on a drain contact hole of a passivation layer in a pixel region in need of the repair; and disabling the connection between an organic electroluminescent diode and a drain electrode of a driving thin film transistor (TFT), where the pixel region of the OELD has i) the driving TFT comprising the drain electrode, ii) the passivation layer covering the driving TFT, while comprising the drain contact hole exposing the drain electrode of the driving TFT, and iii) the organic electroluminescent diode connected to the drain electrode of the driving TFT via the drain contact hole. | 03-15-2012 |
20120070913 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - A method of manufacturing a semiconductor device includes: carrying a substrate having an oxide film and a nitride film stacked thereon into a processing chamber; supporting and heating the substrate using a substrate support member provided in the processing chamber; adjusting flow rates of hydrogen-containing gas and nitrogen-containing gas in process gas using a gas flow rate controller to set a percentage R of the number of hydrogen atoms with respect to the total number of hydrogen atoms and nitrogen atoms contained in the process gas to be 0%03-22-2012 | |
20120083049 | SYSTEM AND METHOD FOR LASER PROCESSING AT NON-CONSTANT VELOCITIES - A method is disclosed for on-the-fly processing at least one structure of a group of structures with a pulsed laser output, The method includes the steps of relatively positioning the group of structures and the pulsed laser output axis with non-constant velocity, and applying the pulsed laser output to the at least one structure of the group of structures during the step of relatively positioning the group of structures and the pulsed laser output axis with non-constant velocity. | 04-05-2012 |
20120094399 | PHOTOVOLTAIC CELL MANUFACTURING METHOD AND PHOTOVOLTAIC CELL MANUFACTURING APPARATUS - A photovoltaic cell manufacturing method includes: forming a photoelectric converter including a plurality of compartment elements, the compartment elements adjacent to each other being electrically connected; determining the compartment element having a structural defect in the photoelectric converter; narrowing down a region in which the structural defect exists in the compartment element based on a resistance distribution which is obtained by measuring resistances of a plurality of portions between the compartment elements adjacent to each other, image-capturing the inside of the narrowed region in which the structural defect exists by use of an image capturing section, accurately determining a position of the structural defect from the obtained image so that a portion in which the structural defect exists in the compartment element is restricted; and removing the structural defect by irradiating the portion in which the structural defect exists with a laser beam. | 04-19-2012 |
20120100639 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND MANUFACTURING APPARATUS - A semiconductor device manufacturing method and manufacturing apparatus with which it is possible, when a wafer has a warp, to effectively peel off an ultraviolet peelable tape with ultraviolet irradiation of a short duration. Even when a wafer has a warp, by correcting the warp of the wafer with an ultraviolet transmitting plate, and uniformly irradiating an ultraviolet peelable tape attached to the wafer with ultraviolet light, it is possible to reduce a distance between an ultraviolet light source and the ultraviolet peelable tape. Also, by blocking heat from the ultraviolet light source with the ultraviolet transmitting plate, it is possible to suppress a rise in temperature of the wafer. As a result of this, it is possible to effectively peel the ultraviolet peelable tape from the wafer with ultraviolet irradiation of a short duration without any adhesive residue remaining. | 04-26-2012 |
20120107967 | METHOD FOR FABRICATION OF A SEMICONDUCTOR DEVICE AND STRUCTURE - A method of manufacturing a semiconductor wafer, the method including: providing a first monocrystalline layer including first transistors and interconnecting metal layers to perform at least one first electronic function; providing a second monocrystalline layer on top of the metal layers, wherein the second monocrystalline layer includes second transistors to perform at least one second electronic function and substituting the at least one first electronic function with the at least one second electronic function. | 05-03-2012 |
20120129274 | PHOTODIODE SELF-TEST - A photodetector array includes a plurality of photodetector cells such as avalanche photodiodes and readout circuits. An array self-tester tests a dark count or other performance characteristic of the cells. The test is performed in connection with the manufacture of the array or following the installation of the array in a detection system. | 05-24-2012 |
20120135545 | LASER APPARATUS AND METHOD FOR MANUFACTURING A SOLAR CELL MODULE USING THE SAME - A method for manufacturing a solar cell module includes forming a first electrode on a first surface of a substrate; forming a semiconductor layer on the first electrode; forming a second electrode on the semiconductor layer; inverting the substrate with the first electrode, semiconductor layer and second electrode formed thereon, and then, positioning the inverted substrate on a plurality of supports; patterning the second electrode and the semiconductor layer while the inverted substrate is on the supports by irradiating a laser on a second surface of the substrate to form a plurality of solar cells, wherein the second surface of the substrate is opposite the first surface of the substrate; identifying defective solar cells by using the supports; and repairing the defective solar cells by using the supports. | 05-31-2012 |
20120142121 | HYDROCHLORIC ACID ETCH AND LOW TEMPERATURE EPITAXY IN A SINGLE CHAMBER FOR RAISED SOURCE-DRAIN FABRICATION - A raised source-drain structure is formed using a process wherein a semiconductor structure is received in a process chamber that is adapted to support both an etching process and an epitaxial growth process. This semiconductor structure includes a source region and a drain region, wherein the source and drain regions each include a damaged surface layer. The process chamber is controlled to set a desired atmosphere and set a desired temperature. At the desired atmosphere and temperature, the etching process of process chamber is used to remove the damaged surface layers from the source and drain regions and expose an interface surface. Without releasing the desired atmosphere and while maintaining the desired temperature, the epitaxial growth process of the process chamber is used to grow, from the exposed interface surface, a raised region above each of the source and drain regions. | 06-07-2012 |
20120190133 | THROUGH SILICON VIA REPAIR - Methods and systems for altering the electrical resistance of a wiring path. The electrical resistance of the wiring path is compared with a target electrical resistance value. If the electrical resistance of the wiring path exceeds the target electrical resistance value, an electrical current is selectively applied to the wiring path to physically alter a portion of the wiring path. The current may be selected to alter the wiring path such that the electrical resistance drops to a value less than or equal to the target electrical resistance value. | 07-26-2012 |
20120190134 | SEM REPAIR FOR SUB-OPTIMAL FEATURES - A method and system for repairing photomasks is disclosed. A scanning electron microscope (SEM) is used to identify, measure, and correct defects. The SEM is operated in multiple modes, including a measuring mode and a repair mode. The repair mode is of higher landing energy and exposure time than the measuring mode, and induces shrinkage in the photoresist to correct various features, such as vias that are too small. | 07-26-2012 |
20120225501 | THREE DIMENSIONAL SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME AND ELECTRICAL CUTOFF METHOD FOR USING FUSE PATTERN OF THE SAME - Provided is a three-dimensional semiconductor device. The three-dimensional semiconductor device includes a body in which a plurality of semiconductor chips or packages are stacked, a protective substrate configured to protect an outer layer chip or package of the body and configured to transmit a laser beam, and a fuse pattern portion having a pattern of a fuse function formed to cut off an electrical connection of a defective chip or package by the laser beam penetrating the protective substrate when at least one of the chips or packages is defective. | 09-06-2012 |
20120231554 | METHOD AND STRUCTURE FOR REWORKING ANTIREFLECTIVE COATING OVER SEMICONDUCTOR SUBSTRATE - A method and a structure for reworking an antireflective coating (ARC) layer over a semiconductor substrate. The method includes providing a substrate having a material layer, forming a planarization layer on the material layer, forming an organic solvent soluble layer on the planarization layer, forming an ARC layer on the organic solvent soluble layer, forming a pattern in the ARC layer, and removing the organic solvent soluble layer and the ARC layer with an organic solvent while leaving the planarization layer unremoved. The structure includes a substrate having a material layer, a planarization layer on the material layer, an organic solvent soluble layer on the planarization layer, and an ARC layer on the organic solvent soluble layer. | 09-13-2012 |
20120244643 | Light-Emitting Device and Manufacturing Method Thereof - An EL light-emitting element in which a lower electrode layer, an EL layer, and an upper electrode layer are stacked is formed on a substrate, and a wiring is formed on a counter substrate. Further, the substrate and the counter substrate are bonded so that the wiring is in physical contact with the upper electrode layer of the EL element. Accordingly, the wiring can serve as an auxiliary wiring for increasing conductivity of the upper electrode layer. With such an auxiliary wiring, a potential drop due to the resistance of the upper electrode layer can be suppressed even in the light-emitting device whose light-emitting portion is large. | 09-27-2012 |
20120258554 | PROCESS FOR RECYCLING A SUBSTRATE - A process for recycling a support substrate of a material substantially transparent to at least a wavelength of electromagnetic radiation. The process includes providing an initial substrate; forming an intermediate layer on a bonding face of the support substrate having an initial roughness, with the intermediate layer being of a material substantially transparent to at least a wavelength of electromagnetic radiation; forming an electromagnetic radiation absorbing layer either on the bonding face of the initial substrate or on the intermediate layer; bonding the initial substrate to the support substrate via the electromagnetic radiation absorbing layer; and irradiating the electromagnetic radiation absorbing layer through the support substrate and the intermediate layer to induce separation of the support substrate from the initial substrate. | 10-11-2012 |
20120264235 | METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD OF SETTING LASER FOCAL POSITION - A method of manufacturing an organic electroluminescence device includes: preparing an organic EL device in which an anode, an organic layer including a luminescent layer, and a cathode formed of a transparent material are stacked in order and which has a shorted defective portion; irradiating the organic EL device with a laser beam from a direction of the cathode; measuring an intensity of radiated light from the organic EL device after the laser beam is absorbed through multiphoton absorption; changing a focal position of the laser beam in a stacking direction for performing the irradiating and measuring, and subsequently determining the focal position of the laser beam in the stacking direction such that the intensity of the radiated light is minimal; and irradiating the determined focal position with the laser beam, so as to solve a defect caused by the shorted defective portion. | 10-18-2012 |
20120270339 | UV ASSISTED SILYLATION FOR RECOVERY AND PORE SEALING OF DAMAGED LOW K FILMS - Methods for the repair of damaged low k films are provided. Damage to the low k films occurs during processing of the film such as during etching, ashing, and planarization. The processing of the low k film causes water to store in the pores of the film and further causes hydrophilic compounds to form in the low k film structure. Repair processes incorporating ultraviolet (UV) radiation and silylation compounds remove the water from the pores and further remove the hydrophilic compounds from the low k film structure. | 10-25-2012 |
20120288966 | METHOD FOR DECAPSULATING INTEGRATED CIRCUIT PACKAGE - A method for decapsulating an integrated circuit package in the absence of a mask is disclosed. First, a package is provided. The package includes at least a circuit element and a molding compound enclosing the circuit. Second, a caustic solution is simultaneously provided and drained. The caustic solution is capable of etching the molding compound while in continuous contact with the molding compound to etch the molding compound. As a consequence, the molding compound is removed so that the circuit element in the package is substantially exposed. | 11-15-2012 |
20120288967 | METHOD FOR PROCESSING CIRCUIT IN PACKAGE - A method for decapsulating an integrated circuit package without the need of using a mask during the decapsulation process is disclosed. First, a package is provided. The package includes at least a circuit element and a molding compound enclosing the circuit. Second, a caustic solution is simultaneously provided. The caustic solution is capable of etching the molding compound and intermittently contacts a pre-selected area of the molding compound to etch the molding compound. As a consequence, the caustic solution removes the molding compound in the pre-selected area so the circuit element in the package is substantially exposed. | 11-15-2012 |
20120288968 | Method for repairing a semiconductor structure having a current-leakage issue - A method for repairing a semiconductor structure having a current-leakage issue includes finding a semiconductor structure having a current-leakage issue through application of a test voltage from an electric test device and applying an electric power stress to the semiconductor structure to melt a stringer or a bridge between two conductive elements or to allow the stringer or the bridge to be oxidized. | 11-15-2012 |
20120295371 | Process for Reconditioning Semiconductor Surface to Facilitate Bonding - A non-abrading method to facilitate bonding of semiconductor components, such as silicon wafers, that have micro structural defects in a bonding interface surface. In a preferred method, micro structural defects are removed by forming an oxide layer on the bonding interface surface to a depth below the level of the defect, and then removing the oxide layer to expose a satisfactory surface for bonding, thereby increasing line yield and reducing scrap triggers in fabrication facilities. | 11-22-2012 |
20120309114 | METHODS FOR REPAIRING LOW-K DIELECTRICS USING CARBON PLASMA IMMERSION - Methods for repairing low-k dielectrics using a plasma immersion carbon doping process are provided herein. In some embodiments, a method of repairing a low-k dielectric material disposed on a substrate having one or more features disposed through the low-k dielectric material may include depositing a conformal oxide layer on the low-k dielectric material and within the one or more features; and doping the conformal oxide layer with carbon using a plasma doping process. | 12-06-2012 |
20130089933 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE, AND METHOD FOR FABRICATING DISPLAY DEVICE - A method for fabricating a semiconductor device includes a first step of forming, on a first substrate, a first element region in which a plurality of elements are collectively arranged, a second step of relocating the plurality of elements formed on the first substrate to a holding member in the same arrangement as in the first element region to have the plurality of elements held on the holding member, a third step of rearranging the plurality of elements held on the holding member and having the plurality of elements held on an intermediate substrate, thereby forming a second element region having a shape different from a shape of the first element region on the intermediate substrate, and a fourth step of dispersing the plurality of elements held on the intermediate substrate and adhering the plurality of elements to a second substrate. | 04-11-2013 |
20130109108 | METHOD FOR PRODUCING ZINC OXIDE ON GALLIUM NITRIDE AND APPLICATION THEREOF | 05-02-2013 |
20130149798 | METHOD FOR MANUFACTURING DISPLAY DEVICE - One object is to provide a method for manufacturing a display device in which shift of the threshold voltage of a thin film transistor including an oxide semiconductor layer can be suppressed even when ultraviolet light irradiation is performed in the process for manufacturing the display device. In the method for manufacturing a display device, ultraviolet light irradiation is performed at least once, a thin film transistor including an oxide semiconductor layer is used for a switching element, and heat treatment for repairing damage to the oxide semiconductor layer caused by the ultraviolet light irradiation is performed after all the steps of ultraviolet light irradiation are completed. | 06-13-2013 |
20130157386 | SEMICONDUCTOR APPARATUS AND REPAIRING METHOD THEREOF - A semiconductor apparatus includes a semiconductor chip through-line for transmitting signals commonly to a plurality of stacked semiconductor chips. The apparatus includes a first test pulse signal transmission unit configured to transmit a first test pulse signal to a first end of the semiconductor chip through-line when a power-up operation is performed; a second test pulse signal transmission unit configured to transmit a second test pulse signal to a second end of the semiconductor chip through-line after the first test pulse signal is transmitted; a first signal reception unit coupled to the first end of the semiconductor chip through-line, and configured to receive signals transmitted from the first and second test pulse signal transmission units; and a second signal reception unit coupled to the second end of the semiconductor chip through-line, and configured to receive the signals transmitted by the first and second test pulse signal transmission units. | 06-20-2013 |
20130171744 | METHODS OF THERMALLY TREATING A SEMICONDUCTOR WAFER - A method of thermally treating a wafer includes loading a wafer into a process chamber having one or more regions of uniform temperature gradient and one or more regions of non-uniform temperature gradient. A defect is detected in the wafer. The wafer is aligned to position the defect within one of the one or more regions of uniform temperature gradient. A rapid thermal process is performed on the wafer in the process chamber while the defect is positioned within one of the one or more regions of uniform temperature gradient. | 07-04-2013 |
20130196452 | WIRE BONDING METHOD IN CIRCUIT DEVICE - A wire bonding method in a circuit device mounted on a lead frame, the wire bonding method including: counting a stop time if an operation of a capillary stops; removing a contaminated free air ball (FAB) formed on an end of the capillary if the stop time exceeds a reference time; forming a new FAB; and restarting a wire bonding process. | 08-01-2013 |
20130210170 | Apparatus And Method For Repairing An Integrated Circuit - A method for repairing an integrated circuit comprises: fabricating a first circuit, the first circuit including a plurality of regular units and a plurality of redundant units, each of the regular units being identified by an address; performing a first test on the first circuit to determine if a defective regular unit is present; activating, if the defective regular unit is present, at least a first redundant unit to replace the defective regular unit, the first redundant unit being identified by an address of the defective regular unit; performing, if the at least first redundant unit is present, a second test on the first circuit to determine if the first redundant unit is defective; and activating at least a second redundant unit to replace the defective first redundant unit, the second redundant unit being identified by the address of the defective regular unit. | 08-15-2013 |
20130224889 | CHARGED PARTICLE BEAM APPARATUS, THIN FILM FORMING METHOD, DEFECT CORRECTION METHOD AND DEVICE FORMING METHOD - A charged particle beam apparatus is provided that enables faster semiconductor film deposition than the conventional deposition that uses silicon hydrides and halides as source gases. The charged particle beam apparatus includes a charged particle source | 08-29-2013 |
20130230932 | THROUGH-SUBSTRATE VIA (TSV) TESTING - Various embodiments comprise apparatuses and methods for testing and repairing through-substrate vias in a stack of interconnected dice. In various embodiments, an apparatus is provided that includes a number of through-substrate vias to couple to one or more devices, at least one redundant through-substrate via to allow a repair of the apparatus, and a pair of pull-up devices coupled to the through-substrate vias and the redundant through-substrate via to provide a high-data value to the first end of the respective through-substrate vias. A test register is coupled the second end of each of the through-substrate vias and the redundant through-substrate via to store a received version of the high-data value. A comparator compares the high-data value with the received version of the high-data value to test the through-substrate vias for short-circuit connections. | 09-05-2013 |
20130252349 | Finfet Device Fabrication Using Thermal Implantation - A method of forming a FinFET device. The method may include providing a substrate having a single crystalline region, heating the substrate to a substrate temperature effective for dynamically removing implant damage during ion implantation, implanting ions into the substrate while the substrate is maintained at the substrate temperature, and patterning the single crystalline region so as to form a single crystalline fin. | 09-26-2013 |
20130280822 | METHOD FOR REPAIRING DRIVER CIRCUIT STRUCTURE - Disclosed is a driver circuit structure integrated in a display panel. The driver circuit structure includes a plurality of transistors and a backup transistor. After completing the driver circuit structure, the disclosure inspects it to find an inactive transistor. The inspection process first, isolates the electrical connection between the inactive transistor and the first electrode line and/or the electrical connection between the inactive transistor and the second electrode line. Next, the source electrode of the backup transistor and the first electrode line and/or electrically connecting the drain electrode of the backup transistor and the second electrode line are electrical connected. | 10-24-2013 |
20130288399 | ENERGY BEAM PROCESSING APPARATUS AND ENERGY BEAM PROCESSING METHOD - An energy beam processing apparatus cutting an interconnection by irradiating the interconnection with an energy beam while scanning, the energy beam processing apparatus including an irradiation unit which irradiates the interconnection with the energy beam while scanning; a measurement unit which measures a resistance value of the interconnection; and a control unit which controls a scan and an irradiation of the energy beam by the irradiation unit, the control unit controlling at least one of a scan rate and an irradiation intensity of the energy beam in accordance with a resistance value measured by the measurement unit, and controlling the irradiation unit to stop the irradiation of the energy beam when the resistance value measured by the measurement unit exceeds a prescribed value. | 10-31-2013 |
20130295695 | System and Method for Rejuvenating an Imaging Sensor Degraded by Exposure to Extreme Ultraviolet or Deep Ultraviolet Light - The present invention for imaging sensor rejuvenation may include a rejuvenation illumination system configured to selectably illuminate a portion of an imaging sensor of an imaging system with illumination suitable for at least partially rejuvenating the imaging sensor degraded by exposure to at least one of extreme ultraviolet light or deep ultraviolet light; and a controller communicatively coupled to the rejuvenation illumination system and configured to direct the rejuvenation illumination system to illuminate the imaging sensor for one or more illumination cycles during a non-imaging state of the imaging sensor. | 11-07-2013 |
20130295696 | METHOD FOR TRANSFERRING A LAYER FROM A DONOR SUBSTRATE ONTO A HANDLE SUBSTRATE - The invention relates to a method for transferring a layer from a donor substrate onto a handle substrate wherein, after detachment, the remainder of the donor substrate is reused. To get rid of undesired protruding edge regions which are due to the chamfered geometry of the substrates, the invention proposes to carry out an additional etching process before detachment occurs. | 11-07-2013 |
20130302915 | THIN FILM TRANSISTOR ARRAY PANEL, LIQUID CRYSTAL DISPLAY, METHOD FOR REPAIRING THE SAME, COLOR FILTER ARRAY PANEL AND METHOD FOR MANUFACTURING THE SAME - A thin film transistor array panel includes: a substrate; a gate line and a storage electrode that are disposed on the substrate; a data line that crosses the gate line and storage electrode line; a thin film transistor that is connected with the gate line and data line; and a pixel electrode that is connected to the thin film transistor. The storage electrode includes a first storage electrode that is parallel to the gate line, second storage electrodes that extend on opposing sides of the data line from the first storage electrode, a connection part that crosses the data line and connects pairs of the second storage electrodes, and a connection bridge that crosses the gate line and connects a second storage electrode to a second storage electrode of an adjacent pixel. | 11-14-2013 |
20130337583 | METHOD FOR REPAIRING DAMAGE OF DIELECTRIC FILM BY CYCLIC PROCESSES - A method for repairing process-related damage of a dielectric film includes: (i) adsorbing a first gas containing silicon on a surface of the damaged dielectric film without depositing a film in the absence of reactive species, (ii) adsorbing a second gas containing silicon on a surface of the dielectric film, followed by applying reactive species to the surface of the dielectric film, to form a monolayer film thereon, and (iii) repeating step (ii). The duration of exposing the surface to the first gas in step (i) is longer than the duration of exposing the surface to the second gas in step (ii). | 12-19-2013 |
20140011299 | MANUFACTURING APPARATUS AND METHOD OF LIQUID CRYSTAL PANEL - A manufacturing method of a liquid crystal panel, includes: cleaning a thin film transistor array substrate of the liquid crystal panel; performing an array detection on the cleaned thin film transistor array substrate; and processing the thin film transistor array substrate according to detecting result of the array detection. In the present disclosure, the TFT array substrate is cleaned after the array manufacturing process and is detected to determine whether the lines thereon are disconnected, and the disconnected lines are further repaired, which prevents the TFT array substrate with the disconnected lines from entering the cell device and reduces the scrap rate of the liquid crystal panel. Meanwhile, since the TFT array substrate is at first cleaned by the cleaning mechanism to remove the particles thereon and further is detected by the array detector, thus, the particles on the TFT array substrate is prevented from damaging the array detector. | 01-09-2014 |
20140065728 | METHOD FOR POST DECOMPOSITION DENSITY BALANCING IN INTEGRATED CIRCUIT LAYOUTS, RELATED SYSTEM AND PROGRAM PRODUCT - Embodiments of the invention provide a method of modifying a decomposed integrated circuit (IC) layout. The method includes providing a decomposed IC layout, the decomposed IC layout including a set of colors; determining a density of each color in the decomposed IC layout, wherein each color includes a plurality of features formed by a related exposure; separating the decomposed IC layout into a set of tiles; determining a first color with a minimum density in one tile of the set of tiles and a second color with a maximum density in tile, the first color including a first set of first features and the second color including a first set of second features; and replacing the first set of second features on the tile with a second set of first features, and the first set of first features on the tile with a second set of second features. | 03-06-2014 |
20140065729 | SEMICONDUCTOR APPARATUS HAVING TSV AND TESTING METHOD THEREOF - A semiconductor apparatus includes: a through-silicon via (TSV) formed in a silicon substrate; a first insulating layer formed to surround side and bottom portions of the TSV such that the TSV is isolated from the silicon substrate; a first conductive layer interposed between the first insulating layer and the silicon substrate and formed outside the TSV to surround the TSV. | 03-06-2014 |
20140087486 | METHOD FOR ETCHING WITH CONTROLLED WIGGLING - A method for etching trenches in an etch layer disposed below a patterned organic mask is provided. The patterned organic mask is treated, comprising flowing a treatment gas comprising H | 03-27-2014 |
20140087487 | METHOD FOR REPAIRING SOLAR CELL MODULE - A method for repairing a solar cell module includes the following steps. A solar cell module, which is provided, includes a first and a second solar cell serially connected. A first terminal is electrically connected to a first electrode layer of the first solar cell. A second terminal is electrically connected to a second electrode layer of the second solar cell. A polarity of the first electrode layer is the same as that of the second electrode layer. A biased voltage signal is generated and transmitted to the first solar cell and the second solar cell through the first terminal and the second terminal. The biased voltage signal includes a forward biased voltage part greater than zero and a reversed biased voltage part smaller than zero. The voltage value of the reversed biased voltage part is increasingly decreased in a step-like manner as time goes by. | 03-27-2014 |
20140099736 | ARRAY SUBSTRATE FOR LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - A liquid crystal display device includes an array substrate and a color filter substrate, a plurality of gate lines and a plurality of data lines formed on the array substrate such that the gate lines and the data lines intersect each other to define a plurality of pixel regions, a plurality of thin film transistors formed at respective intersections of the gate lines and the data lines, a liquid crystal layer interposed between the array and color filter substrates, and a plurality of repair patterns formed on the first substrate. Each of the plurality of the repair patterns crosses a corresponding one of the data lines, and is along and adjacent to a corresponding one of the gate lines, such that the repair pattern includes protruding ends that protrude from the corresponding data line to repair a defect on the pixel regions. | 04-10-2014 |
20140106473 | ADVANCED HANDLER WAFER BONDING AND DEBONDING - A method for processing a semiconductor wafer includes applying a release layer to a transparent handler. An adhesive layer, that is distinct from the release layer, is applied between a semiconductor wafer and the transparent handler having the release layer applied thereon. The semiconductor wafer is bonded to the transparent handler using the adhesive layer. The semiconductor wafer is processed while it is bonded to the transparent handler. The release layer is ablated by irradiating the release layer through the transparent handler with a laser. The semiconductor wafer is removed from the transparent handler. | 04-17-2014 |
20140134755 | METHOD AND DEVICE FOR REPAIRING OPEN LINE DEFECT IN LIQUID CRYSTAL DISPLAY ARRAY SUBSTRATE - The present invention provides a method for repairing open line defect on LCD array substrate, which includes: when discovering open line defect on a pattern on LCD array substrate, identifying a repair line path of the open line on the pattern and scanning the repair line path; based on the result of scanning the repair line path, dividing the repair line path into at least two path segments and disposing corresponding coating speed for each path segment; and for each path segment, performing coating at the corresponding speed to form connected coating on the repair line path. The present invention also provides a device for repairing open line defect on LCD array substrate. As such, the present embodiment can increase success rate for repairing open lines. | 05-15-2014 |
20140134756 | BIOMOLECULAR RECOGNITION OF CRYSTAL DEFECTS - Discrete and diffuse defects in a surface are detected. Discrete defects that may compromise the performance may be repaired. | 05-15-2014 |
20140154818 | DEFECT DETECTION METHOD, METHOD FOR REPAIRING ORGANIC EL ELEMENT, AND ORGANIC EL DISPLAY PANEL - A defect detection method for an organic EL element having a first electrode, a second electrode, and a functional layer and a light-emission layer disposed between the electrodes, including: applying a first voltage, between the electrodes, that, when the organic EL element includes, between the electrodes, a defective portion that is a potential cause of non-light emission of the light-emission layer, reduces electrical resistance of a first portion, of the functional layer, corresponding to the defective portion and makes the organic EL element detectable as a dark spot, whose light-emission layer does not emit light; and after applying the first voltage, applying a second voltage between the electrodes and detecting whether or not the organic EL element is the dark spot, the second voltage, when the organic EL element does not include the defective portion, causing the light-emission layer to emit light. | 06-05-2014 |
20140162379 | REPAIRING METHOD, REPAIRING DEVICE, AND REPAIRING STRUCTURE FOR DISCONNECTED DEFECT - A repairing method, repairing device and repairing structure for repairing a signal line of an array substrate having the disconnected defect , including: setting a repairing route according to a position of the disconnected defect and determining a position at which a filling portion is required to be formed according to the repairing route; forming the filling portion at the position at which the filling portion is required to be formed; and forming a repairing line along the repairing route. By detecting the repairing route before repairing the disconnected defect by forming the filling portion according to the repairing route, the present disclosure can avoid the disconnection of the repairing line caused by great height differences of the surface under the repairing line and improve the repairing success rate of the disconnected defect. | 06-12-2014 |
20140170780 | Method of Low-K Dielectric Film Repair - Methods for repairing a carbon depleted low-k material in a low-k dielectric film layer of a semiconductor wafer include providing a proximity head with a plurality of nozzles disposed on a surface of the proximity head. A repair chemistry having a hydrocarbon group is applied to a portion of the semiconductor wafer that includes carbon depleted low-k material, through the proximity head. The application is used to deliver carbon from the repair chemistry into the carbon depleted low-k material so as to cause replacement of a hydrogen ion within a hydroxyl group in the carbon depleted low-k material with carbon containing hydrocarbon group of the repair chemistry. The carbon containing hydrocarbon group forms a bond with suspended oxygen ion of the hydroxyl group thereby substantially repairing the low-k dielectric layer. The semiconductor wafer is then moved to expose other portions of the semiconductor wafer to the repair chemistry. | 06-19-2014 |
20140199784 | Method and Apparatus for Making a Semiconductor Device - Disclosed is an apparatus and method for yield enhancement of making a semiconductor device. The apparatus for yield enhancement of making a semiconductor device comprises: a semiconductor device comprising an epitaxial layer in which a defect is included, and a photo-resistor on the epitaxial layer and covering the defect; an image recognition system to detect and identify a location of the defect; and an exposing module comprising a first light source to expose a part of the photo-resistor substantially corresponding to the detected defect identified by the image recognition system. | 07-17-2014 |
20140234990 | Methodology and Apparatus for Tuning Driving Current of Semiconductor Transistors - A method and apparatus for repairing transistors may include applying a first voltage to a source, a second voltage to the gate and a third voltage to the drain for a predetermined time. In this manner the transistor structure may be repaired or returned to operate at or near the original operating characteristics. | 08-21-2014 |
20140242729 | SUBSTRATE WARP CORRECTING DEVICE AND SUBSTRATE WARP CORRECTING METHOD - A substrate warp correcting device includes, a lower member including a concave portion, and the lower member on which a substrate is to be arranged, an upper member arranged above the lower member, and the upper member including a gas supplying hole, wherein the substrate is arranged between the lower member and the upper member and above the concave portion, and a sealing member arranged between a periphery part of the substrate and the upper member, and the sealing member sealing a space between the substrate and the upper member. | 08-28-2014 |
20140256064 | METHODS OF REPAIRING DAMAGED INSULATING MATERIALS BY INTRODUCING CARBON INTO THE LAYER OF INSULATING MATERIAL - One illustrative method disclosed herein includes providing a layer of a carbon-containing insulating material having a nominal carbon concentration, performing at least one process operation on the carbon-containing insulating material that results in the formation of a reduced-carbon-concentration region in the layer of carbon-containing insulating material, wherein the reduced-carbon-concentration region has a carbon concentration that is less than the nominal carbon concentration, performing a carbon-introduction process operation to introduce carbon atoms into at least the reduced-carbon-concentration region and thereby define a carbon-enhanced region having a carbon concentration that is greater than the carbon concentration of the reduced-carbon-concentration region and, after introducing the carbon atoms, performing a heating process on at least the carbon-enhanced region. | 09-11-2014 |
20140273289 | METHOD OF DETACHING SEALING MEMBER OF LIGHT EMITTING DEVICE - A method of detaching a sealing member of a light emitting device which has a substrate, a light emitting element mounted on the substrate and a sealing member that seals the light emitting element, wherein a release layer and/or an air layer is/are provided between the substrate and the sealing member; and the sealing member is detached from the substrate at the release layer and/or the air layer. | 09-18-2014 |
20140287538 | WARP CORRECTION DEVICE AND WARP CORRECTION METHOD FOR SEMICONDUCTOR ELEMENT SUBSTRATE - A warp correction apparatus includes an injection mechanism including a nozzle that performs injection treatment, an adsorption table that holds the substrate by adsorption at a principal surface side or a film surface side, a moving mechanism that moves the adsorption table so that the substrate relatively moves with respect to an injection area of an injection particle by the nozzle, an injection treatment chamber that houses the substrate held on the adsorption table and in the interior of which injection treatment is performed, a measurement mechanism that measures a warp of the substrate, and a control device that, based on a difference between a target warp amount and a warp amount measured by the measurement mechanism, performs at least either one of a setting processing of an injection treatment condition of the injection mechanism and an accept/reject determination of the substrate for which injection treatment has been performed. | 09-25-2014 |
20140322830 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - Such a device is disclosed that includes: redundancy circuits for replacing defective memory cells included in a memory cell array; an electrical fuse circuit that stores addresses of the defective memory cells; a data determination circuit that generates a determination signal by determining whether test data read from the memory cell array is correct or incorrect; and an analysis circuit that supplies, in a first operation mode, the electrical fuse circuit with an address signal supplied when the determination signal is activated, and supplies, in a second operation mode, the electrical fuse circuit with an address signal supplied when a data mask signal supplied from outside is activated irrespective of the determination signal. | 10-30-2014 |
20140329338 | METHOD FOR PREPARING NANO-SHEET ARRAY STRUCTURE OF GROUP V-VI SEMICONDUCTOR - The object of the present invention is to provide a method for preparing a nano-sheet array structure of a Group V-VI semiconductor, comprising: (A) providing an electrolyte containing a hydrogen ion and disposing an auxiliary electrode and a working electrode in the electrolyte, wherein the working electrode comprises a Group V-VI semiconductor bulk; and (B) applying a redox reaction bias to the auxiliary electrode and the working electrode to form a nano-sheet array structure on the bulk. | 11-06-2014 |
20140370622 | MANUFACTURING METHOD FOR ORGANIC EL LIGHTING DEVICE - Anodes of a plurality of organic EL elements are connected together. A forward bias voltage relative to the potential of anodes and a reverse bias voltage are alternately applied to cathodes of the plurality of organic EL elements at a predetermined period. The ratio of the time for which the reverse bias voltage is applied and the time for which the forward bias voltage is applied is increased. | 12-18-2014 |
20150017744 | METHOD OF REMOVING PARTICLES FROM A DISPLAY PANEL AND APPARATUS FOR PERFORMING THE SAME - A method of removing particles from a display panel is disclosed. In one aspect, the method includes charging the particles and applying an electric field to the charged particles to capture the charged particles. Organic particles and inorganic particles may be forcibly charged to capture the organic and inorganic particles using a metal bar so that the organic and inorganic particles may be substantially removed. | 01-15-2015 |
20150064807 | METHOD OF REPAIRING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A method of repairing an organic light-emitting display apparatus, the organic light-emitting display apparatus including a substrate, an organic light-emitting device formed on the substrate, a thin film transistor (TFT) formed on the substrate, an organic insulating layer formed on the TFT, and a conductive pattern formed on the organic insulating layer, the conductive pattern including a shorted part between two conductive elements in the conductive pattern, the method including: removing the short by using a focused ion beam (FIB). | 03-05-2015 |
20150087081 | METHOD OF REPAIRING ORGANIC LIGHT EMITTING DISPLAY DEVICE - A repairing method of an organic light emitting display device includes insulating a first switching element and an organic light emitting layer of a defective pixel from each other, short-circuiting a first dummy line and the organic emission layer at a first location, the first dummy line being adjacent to the defective pixel among a plurality of dummy lines extending in a first direction, short-circuiting the first dummy line and a second switching element at a second location, the second switching element being a dummy element prior to the short-circuiting, and insulating an inner side of the first dummy line and an outer side of the first dummy line from each other. | 03-26-2015 |
20150132862 | IN-SITU RELAXATION FOR IMPROVED CMOS PRODUCT LIFETIME - Methods and structures for restoring an electrical parameter of a field-effect transistor in an integrated circuit deployed in an end product. A source, a drain, and a gate electrode of a field-effect transistor are coupled with ground. A restoration voltage is applied to a well beneath the field-effect transistor while the source, the drain, and the gate electrode of the field-effect transistor are coupled with ground. The well may be coupled with either a positive supply voltage or ground when a switch is in a first position during normal operation of the integrated circuit and with the restoration voltage when the switch is in a second position during a relaxation operation. | 05-14-2015 |
20150294858 | METHODS FOR EXTREME ULTRAVIOLET MASK DEFECT MITIGATION BY MULTI-PATTERNING - Methods for extreme ultraviolet (EUV) mask defect mitigation by using multi-patterning lithography techniques. In one exemplary embodiment, a method for fabricating an integrated circuit including identifying a position of a defect in a first EUV photolithographic mask, the photolithographic mask including a desired pattern and transferring the desired pattern to a photoresist material disposed on a semiconductor substrate. Transferring the desired pattern further transfers an error pattern feature to the photoresist material as a result of the defect in the first EUV photolithographic mask. The method further includes, using a second photolithographic mask, transferring a trim pattern to the photoresist material, wherein the trim pattern removes the error pattern feature from the photoresist material. | 10-15-2015 |
20150332978 | WARP CORRECTION DEVICE AND WARP CORRECTION METHOD FOR SEMICONDUCTOR ELEMENT SUBSTRATE - A warp correction apparatus includes an injection mechanism including a nozzle that performs injection treatment, an adsorption table that holds the semiconductor element substrate by adsorption at a principal surface side or a film surface side, a moving mechanism that moves the adsorption table so that the semiconductor element substrate relatively moves with respect to an injection area of an injection particle by the nozzle, an injection treatment chamber that houses the semiconductor element substrate held on the adsorption table and in the interior of which injection treatment is performed, a measurement mechanism that measures a warp of the semiconductor element substrate, and a control device that, based on a difference between a target warp amount and a warp amount measured by the measurement mechanism, performs at least either one of a setting processing of an injection treatment condition of the injection mechanism and an accept/reject determination of the semiconductor element substrate for which injection treatment has been performed. | 11-19-2015 |
20150340227 | METHOD FOR REPAIRING DAMAGES TO SIDEWALLS OF AN ULTRA-LOW DIELECTRIC CONSTANT FILM - A method for repairing damages to sidewalls of an ultra-low dielectric constant film is disclosed by the present invention comprises the following steps: depositing an ultra-low dielectric constant film on an semiconductor substrate; dry-etching the ultra-low dielectric constant film to form a sidewall structure thereof; performing wet cleaning by using a chemical agent containing an unsaturated hydrocarbon having —O—C(Re)x; and performing ultraviolet curing. The present invention can restore pores size and porosity of the ultra-low dielectric constant film, and to keep effective dielectric constant to a minimum. | 11-26-2015 |
20150357187 | MODIFICATION PROCESSING METHOD AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A modification processing method includes preparing a substrate having a silicon layer on which a damage layer is formed through plasma processing. The method further includes removing the damage layer formed on the silicon layer by processing the substrate with a first process gas containing a fluorine gas. | 12-10-2015 |
20150362808 | METHOD FOR REPAIRING BROKEN LINE - A liquid crystal display (LCD), an array substrate of the LCD and a method for repairing a broken line are disclosed. According to the present invention, a detection line and a repairing line are disposed on an array substrate of the LCD, the detection line is connected to a non-defective scan line and the repairing line is connected to a broken line; and when a scan signal is detected by the repairing IC via the detection line, a repairing signal is outputted at an appropriate time to repair the broken line via the repairing line. With this arrangement of the LCD and the method for repairing a broken line of the present invention, broken scan lines that are detected in the LCD after the cell process can still be repaired. This reduces the reject ratio, avoids unnecessary waste and lowers the manufacturing cost. | 12-17-2015 |
20150364384 | PLACEMENT METHOD FOR CIRCUIT CARRIER AND CIRCUIT CARRIER - The invention concerns a process for the production of a circuit carrier ( | 12-17-2015 |
20150364726 | METHOD AND APPARATUS FOR REPAIRING A DISPLAY PANEL - An apparatus and method for repairing a display panel are provided. The apparatus includes a laser emitter that etches a faulty portion of the display panel and a first surrounding portion of the faulty portion by irradiating the faulty portion and the first surrounding portion with a laser and a guide disposed to face the laser emitter and configured to adjust at least one of a temperature of the faulty portion or a temperature of the first surrounding portion. | 12-17-2015 |
20150371908 | METHODS FOR REDUCING SEMICONDUCTOR SUBSTRATE STRAIN VARIATION - Embodiments of the disclosure provide methods and system for correcting lithographic film stress/strain variations on a semiconductor substrate using laser energy treatment process. In one embodiment, a method for correcting film stress/strain variations on a substrate includes performing a measurement process in a metrology tool on a substrate to obtain a substrate distortion or an overlay error map, determining dose of laser energy in a computing system to correct film stress/strain variations or substrate distortion based on the overlay error map, and providing a laser energy treatment recipe to a laser energy apparatus based on the dose of laser energy determined to correct substrate distortion or film stress/strain variations. | 12-24-2015 |
20150380236 | SUBSTRATE RECYCLING METHOD - Embodiments of the disclosure relate to a substrate recycling method and a recycled substrate. The method includes separating a first surface of a substrate from an epitaxial layer; forming a protective layer on an opposing second surface of the substrate; electrochemically etching the first surface of the substrate; and chemically etching the electrochemically etched first surface of the substrate. | 12-31-2015 |
20160020433 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME, METHOD OF REPAIRING DISPLAY DEVICE, AND ELECTRONIC APPARATUS - A display device includes light emitting elements that are arranged in a two-dimensional matrix, in which the light emitting elements include a drive circuit which is provided on a substrate, a first insulating layer which covers the drive circuit and the substrate, a light emitting portion in which a first electrode, an organic layer having a light emitting layer, and a second electrode are laminated, and a second insulating layer which covers the first electrode. | 01-21-2016 |
20160071785 | THREE-DIMENSIONAL INTEGRATED CIRCUIT AND TSV REPAIRING METHOD THEREOF - A three-dimensional (3D) integrated circuit (IC) includes a plurality of through silicon vias (TSVs) configured to provide paths via which digital signals are transmitted or received; at least one redundant TSV configured to provide a path via which a digital signal to be transmitted or received via a failed TSV with a defect among the plurality of TSVs is transmitted or received; a digital-to-analog converter (DAC) configured to convert a digital signal transmitted via the at least one redundant TSV into an analog signal; an analog-to-digital converter (ADC) configured to convert an analog signal received via the at least one redundant TSV into a digital signal; and a multilevel modulator configured to perform multilevel modulation on a digital signal transmitted via the at least one redundant TSV. | 03-10-2016 |
20160099230 | MULTI-CHIP PACKAGE, TEST SYSTEM AND METHOD OF OPERATING THE SAME - A multi-chip package includes: a plurality of semiconductor chips that are coupled with each other through normal through silicon vias and repair through silicon vias; a state detection device suitable for detecting connection states of the normal through silicon vias and the repair through silicon vias; and a repair control device suitable for comparing the connection state of the normal through silicon vias with the connection state of the repair through silicon vias, and controlling whether to perform a repair operation. | 04-07-2016 |
20160139472 | METHOD OF MANUFACTURING DISPLAY SUBSTRATE, REPAIR METHOD OF DISPLAY SUBSTRATE AND DISPLAY SUBSTRATE REPAIRED BY THE REPAIR METHOD - A display substrate includes a gate metal pattern comprising a gate line extending in a first direction and a gate electrode electrically connected to the gate line, a data metal pattern comprising a data line extending in a second direction crossing the first direction, a source electrode electrically connected to the data line and a drain electrode spaced apart from the source electrode, an organic layer disposed on the data metal pattern, a repair hole penetrating the organic layer and exposing a crossing area in which the gate line crosses with the data line and a pixel electrode disposed on the organic layer and electrically connected to the drain electrode. | 05-19-2016 |
20160139473 | THIN FILM TRANSISTOR ARRAY PANEL, LIQUID CRYSTAL DISPLAY, AND METHOD TO REPAIR THE SAME - A method to repair a data line in a thin film transistor array panel includes, if the data line is disconnected at a disconnection portion, irradiating a laser on at least one side of the disconnected portion of the data line to short the data line and a storage electrode, and irradiating the laser to separate a portion shorted to the data line among the storage electrode to be disconnected. The storage electrode includes a first portion overlapping the data line between two adjacent gate lines and a second portion connected to the first portion and enclosing an edge of a pixel area except for a region where the first portion is formed. Two adjacent pixel areas are defined by the two adjacent gate lines and two adjacent data lines, and the storage electrode is branched between pixel electrodes. | 05-19-2016 |
20160148848 | METHODS FOR EXTREME ULTRAVIOLET MASK DEFECT MITIGATION BY MULTI-PATTERNING - Methods for extreme ultraviolet (EUV) mask defect mitigation by using multi-patterning lithography techniques. In one exemplary embodiment, a method for fabricating an integrated circuit including identifying a position of a defect in a first EUV photolithographic mask, the photolithographic mask including a desired pattern and transferring the desired pattern to a photoresist material disposed on a semiconductor substrate. Transferring the desired pattern further transfers an error pattern feature to the photoresist material as a result of the defect in the first EUV photolithographic mask. The method further includes, using a second photolithographic mask, transferring a trim pattern to the photoresist material, wherein the trim pattern removes the error pattern feature from the photoresist material. | 05-26-2016 |
20160172255 | WAFER PROCESSING APPARATUSES AND METHODS OF OPERATING THE SAME | 06-16-2016 |
20160254146 | Methods and structures for preparing single crystal silicon wafers for use as substrates for epitaxial growth of crack-free gallium nitride films and devices | 09-01-2016 |
20160254498 | METHOD FOR REPAIRING ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY DEVICE | 09-01-2016 |
20180025952 | Reverse Decoration for Defect Detection Amplification | 01-25-2018 |
20220139969 | DISPLAY PANEL, MANUFACTURING METHOD AND REPAIR METHOD THEREOF - A display panel, a manufacturing method and a repair method thereof are provided. The display panel includes a flexible substrate and a plurality of bent traces. The flexible substrate includes a display portion, a bent portion, and a bezel portion being arranged in order. The bezel portion and the display portion are stacked through a bending in the bent portion. The bent portion is provided with the plurality of bent traces. Material of the bent traces includes a first material, and the first material is a conductive material having a melting point lower than 250° C. By adding the first material with the low melting point to the bent traces, when the bent traces are broken, the first material can be melted to flow by heating, thereby repairing the broken traces among the bent traces, whereby realizing the self-repair of the bent traces, increasing the process yield and reducing the production loss. | 05-05-2022 |
20220140180 | DEVICE AND METHOD FOR REPLACING AT LEAST ONE CHIP - A device for replacing at least one chip or electronic element of an electronic component, in particular an LED package or an LED display, includes at least two standard electrical contacts to which a chip comprising at least two electrical contacts is electrically connectable. The device also includes an electronic switch electrically connecting the first standard electrical contact to an electrical power supply source, and least three electrical repair contacts to which a repair chip identical in construction to the chip is electrically connectable. The first electrical repair contact is electrically connected to the electrical power supply source. The second electrical repair contact is electrically connected to the electronic switch. The third electrical repair contact is electrically connected to the second electrical standard contact. | 05-05-2022 |