Entries |
Document | Title | Date |
20080233522 | Method of forming 3D micro structures with high aspect ratios - A method of forming 3D micro structures with high aspect ratios includes the steps of: disposing a mask, which has a plurality of through holes having at least two different sizes, on a substrate to expose the substrate through the through holes; forming a negative photoresist layer on the mask and the substrate; providing a light source to illuminate the negative photoresist layer through the substrate and the through holes of the mask so as to form a plurality of exposed portions and an unexposed portion; and removing the unexposed portion and leaving the exposed portions to form a plurality of pillars each having a bottom portion contacting the substrate and a top portion opposite to the bottom portion. A top area of the top portion is slightly smaller than a bottom area of the bottom portion, and the pillars are allowed to have at least two different heights. | 09-25-2008 |
20080241764 | Lithographic Method - The present invention provides a method of lithographic patterning in order to the strength of the patterned photoresist. The method comprises: applying to a surface to be patterned a photoresist ( | 10-02-2008 |
20080274433 | Rinse Treatment Method and Development Process Method - A rinsing method for performing a rinsing process on a substrate, after a developing process is performed on a light-exposed pattern disposed thereon, includes a step (STEP | 11-06-2008 |
20080280233 | Method for deactivating on-press developable lithographic printing plate - A method of deactivating an on-press ink and/or fountain solution developable lithographic printing plate is described. The printing member comprises on a substrate a photosensitive layer soluble or dispersible in ink and/or fountain solution and capable of hardening upon exposure to a laser. The plate is exposed with a laser, deactivated, and then on-press developed with ink and/or fountain solution. The deactivation is carried out by overall applying to the plate a deactivating agent, heat, or a radiation that has different wavelength from the laser and does not cause hardening of the photosensitive layer. The deactivation allows the plate to be handled on press under white light or other light that is unsafe for a non-deactivated plate. | 11-13-2008 |
20080280234 | Method of forming visible image for on-press developable lithographic printing plate - A method of forming visible image on an on-press developable lithographic printing plate is described. The plate comprises on a substrate a photosensitive layer developable with ink and/or fountain solution and capable of hardening upon exposure to a radiation. The plate is imagewise exposed with a radiation, overall treated with a discoloring agent to form visible image or enhanced visible image, and then on-press developed with ink and/or fountain solution. The formation of visible image allows easy identification or inspection of the plate by the pressman before mounting on press. | 11-13-2008 |
20080299503 | Material for Forming Resist Protection Films and Method for Resist Pattern Formation with the Same - The formation of high-resolution resist patterns by liquid immersion lithography with various fluids is enabled by protecting a resist film from deterioration (such as bridging) during the immersion exposure in a fluid (such as water) and the fluid from deterioration and improving the stability of a resist film in the storage after exposure without increase in the number of treatment steps. A material for forming resist protection films which comprises an alkali-soluble polymer for forming a protective overcoat for a resist film, characterized in that the contact angle of the polymer to water is 90° or above. The polymer is preferably an acrylic polymer which comprises as the essential components constituent units derived from (meth) acrylic acid and constituent units derived from a specific acrylic ester. | 12-04-2008 |
20080305443 | PATTERN FORMING METHOD USING RELACS PROCESS - A resist pattern is formed on a to-be-processed film. Ions are implanted in the upper surface of the resist pattern. After ion implantation, an organic film is formed to cover the resist pattern and heated. A crosslinked resin film made of the organic film which has crosslinked is formed on the sidewall of the resist pattern by developing the organic film after heating. After formation of the crosslinked resin film, the resist pattern is removed. The to-be-processed film is processed using the crosslinked resin film as a mask. | 12-11-2008 |
20090004606 | Radiation Sensitive Silicone Resin Composition - This invention relates to acrylic functional resin compositions. More particularly, this invention relates to Poly [organ-co-(meth)acryloxyorgano]silsequioxane resins that are curable upon exposure to ultraviolet radiation with photo initiator or upon heating with or without a free radical generator. The resin compositions have high storage stability at room temperature and produces films that are useful as planarization layer, interlayer dielectric, passivation layer, gas permeable layer, negative photoresist, antireflective coating, conformal coating and IC packaging. | 01-01-2009 |
20090004607 | Substrate Processing Method - A resist film is formed on a surface of a wafer. Then, a liquid layer used for irradiating the resist film with exposure light rays is formed from a liquid between an optical component facing the resist film and the surface of the wafer. The liquid is capable of transmitting the exposure light rays and has a function of cleaning a surface of the wafer and a surface of the optical component. Then, the resist film is irradiated with the exposure light rays projected from the optical component and transmitted through the liquid layer, to perform light exposure with a predetermined pattern on the resist film. Then, development is performed on the wafer after the light exposure, to form a predetermined pattern on the wafer. | 01-01-2009 |
20090004608 | Detergent For Lithography And Method Of Forming Resist Pattern With The Same - Conventional detergents for lithography which contain a surfactant as an active ingredient should have a reduced surfactant concentration because heightened surfactant concentrations result in dissolution of the resin component of a photoresist composition and hence in a dimensional change of a resist pattern. However, the conventional detergents have had a drawback that such a low concentration unavoidably reduces the ability to inhibit pattern falling and defect occurrence. A detergent for lithography is provided which is an aqueous solution containing (A) at least one member selected among nitrogenous cationic surfactants and nitrogenous ampholytic surfactants and (B) an anionic surfactant. This detergent retains a low surface tension even when it has a low concentration. It is effective in inhibiting pattern falling and defect occurrence. It can also inhibit resist patterns from fluctuating in dimension. | 01-01-2009 |
20090011375 | IMMERSION LIQUID FOR LIQUID IMMERSION LITHOGRAPHY PROCESS AND METHOD FOR FORMING RESIST PATTERN USING THE SAME - A liquid immersion lithography process is provided. In particular, the liquid immersion lithography process is one in which the resolution of a resist pattern is improved by exposure to light through a liquid having a refractive index higher than that of air and a predetermined thickness, while being arranged on at least a resist film in a pathway allowing exposure light for lithography to reach to the resist film. Accordingly, both the resist film and the liquid used are prevented from deterioration in liquid immersion lithography. Thus, the formation of a high-resolution resist pattern can be attained with liquid immersion lithography. Therefore, the liquid comprised of a silicon-based liquid transparent to exposure light used in the lithography process is employed as an immersion liquid for liquid immersion lithography. | 01-08-2009 |
20090011376 | EXPOSURE SYSTEM AND METHOD FOR MANUFACTURING DEVICE - An exposure system includes an exposure apparatus and a fluid supplying apparatus that supplies fluid to the exposure apparatus via flow channels. The fluid supplying apparatus includes a fluid sending unit, a heat exchanger, a first temperature sensor that measures the temperature of the fluid, a filter that removes unwanted substances in the fluid, and a heater disposed downstream of the filter in the fluid supplying apparatus for adjusting the temperature of the fluid. The exposure apparatus includes a second temperature sensor that measures the temperature of the fluid supplied from the fluid supplying apparatus. The heater adjusts the temperature of the fluid on the basis of the information on the temperature measured by the second temperature sensor. | 01-08-2009 |
20090023101 | LITHOGRAPHY TRACK SYSTEMS AND METHODS FOR ELECTRONIC DEVICE MANUFACTURING - The present invention provides systems, methods, and apparatus for processing a lot of substrates in a lithography track system with an integrate metrology sensor. The invention includes performing a coating process on substrates; transferring the substrates to a stepper for alignment and exposure; transferring the substrates to a post-exposure bake chamber for bake; and performing metrology on the substrates in the lithography track system. The invention may further include automatically reworking substrates in an integrated rework chamber within the lithography track system. Numerous other aspects are provided. | 01-22-2009 |
20090068600 | METHOD FOR ENHANCING OPTICAL STABILITY OF THREE-DIMENSIONAL MICROMOLDED PRODUCT - In order to enhance the optical stability of a three-dimensional micromolded product having optical transparency produced by irradiating a molded layer formed of a photosensitive resin composition provided on a transparent substrate with an actinic radiation from the transparent substrate side so that the quantity of light is varied along the plane of the transparent substrate and dissolving and removing the exposed molded layer in its uncured part with a developing solution, a potassium carbonate solution is used as the developing solution. This constitution can prevent a deterioration in transparency of the transparent three-dimensional micromolded product, incorporated in an optical component, with the elapse of time. That is, the optical stability of the optically transparent three-dimensional micromolded product can be enhanced. | 03-12-2009 |
20090081597 | FUNCTIONALIZED CARBOSILANE POLYMERS AND PHOTORESIST COMPOSITIONS CONTAINING THE SAME - Linear or branched functionalized polycarbosilanes having an absorbance less than 3.0 μm | 03-26-2009 |
20090087800 | METHOD AND APPARATUS FOR PRODUCING CONDUCTIVE MATERIAL - A photosensitive film, which has a transparent support and a silver salt emulsion layer containing a silver salt formed thereon, is exposed and developed to form a metallic silver portion. The base material to be plated is electrified in a solution containing a metal ion, using the metallic silver portion as a cathode. Then, the electrified base material is subjected to an electroless plating treatment to form a plated layer only on the metallic silver portion. The metal ion in the solution is preferably an ion of copper, nickel, cobalt, or tin. | 04-02-2009 |
20090092933 | Methods of Lithographically Patterning a Substrate - A method of lithographically patterning a substrate that has photoresist having removal areas and non-removal areas includes first exposing at least the non-removal areas to radiation effective to increase outer surface roughness of the photoresist in the non-removal areas at least post-develop but ineffective to change photoresist solubility in a developer for the photoresist to be cleared from the non-removal areas upon develop with the developer. Second exposing of radiation to the removal areas is conducted to be effective to change photoresist solubility in the developer for the photoresist to be cleared from the removal areas upon develop with the developer. The photoresist is developed with the developer effective to clear photoresist from the removal areas and to leave photoresist in the non-removal areas that has outer surface roughness in the non-removal areas which is greater than that before the first exposing. Other implementations and embodiments are contemplated. | 04-09-2009 |
20090092934 | GRAY-TONE LITHOGRAPHY USING OPTICAL DIFFUSERS - A method of: directing an exposing light through an optical diffuser; directing the diffused light though a photomask having transparent areas corresponding to a gray-tone pattern; directing the masked light onto a photoresist material on a substrate; developing the photoresist to produce a three dimensional structure in the photoresist. | 04-09-2009 |
20090098488 | Thick Film Layers and Methods relating thereto - Thick film layers for a micro-fluid ejection head, micro-fluid ejection heads, and methods for making micro-fluid ejection head and thick film layers. One such thick film layer is derived from a difunctional epoxy component having a weight average molecular weight ranging from about 2500 to about 4000 Daltons, a photoacid generator, an aryl ketone solvent, and an adhesion enhancing component. One such thick film layer has a cross-link density upon curing that increases the dimensional stability of the thick film layer sufficient to provide flow features therein having substantially vertical walls. | 04-16-2009 |
20090111062 | Pattern Formation Method - The present invention provides a pattern formation method comprising a step of forming on a substrate a film of a first photosensitive material having low sensitivity to a light beam with a main wavelength at h-line emitted from a mask-less drawing exposure apparatus but having high sensitivity to an energy light beam containing ultraviolet light; a step of forming on the first photosensitive material a film of a second photosensitive material having higher sensitivity to a light beam with the main wavelength at h-line; a step of drawing a second pattern on the second photosensitive material with the mask-less direct drawing exposure apparatus; a step of developing the second photosensitive material; and a step of exposing to a light beam the second photosensitive material with the second pattern formed thereon and the first photosensitive material in batch to form a target first pattern on the first photosensitive material. | 04-30-2009 |
20090117498 | PATTERN FORMING METHOD - A pattern forming method according to an embodiment of the present invention includes forming a resist layer on a semiconductor substrate, selectively exposing the resist layer, developing the selectively exposed resist layer, decomposing photosensitizer in the resist layer after developing the resist layer, removing the photosensitizer or acid generated from the decomposed photosensitizer, applying a shrink material on the developed resist layer after removing the photosensitizer or the acid generated from the decomposed photosensitizer, performing a heating process for the resist layer on which the shrink material is applied, and removing a part of the heat-processed shrink material. | 05-07-2009 |
20090123878 | PATTERNING METHOD - A patterning method includes: forming a first film on a workpiece substrate; forming a second film on the first film, the second film being a silicon film having a lower optical absorption coefficient with respect to EUV (extreme ultraviolet) light than the first film; forming a resist film on the second film; selectively irradiating the resist film with the EUV light; and developing the resist film. | 05-14-2009 |
20090123879 | SURFACE POSITION DETECTING APPARATUS, SURFACE POSITION DETECTING METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A surface position detecting apparatus which detects position information of a predetermined surface in an object, comprising a first optical system which projects light from an oblique direction to the predetermined surface; a second optical system which receive the light from the object; a detecting system which receives the light from the second optical system and which detects the position information of the predetermined surface in a direction intersecting the predetermined surface based on the light; and a phase difference imparting system which is arranged in an optical path of at least one of the first and second optical systems and which imparts a phase difference between different portions from each other of light traveling via a surface other than the predetermined surface in the object, in the light from the second optical system. | 05-14-2009 |
20090130610 | Integrated color mask - The invention relates to a process for forming a structure comprising providing a support, coating one side of said support with a colored mask, coating a layer photopatternable by visible light, and exposing the layer through the colored mask with visible light to photopattern the layer. | 05-21-2009 |
20090130611 | Lithographic Method - The present invention provides a method of lithographic patterning. The method comprisese: applying to a surface to be patterned a photoresist ( | 05-21-2009 |
20090136877 | METHOD FOR ORGANIC MATERIAL LAYER FORMATION - An organic material layer formation method capable of realizing the formation of an ultra microscopic pattern while maintaining an electrical characteristic of an organic material layer is provided. This method includes the steps of forming a resist in a reversal pattern of an organic material layer pattern to be formed on a substrate, applying a surface treatment onto an exposed area exposed from the resist on a surface of the substrate to enhance adhesion to an organic material, forming an organic material layer on the resist and the exposed area, and selectively dissolving the resist with an aqueous solution having selectivity between the organic material and the resist, to lift off the organic material layer on the resist along with the resist. | 05-28-2009 |
20090136878 | TOPCOAT COMPOSITION, ALKALI DEVELOPER-SOLUBLE TOPCOAT FILM USING THE COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - A topcoat composition to be applied on a resist film is provided, the topcoat composition including: (A) an alkali-soluble resin; (B) a compound containing at least one of an Si atom and an F atom, and increasing a contact angle on a surface of the topcoat film; and (C) a solvent. | 05-28-2009 |
20090142712 | METHOD OF MANUFACTURING IMAGE FORMING ELEMENT, IMAGE FORMING ELEMENT, AND IMAGE FORMING APPARATUS HAVING THE SAME - A method of manufacturing an image forming element which can reduce a manufacturing time and a manufacturing cost with a simplified manufacturing process, an image forming element manufactured by the method, and an image forming apparatus having the same. The method includes preparing a cylindrical image drum having at least one slot formed along a lengthwise direction, arranging a control board having a plurality of terminals inside the image drum such that the plurality of terminals are located in the slot, forming a photosensitive resin layer on an outer circumference of the image drum, processing the photosensitive resin layer to form a plurality of installation recesses along a circumference of the image drum in correspondence to the plurality of terminals and a plurality of connection holes to expose the terminals through an area of each of the installation recesses, and forming a plurality of ring electrodes in the installation recesses to connect to the plurality of terminals through the connection holes. | 06-04-2009 |
20090142713 | SUBSTRATE PROCESSING SYSTEM AND SUBSTRATE PROCESSING METHOD - A substrate processing system is used for a light exposure apparatus which performs light exposure at least twice on each of substrates. The system includes a carrier block, and a process section configured to process each of substrates transferred from the carrier block one by one. The process section includes a first coating process section configured to perform a first coating process, a first developing process section configured to perform a first developing process, a second coating process section configured to perform a second coating process, and a second developing process section configured to perform a second developing process. The system further includes an interface block configured to transfer substrates between the process section and the light exposure apparatus, and a substrate transfer mechanism configured to transfer substrates among them. | 06-04-2009 |
20090170040 | Treatment of laser exposed lithographic printing plate before development - A method of treating a laser exposed lithographic printing plate with a deactivating solution followed by overall irradiation is described. The plate comprises on a substrate a photosensitive layer capable of photo hardening. The deactivating solution is capable of deactivating the photo hardening capability of the photosensitive layer in the non-hardened areas so that the overall irradiation does not cause hardening of the photosensitive layer in the non-hardened areas. The deactivation and overall irradiation cause one or more chemical or physical changes to the photosensitive layer, such as further hardening of the imaged areas, improved contrast, improved developability, or improved substrate hydrophilicity. The overall irradiated plate is further developed on press with ink and/or fountain solution or off press with a developer. For off-press development, the developer may be used as the deactivating solution. | 07-02-2009 |
20090170041 | Immersion lithography - A method of obtaining information related to a defect present in the irradiation of a substrate coated with a layer of radiation sensitive material using immersion lithography is disclosed. The method includes irradiating an area of the radiation sensitive material with a non-patterned radiation beam, the area being irradiated with a dose which is sufficient for the radiation sensitive material to be substantially removed during subsequent development of the radiation sensitive material if the radiation sensitive material is a positive radiation sensitive material, or with a dose which is sufficient for the radiation sensitive material to be substantially insoluble during subsequent development of the radiation sensitive material if the radiation sensitive material is a negative radiation sensitive material. The method further includes developing the radiation sensitive material and obtaining information at least indicative of the topography of radiation sensitive material remaining on the substrate after the radiation sensitive material has been developed in order to obtain information related to the defect. | 07-02-2009 |
20090170042 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus comprises an illumination optical system which illuminates an original, a light intensity distribution along a scanning direction of the original formed by the illumination optical system having a slope at a peripheral portion thereof, a projection optical system which projects a pattern of the original onto a substrate, an original stage which holds and scans the original, a substrate stage which holds and scans the substrate, one of the original and the substrate being scanned while the one of the original and the substrate is tilted with respect to an image plane of the projection optical system, and a control unit which controls the projection optical system so as to reduce an asymmetry of a light intensity distribution formed on a plane on which the substrate is located, due to the tilt of the one of the original and the substrate with respect to the image plane. | 07-02-2009 |
20090176177 | METHOD OF FORMING A PATTERN USING A PHOTORESIST COMPOSITION FOR IMMERSION LITHOGRAPHY - A photoresist composition for immersion lithography and a method of forming a photoresist pattern using the photoresist composition are disclosed. The photoresist composition includes a photosensitive polymer including a cycloaliphatic group blocked with at least two cyclic acetal groups as a side chain, a photoacid generator and an organic solvent. The hydrophobic photoresist composition may be changed into the hydrophilic photoresist composition by an exposure process. Thus, before the exposure process, the photoresist composition may be insoluble in a liquid for the immersion lithography. After the exposure process, an exposure portion of a photoresist film formed using the photoresist composition may be effectively dissolved in a developing solution to form a uniform photoresist pattern. | 07-09-2009 |
20090186307 | HYDROGENATED RING-OPENING METATHESIS POLYMER, RESIST COMPOSITION AND PATTERNING PROCESS - Resist compositions comprising a hydrogenated ring-opening metathesis polymer bearing an alicyclic structure in its backbone and comprising structural units having an oxygen atom incorporated as part of the cyclic structure exhibit a high resolution and minimal proximity bias upon ArF excimer laser lithography and have high etching resistance. | 07-23-2009 |
20090186308 | METHOD FOR PRINTING A PATTERN ON A SUBSTRATE - The invention provides a method for printing a pattern of ink on a substrate, such as corrugated paperboard, with a relief printing form made from a photosensitive element. The method includes forming an in-situ mask for the photosensitive element, exposing the element to actinic radiation through the in-situ mask in an environment having an inert gas and a concentration of oxygen between 190,000 and 100 ppm, and treating the exposed element to form the relief printing form having a pattern of printing areas. Printing is accomplished by securing the relief printing form to a print press, applying the ink to the printing areas on the printing form, and contacting the ink from the printing areas to the substrate to transfer the pattern of ink onto the substrate. | 07-23-2009 |
20090191491 | Method of Creating an Image in a Photoresist Laminate - A process for creating an image in a dry-film resist laminate. The dry-film resist laminate comprises in order, a peelable top layer, a layer of dry-film resist, a clear or translucent coating layer, and a peelable bottom layer. The top layer is peeled from the laminate and the laminate is applied to a surface using heat and pressure. Thereafter, an image is created in the layer of dry-film resist and the resist is developed to remove uncured portions of the layer of photoresist along with the clear or translucent coating layer. | 07-30-2009 |
20090208883 | Stage device, exposure apparatus, exposure method and device manufacturing method - A stage apparatus | 08-20-2009 |
20090208884 | EXPOSURE APPARATUS - An exposure apparatus includes a driver used for one of height, inclination, curvature-of-field, magnification, and rotation corrections, and a controller configured to control a substrate stage so that it can change an exposure area from a first shot to a third shot even if a moving distance of the substrate stage from the first shot to the second shot is smaller than that from the first shot to the third shot, if a time period necessary for the driver to transfer from a correction state for the first shot to a correction state for the second shot is longer than a time period necessary for the substrate stage to move the exposure area from the first shot to the second shot, and a time period necessary for the driver to transfer from a correction state for the first shot to a correction state for the third shot. | 08-20-2009 |
20090208885 | Exposure apparatus, exposure method, and device manufacturing method - An exposure apparatus includes a first optical member from which an exposure beam is emitted; a first object movable at a light-exit side of the first optical member; a second object movable, independently of the first object, at the light-exit side of the first optical member; and a driving unit that moves the first object and the second object in a first direction within a predetermined plane including a first position opposing the first optical member in a state in which the first object and the second object are close to or in contact with each other and in which positions of the first object and the second object in a second direction within the predetermined plane are shifted. | 08-20-2009 |
20090220896 | PATTERN FORMING METHOD - A pattern forming method has forming a lower layer film on a film to be processed, forming a silicon-containing intermediate film containing a protecting group which is removed by an acid, on said lower layer film, forming a resist film on said silicon-containing intermediate film, exposing a predetermined region of said resist film to light, and developing said resist film with a developer. | 09-03-2009 |
20090220897 | RADIATION CURABLE COMPOSITION, STORING METHOD THEREOF, FORMING METHOD OF CURED FILM, PATTERNING METHOD, USE OF PATTERN, ELECTRONIC COMPONENTS AND OPTICAL WAVEGUIDE - The present invention provides a radiation curing composition comprising (a): a siloxane resin, (b): a photoacid generator or photobase generator, and (c): a solvent capable of dissolving component (a) and containing an aprotic solvent. | 09-03-2009 |
20090233242 | LACTONE-CONTAINING COMPOUND, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - Lactone-containing compounds having formula (1) are novel wherein R | 09-17-2009 |
20090233243 | STRUCTURE FOR PATTERN FORMATION, METHOD FOR PATTERN FORMATION, AND APPLICATION THEREOF - A structure for pattern formation adapted for optically forming a pattern, characterized by comprising: a photocatalyst-containing layer provided on a substrate, the photocatalyst-containing layer containing a material of which the wettability is variable through photocatalytic action upon pattern-wise exposure. | 09-17-2009 |
20090239179 | HYDROXYL-CONTAINING MONOMER, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A hydroxyl-containing monomer of formula (1) is provided wherein R | 09-24-2009 |
20090246716 | HIGH REFRACTIVE INDEX SOL-GEL COMPOSITION AND METHOD OF MAKING PHOTO-PATTERNED STRUCTURES ON A SUBSTRATE - Described herein are sol-gel compositions, sol-gel composition precursors, and methods of fabricating photo-patterned structures on a substrate. The sol-gel compositions possess a combination of high refractive index and low optical loss, even without incorporating metal oxides or metal alkoxides. The sol-gel compositions are further useful in waveguide fabrication applications, especially at the telecommunication wavelength range of 1300-1600 nm. Furthermore, the fabrication of patterned structures using the sol-gel compositions described herein can be achieved in a variety of substrates, including, for example, silicon-on-silica substrates and molybdenum-on-glass substrates. | 10-01-2009 |
20090253083 | Exposure Apparatus, Exposure Method, and Method for Producing Device - An exposure apparatus includes a liquid supply unit which supplies a liquid to fill an optical path space for an exposure light beam therewith, a first land surface which is opposed to a surface of a substrate arranged at an exposure position and which surrounds the optical path space for the exposure light beam, and second land surfaces which are arranged outside the first land surface. The first land surface is capable of retaining the liquid between the surface of the substrate and the first land surface. The second land surface is provided to make no contact with a film of the liquid existing between the second land surface and the surface of the substrate. Accordingly, the exposure apparatus is provided, in which the optical path space for the exposure light beam can be filled with the liquid in a desired state even when the exposure is performed while moving the substrate. | 10-08-2009 |
20090269706 | METHOD FOR FORMING RESIST PATTERN - A method for forming a resist pattern that includes the steps of: forming a resist film on a substrate using a resist composition including a resin component (A) that exhibits changed alkali solubility under the action of acid and an acid generator component (B) that generates acid upon exposure; selectively exposing the resist film; and developing the resist film using an alkali developing solution for a developing time of less than 30 seconds, thereby forming a resist pattern. | 10-29-2009 |
20090269707 | Thick Film Layers and Methods Relating Thereto - Thick film layers for a micro-fluid ejection head, micro-fluid ejection heads, and methods for making micro-fluid ejection head and thick film layers. One such thick film layer is derived from a difunctional epoxy component having a weight average molecular weight ranging from about 2500 to about 4000 Daltons, a photoacid generator, an aryl ketone solvent, and an adhesion enhancing component. One such thick film layer has a cross-link density upon curing that increases the dimensional stability of the thick film layer sufficient to provide flow features therein having substantially vertical walls. | 10-29-2009 |
20090274983 | SCANNING EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - A scanning exposure apparatus ( | 11-05-2009 |
20090274984 | CARBOXYL-CONTAINING LACTONE COMPOUND, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - Carboxyl-containing lactone compounds having formula (1) are novel wherein R | 11-05-2009 |
20090280439 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A light branching unit and a reflecting mirror are fixed to a barrel in a state where the distance between the members is constantly maintained. And, a laser beam from a light source is guided to the light branching unit via an optical fiber, separated to a measurement beam and a reference beam by a beam splitter, and a synthesized light of the measurement beam and the reference beam that reciprocate between the light branching unit and the reflecting mirror in the liquid is then guided to a photodetection system via the optical fiber. And, the measurement beam and the reference beam are made to interfere inside this photodetection system, and a change of an optical path length of the measurement beam is measured based on a photoelectric conversion signal of the interference light. Accordingly, change of a refractive index of the liquid can be optically measured. | 11-12-2009 |
20090280440 | SURFACE TREATING AGENT FOR RESIST-PATTERN, AND PATTERN-FORMING METHOD USING SAME - A surface treating agent for resist pattern, characterized by containing not only a chemical species having a functional group capable of chemical adsorption to resist pattern and a polymerizable group but also a solvent. | 11-12-2009 |
20090297990 | Liquid holding apparatus, liquid holding method, exposure apparatus, exposing method, and device fabricating method - Liquid is held in a prescribed region between a first object and a second object. An electrostatic holder holds the liquid by electrostatic force. | 12-03-2009 |
20090297991 | Method for manufacturing lenticular sheet - According to the method for manufacturing a lenticular sheet of the present invention, the convex arcuate faces are formed not by using a mold but by lithography and heating. Therefore, reduction in quality caused by deterioration of the mold and during demolding can be prevented. Furthermore, since it is not necessary to exchange a mold, productivity can be improved. Moreover, the thickness of the convex arcuate faces can be controlled by controlling the thickness of the coating layer and the resin remaining uncured after light exposure can be removed in the developing step. Therefore, a sheet having thick convex arcuate faces can be manufactured with high productivity. | 12-03-2009 |
20090305175 | SURFACE POSITION DETECTING APPARATUS, EXPOSURE APPARATUS, SURFACE POSITION DETECTING METHOD, AND DEVICE MANUFACTURING METHOD - A surface position detecting apparatus comprises a light-sending optical system which makes first light from a first pattern and second light from a second pattern incident at different incidence angles to a predetermined surface to project an intermediate image of the first pattern and an intermediate image of the second pattern onto the predetermined surface; a light-receiving optical system which guides the first light and the second light reflected on the predetermined surface, to a first observation surface and to a second observation surface, respectively, to form an observation image of the first pattern on the first observation surface and an observation image of the second pattern on the second observation surface; and a detecting section which detects position information of the observation image of the first pattern on the first observation surface and position information of the observation image of the second pattern on the second observation surface and which calculates a surface position of the predetermined surface, based on the position information of the observation image of the first pattern and the position information of the observation image of the second pattern. | 12-10-2009 |
20090305176 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus includes a first measurement device, a second measurement device, and a controller. The first measurement device measures the position of a substrate stage in the optical axis direction when the substrate stage is scanned in the first direction. The second measurement device measures the surface positions of the substrate in the optical axis direction at a plurality of measurement points on one straight line extending in the second direction on the substrate. The controller controls the second measurement device to measure at least one identical region on the substrate at different measurement points of the plurality of measurement points both before and after the substrate stage moves in steps in the second direction, and calculates a measurement error of the first measurement device attributed to the driving of the substrate stage in the second direction based on the measurement result obtained by the second measurement device. | 12-10-2009 |
20090311636 | EXPOSURE APPARATUS, DEVICE MANUFACTURING METHOD, AND APERTURE STOP MANUFACTURING METHOD - A projection exposure apparatus includes an aperture stop that includes a light attenuation part that is located between a light shielding part and an opening part, and has a transmittance larger than that of the light shielding part and smaller than that of the opening part. A width of the light attenuation part is set within a range from a wavefront splitting period of the wavefront splitting device or a value of Z×tan(arcsin(α))/2 to a length that is five times as long as the wavefront splitting period of the wavefront splitting device, where a is a numerical aperture on an exit side of the wavefront splitting device, and Z is a distance between the focal plane of the wavefront splitting device on the exit side and the aperture stop. | 12-17-2009 |
20090317752 | Rinse liquid for lithography and method for forming resist pattern using same - The present invention provide with a rinse solution for lithography and a resist pattern forming method using the same, which can prevent an inclination and peeling-off of a resist pattern and form a resist pattern having a high aspect ratio with high reproducibility. The rinse solution for lithography of the present invention comprises water and a nonionic surfactant having an ethyleneoxy group but not having a fluorine atom. The resist forming method of the present invention comprises the step of rinsing the pattern after development treatment with the rinse solution for lithography. | 12-24-2009 |
20090317753 | BICYCLO COMPOUND, METHOD FOR PRODUCING PENTACENE AND A FILM THEREOF USING THE SAME, AND METHOD FOR PRODUCING BICYCLO COMPOUND - A method for producing highly purified fused aromatic ring compounds with high yield by a simpler method. A method for producing a fused aromatic ring compound comprising irradiating the bicyclo compound containing at least one bicyclo ring represented by formula (1) in a molecule with light to detach a leaving group X from a residual part to form an aromatic ring: | 12-24-2009 |
20100003622 | PATTERN-FORMING METHOD, METAL OXIDE FILM-FORMING MATERIAL AND METHOD FOR USING THE METAL OXIDE FILM-FORMING MATERIAL - A pattern-forming method, including: forming a first resist film by applying a first chemically amplified resist composition onto a support, forming a plurality of resist patterns by selectively exposing and then developing the first resist film, forming a plurality of coated patterns by forming a coating film composed of a metal oxide film on the surface of each resist pattern, forming a second resist film by applying a second chemically amplified resist composition onto the support having the coated patterns formed thereon, and selectively exposing and then developing the second resist film, thereby forming a pattern composed of the plurality of coated patterns and a resist pattern formed in the second resist film onto the support. | 01-07-2010 |
20100009297 | Antireflective Coating Compositions - Antireflective coatings and related polymers are disclosed. | 01-14-2010 |
20100009298 | FORMING SUB-LITHOGRAPHIC PATTERNS USING DOUBLE EXPOSURE - Methods are presented of forming sub-lithographic patterns using double exposure. One method may include providing a photoresist layer over a layer to be patterned; exposing the photoresist layer using a first mask having a first opening; developing the photoresist layer to transfer the first opening into the photoresist layer, forming a boundary in the photoresist layer about the transferred first opening that is hardened; exposing the photoresist layer using a second mask having a second opening that overlaps the boundary; and developing the photoresist layer to transfer the second opening into the photoresist layer, leaving the boundary, wherein the boundary has a sub-lithographic dimension. | 01-14-2010 |
20100028815 | SYSTEM AND METHOD EMPLOYING SECONDARY BACK EXPOSURE OF FLEXOGRAPHIC PLATE - A method of making a relief image on a flexographic print plate including imagewise exposing a mask including an imageable material disposed on a mask substrate to form an imaged mask having a mask image in the imageable material disposed on the mask substrate, the mask image including mask image areas each having a highlight value. The method further includes laminating the imaged mask to a front surface of a flexographic printing plate precursor, and exposing selected areas of the flexographic printing plate precursor to an imagewise addressable curing radiation via a back surface of the flexographic printing plate precursor based on the highlight values of corresponding mask image areas of the mask image. | 02-04-2010 |
20100028816 | PROCESS FOR PREPARING A POLYMERIC RELIEF STRUCTURE - The invention relates to a process for the preparation of a polymeric relief structure comprising the steps of coating a substrate with a coating composition comprising one or more radiation-sensitive ingredients, locally treating the coated substrate with electromagnetic radiation having a periodic or random radiation-intensity pattern, forming a latent image, and polymerizing and/or crosslinking the resulting coated substrate, wherein the coating composition comprises one or more radical scavengers in an amount sufficient to inhibit/retard substantial polymerization in the non-treated areas of the coated substrate, and low enough to allow polymerization and/or crosslinking in the treated areas in step c, with the proviso that the amount of oxygen present in the coating composition is not equal to the equilibrium amount of oxygen present when the coating composition is in contact with air. | 02-04-2010 |
20100035192 | METHOD OF FORMING RESIST PATTERN - A method of forming a resist pattern including: forming a resist film on a substrate using a chemically amplified negative resist composition; forming a latent image of a first line and space pattern by subjecting the resist film to first exposure through a photomask; forming a latent image of a second line and space pattern so as to intersect with the latent image of the first line and space pattern by subjecting the resist film to second exposure through a photomask; and subjecting the resist film to developing to form a hole pattern in the resist film. | 02-11-2010 |
20100040987 | Method for Exposing an Area on a Substrate to a Beam and Photolithographic System - Embodiments of the invention describe a method for exposing an area on a substrate to a beam. The method includes adjusting a focus offset of the beam with respect to the area on the substrate, tilting the beam or tilting the substrate, and exposing the area on the substrate with the beam, thereby generating locations within the area exposed with different foci. Furthermore embodiments describe computer programs for controlling a photolithographic system to do the same and a photolithographic system for doing the same. | 02-18-2010 |
20100040988 | SPIN BOWL COMPATIBLE POLYAMIC ACIDS/IMIDES AS WET DEVELOPABLE POLYMER BINDERS FOR ANTI-REFLECTIVE COATINGS - Anti-reflective compositions and methods of using these compositions to form circuits are provided. The compositions comprise a polymer dissolved or dispersed in a solvent system. In a preferred embodiment, the polymers of the composition include recurring monomers having the formulas | 02-18-2010 |
20100047723 | PROCESSING OF POSITIVE-WORKING LITHOGRAPHIC PRINTING PLATE PRECURSOR - Positive-working imageable elements can be imaged and processed using a processing solution that comprises at least 0.03 N of an organic amine or a mixture thereof, whose conjugated acids have a pKa greater than 9 and a boiling point greater than 150° C. The imageable element is a single-layer, infrared radiation-sensitive positive-working imageable element comprising a substrate and an infrared radiation absorbing compound. It also has an imageable layer that comprises a developability-enhancing compound and a poly(vinyl acetal) in which at least 25 mol % of its recurring units comprise pendant phenol, naphthol, or anthracenol groups that are substituted with one or more electron-withdrawing groups. | 02-25-2010 |
20100055622 | METHOD FOR MAKING LIGHT BLOCKING PLATE - An exemplary method for making a plurality of light blocking plates is provided. Firstly, a photoresist layer is formed on a substrate. Secondly, the photoresist layer is exposed using a gray scale photomask. Thirdly, the photoresist layer is developed to form a plurality of conical frustums on the substrate, and each of the conical frustums tapers in a direction away from the substrate. Fourthly, an opaque to-be-solidified film is formed on the substrate, and each of the conical frustums extends through the to-be-solidified film. Fifthly, the to-be-solidified film is solidified. Sixthly, the solidified film is separated from the substrate and the conical frustums, thus obtaining a light blocking plate module including a plurality of light blocking plates. Lastly, the light blocking plate module is cut into a plurality of individual light blocking plates. | 03-04-2010 |
20100055623 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus of the present invention includes a vacuum container accommodating a structure, a vacuum pump configured to increase the degree of vacuum achieved inside the vacuum container, a radiation unit configured to perform a heat exchange through radiation for the structure, a temperature detecting unit configured to detect the temperature of the structure, and a control unit configured to control the radiation unit based on the detected temperature, wherein the radiation unit is arranged at a position determined so that the radiation unit does not interfere with a heat exchange achieved through radiation between the vacuum pump and the structure. | 03-04-2010 |
20100068658 | PHOTOLITHOGRAPHIC PATTERNING OF ARRAYS OF PILLARS HAVING WIDTHS AND LENGTHS BELOW THE EXPOSURE WAVELENGTHS - A pillar array is printed in positive photoresist using an optical mask ( | 03-18-2010 |
20100068659 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus of the present invention is an EUV exposure apparatus | 03-18-2010 |
20100081095 | MOVABLE BODY APPARATUS, MOVABLE BODY DRIVE METHOD, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A drive system drives a movable body, based on measurement results of a first measurement system which measures the position of the movable body in an XY plane by irradiating a measurement beam from an arm member on a grating placed on a surface parallel to the XY plane of the movable body and measurement results of a second measurement system which measures a variance of the arm member using a laser interferometer. In this case, the drive system corrects measurement errors caused due to a variance of the arm member included in the measurement results of the first measurement system, using the measurement results of the second measurement system. | 04-01-2010 |
20100081096 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An information processing apparatus, for processing information of a plurality of measured heights respectively corresponding to a plurality of measurement points on a surface of a substrate held by a chuck, includes a processor and an output device. The processor is configured to specify, with respect to the surface, a plurality of areas that are arrayed and a plurality of sections each constituted by a number of the plurality of areas, extract at least two inclinations of a plurality of inclinations respectively corresponding to the number of the plurality of areas based on the plurality of measured heights with respect to each of the plurality of sections, and cause the output device to output information specifying a section of the plurality of sections that satisfies a first condition that a product of two inclinations among the at least two inclinations exceeds a predetermined threshold. | 04-01-2010 |
20100086879 | MATERIAL FOR FORMATION OF PROTECTIVE FILM, METHOD FOR FORMATION OF PHOTORESIST PATTERN, AND SOLUTION FOR WASHING/REMOVAL OF PROTECTIVE FILM - Disclosed are: a material for forming a protective film to be laminated on a photoresist film, which can prevent the contamination of an exposing device with an outgas generated from the photoresist film, which has little influence on the environment, which has a high water repellent property, which sparingly causes mixing with the photoresist film, and which can form a high-resolution photoresist pattern; a method for forming a photoresist pattern; and a solution for washing/removing a protective film. Specifically disclosed are: a material for forming a protective film, which comprises (a) a non-polar polymer and (b) a non-polar solvent; a method for forming a photoresist pattern by using the material; and a solution for washing/removing a protective film, which is intended to be used in the method. | 04-08-2010 |
20100086880 | DEVELOPING SOLUTION AND METHOD FOR PRODUCTION OF FINELY PATTERNED MATERIAL - A developing solution is provided comprising an aqueous alkali solution, at least one anion selected from a silicate ion, a carbonate ion, a borate ion and a phosphate ion, and at least one cation selected from an ammonium ion, an organic ammonium ion and an alkali metal ion. The aqueous alkali solution may be an aqueous solution of a tetraalkylammonium hydroxide. | 04-08-2010 |
20100086881 | OXIME ESTER PHOTOINITIATORS - Compounds of the formula (I), wherein A | 04-08-2010 |
20100092894 | Bottom Antireflective Coating Compositions - Antireflective coating compositions are discussed. | 04-15-2010 |
20100092895 | SILICON-BASED ANTIREFLECTIVE COATING COMPOSITIONS - A polymer comprising a siloxane polymer having at least one Si—OH group and at least one Si—OR group, where R is condensation stabilizing group optionally having a reactive functional group, wherein the siloxane polymer, when placed into a solvent, has a weight average molecular weight increase of less than or equal to 50% after aging for one week at 40° C. as measured by GPC is provided. | 04-15-2010 |
20100099050 | LIQUID RECOVERY APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A liquid recovery apparatus of the present invention is a liquid recovery apparatus | 04-22-2010 |
20100104987 | COMPOSITION FOR ANTIREFLECTION FILM FORMATION AND METHOD FOR RESIST PATTERN FORMATION USING THE COMPOSITION - A composition for forming an anti-reflection film for use in forming an anti-reflection film on a resist film is provided, the composition for forming an anti-reflection film being easily handled, and capable of forming an anti-reflection film having superior optical characteristics similarly to anti-reflection films formed using PFOS. A composition for forming an anti-reflection film to be provided on a resist film which includes a certain fluorine compound. This composition for forming an anti-reflection film can form an anti-reflection film having superior optical characteristics since the certain fluorine compound contributes to improvement of the optical characteristics of the anti-reflection film. | 04-29-2010 |
20100112496 | PATTERNING METHOD - A patterning method comprises a step for forming a first film on a substrate, a step for forming a multilayer film including a resist film on the first film, a step for patterning the resist film by photolithography to form a patterned resist film having a predetermined pattern, a step for forming an silicon oxide film different from the first film on the patterned resist film and the first film by supplying a first gas containing an organic silicon and a second gas containing an activated oxygen species alternately to the substrate, a step for etching the silicon oxide film to form a sidewall spacer on the sidewall of the patterned resist film, a step for removing the patterned resist film, and a step for processing the first film by using the sidewall spacer as a mask. | 05-06-2010 |
20100112497 | IMAGE FORMING METHOD - Provided is a method for forming an image with an ink-jet recorder provided with an ink-jet head, the method comprising the following steps in the sequence set forth: ejecting a photo-acid generator solution through the ink-jet head on a recording material, provided that the photo-acid generator solution contains a solvent and a photo-acid generator without containing a photopolymerizable compound; irradiating the ejected photo-acid generator solution on the recording material with actinic radiation rays; ejecting an actinic radiation curable ink containing a colorant through the ink-jet head on the recording material to form an image; and irradiating the image with actinic radiation rays so as to fix the formed image. | 05-06-2010 |
20100124724 | PROJECTION EXPOSURE APPARATUS, METHOD FOR CALIBRATING MEASUREMENT CRITERION OF DISPLACEMENT MEASUREMENT UNIT, AND METHOD FOR MANUFACTURING DEVICE - A projection exposure apparatus includes an optical element, and projects a pattern formed on a first object onto a second object to be exposed through a projection optical system for correcting imaging characteristics by controlling the optical element. The projection exposure apparatus includes: a displacement measurement unit configured to measure a displacement of the optical element; a storage unit configured to store a measurement criterion of the displacement measurement unit; an imaging characteristics measurement unit configured to measure imaging characteristics of the projection optical system; and a calibration unit configured to calibrate the measurement criterion based on a result of measurement by the imaging characteristics measurement unit. | 05-20-2010 |
20100129758 | Resist surface modifying liquid, and method for formation of resist pattern using the same - Provided are: a resist surface modifying liquid which is used as a surface treatment liquid of a resist film prior to a post exposure baking (PEB) step, and which can inhibit occurrence of defects of the resist film by reducing water repellency; and a method for forming a resist pattern using the same. A resist surface modifying liquid which is used as a surface treatment liquid prior to a post exposure baking (PEB) step of a resist film, the resist surface modifying liquid containing an acidic compound, and at least one of an alcohol-based solvent represented by a certain general formula and an ether-based solvent represented by a certain general formula. | 05-27-2010 |
20100136491 | OXIME ESTER PHOTOINITIATORS - Compounds of formula (I), (II), and (III), wherein R | 06-03-2010 |
20100136492 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A method of processing a substrate in a substrate processing apparatus that is arranged adjacent to an exposure device and includes first, second and third processing units, includes the steps of: forming a photosensitive film made of a photosensitive material on the substrate by said first processing unit before exposure processing by said exposure device. The method also includes applying washing processing to the substrate by said second processing unit after the formation of said photosensitive film by said first processing unit and before the exposure processing by said exposure device and transporting the substrate after the washing processing to said exposure device. The method further includes transporting the substrate from said exposure device and applying development processing by said third processing unit to the substrate transported after the exposure processing by said exposure device. | 06-03-2010 |
20100151394 | System for Contactless Cleaning, Lithographic Apparatus and Device Manufacturing Method - Embodiments of the invention relate to a system for contactless cleaning of an object surface, a lithographic apparatus including the system, and a method of manufacturing a device. The system may include a He plasma source contained in a chamber and a control unit constructed to modify plasma parameters in use, such as the electron energy distribution of the plasma for causing an increase in formation of He metastables without modifying operational parameters of the plasma source. The control unit may include an electrical biasing unit constructed to apply a positive bias voltage to the object, for attracting free electrons from the plasma. The system may include a supplementary gas source, which may be either pre-mixed with He or be supplied from a further gas source. The supplementary gas may be selected based on a pre-knowledge on a type of particles to be expected on the surface of the object. | 06-17-2010 |
20100151395 | Protective film-removing solvent and method of photoresist patterning with it - Disclosed are a protective film-removing solvent for re-moving a protective film laminated on a photoresist film, which contains at least a hydrofluoroether; and a method of photoresist patterning in liquid immersion lithography, using the protective film-removing solvent. | 06-17-2010 |
20100159403 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - In an exposure station, positional information of a stage holding a wafer is measured by a first fine movement stage position measurement system including a measurement arm, and in a measurement station, positional information of a stage holding a wafer is measured by a second fine movement stage position measurement system including another measurement arm. An exposure apparatus has a third fine movement stage position measurement system which can measure positional information of a stage when the stage is carried from the measurement station to the exposure station. The third fine movement stage measurement system includes an encoder system including a plurality of Y heads and a laser interferometer system including a laser interferometer. | 06-24-2010 |
20100167215 | COMPOSITE SUBSTRATE AND METHOD FOR FORMING METAL PATTERN - A composite substrate | 07-01-2010 |
20100167216 | EXHAUST APPARATUS, PROCESSING APPARATUS, AND DEVICE MANUFACTURING METHOD - An exhaust apparatus includes a structural member; a vacuum pump configured to exhaust a gas via the structural member; and a regulator configured to regulate a temperature of the structural member. The structural member has first and second end faces and a columnar through hole connecting the first and second end faces to each other. The apparatus is configured such that the vacuum pump exhausts a gas via the through hole. | 07-01-2010 |
20100178619 | METHOD FOR ENHANCING LITHOGRAPHIC IMAGING OF ISOLATED AND SEMI-ISOLATED FEATURES - The present invention relates to photolithography methods for enhancing lithographic imaging of isolated and semi-isolated features. A first layer of a first photoresist is formed over a substrate. A second layer of a second photoresist is formed over the first layer. The second photoresist includes a polymer containing an absorbing moiety. The second layer is exposed through a first patterned mask and developed to form a first relief image. The first relief image and the first layer are exposed through a second patterned mask. One of the first and the second patterned masks includes a dense pattern, while the other includes an isolated or a semi-isolated pattern. The first relief image and base soluble regions of the first layer are removed to form a second relief image with an isolated or a semi-isolated pattern. The second layer can also be bleachable upon exposure and bake in the present invention. | 07-15-2010 |
20100178620 | INVERTED PATTERN FORMING METHOD AND RESIN COMPOSITION - A method for forming an inverted pattern includes forming a photoresist pattern on a substrate, filling a space formed by the photoresist pattern with a resin composition including a polysiloxane and a solvent, and removing the photoresist pattern to form an inverted pattern. The resin composition includes (A) a polysiloxane obtained by hydrolysis and condensation of two types of hydrolysable silane compounds having a specific structure, and (B) an organic solvent containing an alcohol or ether having a specific structure. | 07-15-2010 |
20100178621 | DETERMINATION METHOD, EXPOSURE METHOD, DEVICE FABRICATION METHOD, AND STORAGE MEDIUM - The present invention provides a method of determining a structure of an antireflection coating formed on a substrate as an exposure target of an exposure apparatus, the method comprising steps of calculating, an intensity distribution of light diffracted by an original, based on information of an effective light source formed on a pupil plane of a projection optical system, and information of an original pattern, extracting diffracted light having an intensity of not less than a threshold from the intensity distribution calculated in the calculating step, and determining the structure of the antireflection coating, formed on the substrate, such that a reflectance of the antireflection coating falls within a target range when an incident angle of the diffracted light, which has the intensity of not less than the threshold and is extracted in the extracting step, on the antireflection coating formed on the substrate is an input. | 07-15-2010 |
20100183987 | EXPOSURE APPARATUS - An exposure apparatus for exposing a substrate to radiant energy in a vacuum is disclosed. The apparatus comprises a chamber in which the vacuum is generated, a blowing device including a supply nozzle ( | 07-22-2010 |
20100183988 | EXPOSURE SYSTEM AND PATTERN FORMATION METHOD - An exposure system includes a cleaning unit for cleaning a surface of a resist film formed on a wafer with a cleaning fluid and an exposure unit for performing pattern exposure with an immersion liquid provided between the resist film and a projection lens. | 07-22-2010 |
20100190115 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS HAVING THE SAME, AND DEVICE MANUFACTURING METHOD - A measurement apparatus includes a first mask that is arranged on an object plane of a target optical system, and has a window that transmits measurement light, a second mask that has a reflection surface for reducing coherence of the measurement light, and a diffraction grating configured to split the measurement light that has been reflected on the second mask, has passed the first mask and the target optical system, wherein a distance Lg between the diffraction grating and an image plane of the target optical system satisfies Lg=m·Pg | 07-29-2010 |
20100190116 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus comprises an indexer block, an anti-reflection film processing block, a resist film processing block, a development processing block, and an interface block. An exposure device is arranged adjacent to an interface block. The interface block comprises a drying processing group including two drying processing units and an interface transport mechanism. After a substrate is subjected to exposure processing by the exposure device, the substrate is transported to the drying processing units in the drying processing group by the interface transport mechanism, where the substrate is subjected to cleaning and drying processings. | 07-29-2010 |
20100196831 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a communication device configured to communicate with a host computer; an input-output device for an operator to execute a manual operation of the apparatus; a storage device; and a processor. The apparatus exposes a substrate to radiant energy in accordance with an on-line operation from the host computer and an operator operation from the input-output device. The storage device is configured to store first information indicating a manual operation that can be executed by each operator and second information indicating an online operation of which execution concurrent with each manual operation is inhibited. The processor is configured to authenticate an operator and permit execution of an online operation from the host computer based on the first information and the second information stored in the storage device and information of the authenticated operator. | 08-05-2010 |
20100196832 | EXPOSURE APPARATUS, EXPOSING METHOD, LIQUID IMMERSION MEMBER AND DEVICE FABRICATING METHOD - An exposure apparatus comprises: an optical system, which has an emergent surface wherefrom exposure light emerges; a first surface, which is disposed at least partly around an optical path of the exposure light from the emergent surface; and a second surface, which is disposed at least partly around the first surface; and a first supply port, which is disposed at least partly around the first surface such that it faces in an outward radial direction with respect to an optical axis of the projection optical system, that supplies a first liquid to the second surface; wherein, during at least part of an exposure of a substrate, a front surface of the substrate opposes the emergent surface, the first surface, and the second surface; and the substrate is exposed with the exposure light that emerges from the emergent surface and transits a second liquid between the emergent surface and the front surface of the substrate. | 08-05-2010 |
20100216076 | Method to assemble nano-structure on a substrate and nano-molecule device comprising nano-structure formed thereby - A method of selectively positioning nanostructures on a substrate is provided which includes: a first step of forming a photoresist pattern on the substrate and then control the line width of the photoresist pattern in a nano unit to form a nanometer photoresist layer; a second step of forming a protective layer for preventing adsorption of a nano-material in a patter-unformed area on the substrate on which the nanometer photoresist layer has been formed; a third step of removing the photoresist layer formed on the substrate; a fourth step of forming a positively-charged or negatively charged adsorbent layer in the area from which the photoresist layer has been removed; and a fifth step of applying a nano-material-containing solution charged in the opposite polarity of the adsorbent layer to the substrate on which the adsorbent layer has been formed. | 08-26-2010 |
20100216077 | DEVELOPING APPARATUS AND DEVELOPING METHOD - A developing apparatus has a substrate holder to hold a substrate, a heater which is provided in a substrate holder, and heats a substrate on a substrate holder for processing a resist film by PEB, a cooler to cool a substrate on a substrate holder, a developing solution nozzle to supply a developing solution to a substrate on a substrate holder, and a controller to control a heater, a cooler and a developing nozzle. | 08-26-2010 |
20100233634 | Processing Liquid for Resist Substrate and Method of Processing Resist Substrate Using the Same - The present invention provides a resist substrate treating solution and a method for pattern formation using that treating solution, and thereby problems such as foreign substances on the substrate surface, pattern collapse and pattern roughness can be easily solved at the same time. The treating solution comprises water and an alkylene oxide adduct of a primary amine having a hydrocarbon group of 11 to 30 carbon atoms or of ammonia. The method for pattern formation according to the invention comprises a step of treating the developed pattern with that treating solution. | 09-16-2010 |
20100233635 | METHOD OF FORMING PATTERN AND COMPOSITION FOR FORMING OF ORGANIC THIN-FILM FOR USE THEREIN - A method for forming a pattern contains (1) a step of forming an underlayer film containing (A) a radiation-sensitive acid generator capable of generating an acid upon exposure to radiation rays or (B) a radiation-sensitive base generator capable of generating a base upon exposure to radiation rays on a substrate; (2) a step of irradiating the underlayer film with radiation rays through a mask with a predetermined pattern to obtain an exposed underlayer film portion having been selectively exposed through the predetermined pattern; (3) a step of forming (C) an organic thin film on the underlayer film so as to attain chemical bonding of the exposed underlayer film portion with the organic thin-film formed on the exposed underlayer film portion; and (4) a step of removing the organic thin film formed on areas of the underlayer film other than the exposed underlayer film portion. | 09-16-2010 |
20100233636 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - A measurement apparatus comprises: a light source; a first optical system configured to reflect a certain component of a light beam emitted by the light source by a final surface thereof and transmit a remaining component of the light beam; a reflecting surface configured to reflect the remaining component; an optical member configured to generate a first shearing interference fringe formed by the certain component, and a second shearing interference fringe formed by the remaining component; an image sensing unit configured to simultaneously sense the first and second shearing interference fringes generated by the optical member; and an arithmetic unit configured to calculate, a wavefront aberration of the first optical system and a wavefront aberration of the first optical system and an optical system to be measured, using data on the first and second shearing interference fringes, thereby calculating a wavefront aberration of the optical system to be measured. | 09-16-2010 |
20100233637 | DEVELOPING APPARATUS, DEVELOPING METHOD, AND STORAGE MEDIUM - A developing apparatus including a substrate holder that holds a substrate horizontally; means for atomizing a surface treatment liquid used to improve wettability of the substrate with a developer; a first spray nozzle that sprays the atomized surface treatment liquid onto the substrate; and a developer supply nozzle that supplies a developer onto the substrate to which the substrate treatment liquid has been sprayed. The surface tension of the atomized surface treatment liquid with respect to the substrate is lower than the surface tension of the surface treatment liquid with respect to the substrate. The atomization suppresses the fact that the surface treatment liquid gathers on a certain portion of the surface of the substrate. The surface treatment liquid can be easily supplied onto the entire surface of the substrate, and improve wettability of the substrate with the developer. | 09-16-2010 |
20100233638 | SUBSTRATE TREATMENT APPARATUS, SUBSTRATE TREATMENT METHOD, COATING AND DEVELOPING APPARATUS, COATING AND DEVELOPING METHOD, AND STORAGE MEDIUM - The substrate treatment apparatus includes a heating plate that heats the substrate prepared by coating a surface of the substrate with a resist and exposing the resist-coated substrate to light; a surface treatment liquid atomizing unit that atomizes a surface treatment liquid used to improve wettability of the substrate with a developer that is supplied onto the resist; a cooling unit that cools the substrate heated by the heating plate; and a surface treatment liquid supply unit that supplies the atomized surface treatment liquid onto the substrate for a portion of the period from the time when the substrate is heated until the cooling means terminates the cooling of the substrate. | 09-16-2010 |
20100239984 | PATTERN FORMING METHOD - A pattern forming method, includes: (i) a step of applying a resist composition whose solubility in a positive tone developer increases and solubility in a negative tone developer decreases upon irradiation with an actinic ray or radiation, the resist composition containing a resin capable of increasing a polarity by the action of an acid; (ii) an exposure step; (iii) a step of performing development by using a negative tone developer to form a resist pattern; and (iv) a step of causing a crosslinked layer-forming material to act on the resist pattern to crosslink the resin constituting the resist pattern and the crosslinked layer-forming material, thereby forming a crosslinked layer. According to the present invention, a method for forming a pattern having an effectively micro-dimensioned trench or hole pattern without generation of a scum is provided. | 09-23-2010 |
20100239985 | Method for Using Compositions Containing Fluorocarbinols in Lithographic Processes - The present invention involves a method for generating a photoresist image on a substrate. The method comprises coating a substrate with a film comprising a polymer comprising fluorocarbinol monomers; imagewise exposing the film to radiation; heating the film to a temperature of, at, or below about 90° C. and developing the image. The present invention also relates to a method for generating a photoresist image on a substrate where a polymer comprising fluorocarbinol monomers is used as a protective top coat. | 09-23-2010 |
20100248162 | Photosensitive Resin Composition, Photosensitive Element Using Same, Method for Forming Resist Pattern, and Method for Producing Printed Wiring Board - The photosensitive resin composition of the invention is characterized by comprising (A) a binder polymer, (B) a photopolymerizing compound with at least one polymerizable ethylenic unsaturated bond in the molecule, (C) a photopolymerization initiator and (D) a compound represented by the following general formula (1). | 09-30-2010 |
20100248163 | PATTERN FORMATION METHOD - A pattern formation method, includes: bringing a template into contact with a photo-curable agent to fill the photo-curable agent into a concave pattern formed on the template; forming a hydrophilizing component in the photo-curable agent to hydrophilize the photo-curable agent; irradiating the filled photo-curable agent with a first light to cure the photo-curable agent; irradiating the photo-curable agent including the hydrophilizing component with a second light after irradiating with the first light to cause a reaction of the photo-curable agent at an interface of the template; and demolding the template from the photo-curable agent to form a photo-curable agent pattern. | 09-30-2010 |
20100248164 | CLEANING LIQUID FOR LITHOGRAPHY AND METHOD FOR FORMING A RESIST PATTERN USING THE SAME - Provided are a cleaning liquid for lithography capable of suppressing occurrence of CD shift without inhibiting the effect of preventing pattern collapse by a surfactant, and a pattern formation method using the cleaning liquid for lithography. A cleaning liquid for lithography containing (A) an anionic surfactant, (B) an amine compound, and (C) water. In the cleaning liquid for lithography of the present invention, the anionic surfactant and the amine compound form a salt in the cleaning liquid for lithography, and thus penetration of the anionic surfactant into a resist film can be suppressed. Therefore, even when a method for forming a resist pattern is performed, the resist film is not dissolved by using the cleaning liquid for lithography of the present invention, whereby occurrence of CD shift can be efficiently suppressed. | 09-30-2010 |
20100248165 | INFORMATION PROCESSING METHOD, EXPOSURE PROCESSING SYSTEM USING SAME, DEVICE MANUFACTURING METHOD, AND INFORMATION PROCESSING APPARATUS - The information processing method of the present invention is provided that specifies an extraction period for extracting apparatus data to be generated by an industrial apparatus and analyzes a state of the industrial apparatus based on the apparatus data having an occurrence time within the extraction period. The information processing method includes a period changing step of changing the extraction period so as to enable the apparatus data required for the analysis of the state to be included, when the apparatus data required for the analysis of the state is not included within the extraction period. | 09-30-2010 |
20100248166 | Deflector Array, Exposure Apparatus, and Device Manufacturing Method - A deflector array includes a plurality of deflectors, which deflect charged particle beams, arrayed on a substrate. Each of the plurality of deflectors includes a single opening formed in the substrate, and each of the plurality of deflectors includes a pair of electrodes that oppose each other through the opening and are configured to deflect a single charged particle beam. The plurality of deflectors are arrayed such that a length of the pair of electrodes in a longitudinal direction thereof is not less than a distance between centers of two of the plurality of deflectors that are located nearest to each other. The plurality of deflectors is arrayed to form a checkerboard lattice, and two openings of the two of the plurality of deflectors overlap in the longitudinal direction. | 09-30-2010 |
20100255430 | SILICON-CONTAINING COMPOSITION FOR FINE PATTERN FORMATION AND METHOD FOR FINE PATTERN FORMATION USING THE SAME - The present invention provides a composition for forming a fine pattern with high dry etching resistance and a method for forming the fine pattern. The composition for fine pattern formation containing: a resin containing a repeating unit having a silazane bond; and a solvent as well as a method for fine pattern formation using the same. | 10-07-2010 |
20100261121 | PATTERN FORMING METHOD - To provide a pattern forming method comprising: laminating a resist layer on a substrate; forming a diffraction pattern having an opening opened at a predetermined pitch p for diffracting exposure light on an upper layer side of the resist layer; performing whole image exposure with respect to the diffraction pattern in which a refractive index with respect to the exposure light is n, with diffracted light acquired by irradiation of exposure light having a wavelength λ from above the diffraction pattern, which is then diffracted by the diffraction pattern; and forming a desired pattern on a lower layer side of the resist pattern by using a resist pattern formed by developing the resist layer, wherein the predetermined pitch p, the wavelength λ, and the refractive index n satisfy a condition of p>λ/n. | 10-14-2010 |
20100261122 | RESIST COATING AND DEVELOPING APPARATUS, RESIST COATING AND DEVELOPING METHOD, RESIST-FILM PROCESSING APPARATUS, AND RESIST-FILM PROCESSING METHOD - The present invention provides a resist coating and developing apparatus, a resist coating and developing method, a resist-film processing apparatus, and a resist-film processing method, capable of reducing a line width roughness by planarizing a resist pattern. The resist coating and developing apparatus comprises: a resist-film forming part configured to coat a resist onto a substrate to form a resist film thereon; a resist developing part configured to develop the exposed resist film to obtain a patterned resist film; and a solvent-gas supply part configured to expose the resist film, which has been developed and patterned by the resist developing part, to a first solvent of a gaseous atmosphere having a solubility to the resist film. A solvent supply part supplies, to the resist film which has been exposed to the first solvent, a second solvent in a liquid state having a solubility to the resist film. | 10-14-2010 |
20100261123 | PATTERNING PROCESS - In a chemically amplified resist composition comprising a base resin, an acid generator, and a solvent, 1,400-5,000 pbw of the solvent is present per 100 pbw of the base resin, and the solvent comprises at least 60 wt % of PGMEA and ethyl lactate, and 0.2-20 wt % of a high-boiling solvent. A resist pattern is formed by coating the resist composition on a substrate, prebaking, patternwise exposure, post-exposure baking, development, and heat treatment. | 10-14-2010 |
20100266968 | EXPOSURE APPARATUS, DEVICE MANUFACTURING SYSTEM, AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus comprises an exposure device configured to perform an exposure process for a substrate, and a controller configured to control an operation of the exposure device in accordance with control software and perform an update process for the control software. The controller is configured to queue an exposure job corresponding to the exposure process and an update job corresponding to the update process; and cause the exposure device to perform an exposure process corresponding to the queued exposure job if the queued exposure job is output, and perform an update process corresponding to the queued update job if the queued update job is output. | 10-21-2010 |
20100266969 | RESIST APPLYING AND DEVELOPING METHOD, RESIST FILM PROCESSING UNIT, AND RESIST APPLYING AND DEVELOPING APPARATUS COMPRISING - A resist film processing unit is disclosed that can improve an etching resistance of a resist film formed on a substrate. The resist film processing unit includes a light source to irradiate an ultraviolet light on a resist film patterned by a development process, a heating part configured to heat the resist film irradiated with the ultraviolet light by the light source, and a solvent processing unit configured to expose the resist film to a solvent gas including a solvent that contains a benzene ring, during or after heating of the resist film by the heating part. | 10-21-2010 |
20100273111 | Dual tone development with plural photo-acid generators in lithographic applications - A method and system for patterning a substrate using a dual tone development process is described. The method comprises use of plural photo-acid generators with or without a flood exposure of the substrate to improve process latitude for the dual tone development process. | 10-28-2010 |
20100273112 | PROCESS FOR PRODUCING PHOTORESIST PATTERN - The present invention provides a process for producing a photoresist pattern comprising the following steps (A) to (D):
| 10-28-2010 |
20100273113 | PROCESS FOR PRODUCING PHOTORESIST PATTERN - The present invention provides a process for producing a photoresist pattern that contains steps (1) to (11)as described in the specification. | 10-28-2010 |
20100273114 | DEVICE MANUFACTURING APPARATUS AND METHOD OF MANUFACTURING DEVICE - A device manufacturing apparatus includes a driving unit configured to perform driving for processing an object, a conduit through which a coolant that recovers heat generated by the driving unit flows, a cooler configure to cool the coolant that flows through the conduit, a heater configured to heat the coolant cooled by the cooler so that the driving unit cooled by the heated coolant has a target temperature, and a controller configured to heighten a target temperature of the coolant cooled by the cooler, if it is determined, based on control information to control the driving unit, that a heat amount to be generated by the driving unit decreases. | 10-28-2010 |
20100273115 | PARTICLE INSPECTION APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A particle inspection apparatus includes an irradiation unit configured to apply a light beam onto front and back surfaces of an object to be inspected, first and second detection units configured to detect scattering light from the surfaces, a calculation unit configured to conduct a particle inspection on the surfaces on the basis of outputs from the detection units, and a control unit configured to control the irradiation unit, the detection units, and the calculation unit. The irradiation unit can selectively apply the beam onto the front or back surface. The control unit causes the calculation unit to conduct the particle inspection on the inspection surface on which the light beam is selectively applied, on the basis of outputs made by the detection unit corresponding to simultaneous application and selective application of the light beam. | 10-28-2010 |
20100279235 | COMPOSITION FOR FORMATION OF TOP ANTI-REFLECTIVE FILM, AND PATTERN FORMATION METHOD USING THE COMPOSITION - The present invention provides a composition for forming a top anti-reflection coating having a low refractive index, realizing a gradual swing curve and giving a small swing ratio. This composition comprises a solvent and an anthracene skeleton-containing polymer having a hydrophilic group. The composition forms an anti-reflection coating on a photoresist film, and can be used in a photolithographic process for forming a pattern by use of light having a wavelength of 160 to 260 nm. | 11-04-2010 |
20100291490 | Resist pattern slimming treatment method - A resist pattern slimming treatment method includes: a slimming treatment step of performing a slimming treatment on a resist pattern by applying a solution containing an acid onto a substrate having the resist pattern formed thereon, then performing a heat treatment, and then performing a developing treatment. A database storing kinds of resist material for the resist pattern, concentrations of acid contained in a solution to be applied onto the substrate having the resist pattern formed thereon, and line widths of the resist pattern corresponding to the kinds of resist material and the concentrations of acid is prepared in advance. The concentration of the acid contained in the solution used in the slimming treatment step is based on a concentration of the acid obtained from the database, using, as search keys, the kind of resist material and a target value of the line width of the resist pattern. | 11-18-2010 |
20100297562 | OBJECT EXCHANGE METHOD, EXPOSURE METHOD, CARRIER SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A carrier apparatus positions a chuck member above a wafer mounted on a fine movement stage, relatively moves the chuck member and the fine movement stage in a vertical direction, makes the chuck member approach a position which is a predetermined distance away from the upper surface of the wafer, makes the chuck member hold the wafer from above in a non-contact manner, and makes the chuck member holding the wafer and the fine movement stage move apart within a predetermined plane after making the chuck member holding the wafer and the fine movement stage move apart in the vertical direction. Further, the carrier apparatus loads the wafer held in a non-contact manner from above by the chuck member on the fine movement stage. | 11-25-2010 |
20100297563 | RESIST-MODIFYING COMPOSITION AND PATTERN FORMING PROCESS - A patterning process includes (1) coating a first positive resist composition onto a substrate, baking, exposing, post-exposure baking, and alkali developing to form a first resist pattern, (2) coating a resist-modifying composition onto the first resist pattern and heating to effect modifying treatment, and (3) coating a second positive resist composition, baking, exposing, post-exposure baking, and alkali developing to form a second resist pattern. The resist modifying composition comprises a base resin comprising recurring units having formula (1) wherein A | 11-25-2010 |
20100297564 | POLYMERIZABLE FLUORINE-CONTAINING MONOMER, FLUORINE-CONTAINING POLYMER AND METHOD OF FORMING RESIST PATTERN - A polymerizable fluorine-containing monomer and a fluorine-containing polymer which are suitable for a resist layer and a protective layer of a laminated resist for forming a fine pattern in production of semiconductor devices, and further are useful especially in immersion lithography using water as a liquid medium, and a method of forming a resist pattern are provided. The polymerizable fluorine-containing monomer is represented by the formula (1): | 11-25-2010 |
20100304309 | METHOD AND APPARATUS FOR PHOTOIMAGING A SUBSTRATE - A method includes providing a substrate having a layer of photosensitive material thereon and a mask having contiguous first, second, and third portions; and sequentially: i) scanning the first portion with a light beam at a first rate and subsequently impinges on the photosensitive material at an exposure zone; ii) fixing the scanning within the second portion; and iii) resuming scanning through the third portion. Throughout the process the substrate moves through the exposure zone. An apparatus for carrying out the process includes a light beam source, a mask mount, a mask stage, a conveyor assembly, and at least one optical element for manipulating the light beam into a rectangular light beam. | 12-02-2010 |
20100304310 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus that exposes a substrate includes: an optical system that includes an emission surface from which an exposure light is emitted; a first surface that is disposed in at least a part of a surrounding of an optical path of the exposure light emitted from the emission surface; a second surface that is disposed in at least a part of a surrounding of the first surface and at a position lower than the first surface; a space portion into which a liquid can flow via a first aperture between the first surface and the second surface and which is opened to the atmosphere via a second aperture different from the first aperture; and a first recovery portion that recovers at least a part of the liquid flowing into the space portion. Here, the emission surface, the first surface, and the second surface are opposed to the surface of the substrate in at least a part of the exposure of the substrate, and the substrate is exposed with the exposure light from the emission surface via the liquid between the emission surface and the surface of the substrate. | 12-02-2010 |
20100304311 | METHOD OF PRODUCING RESIST PATTERN - A method of producing a resist pattern includes the steps of: forming a resist layer on the semiconductor substrate; performing a first exposure process on the resist layer; performing a first developing process for developing the resist layer to form a first resist pattern having an excess region; performing a first cleaning process; performing a second exposure process on the first resist pattern; performing a second developing process on the first resist pattern to remove the excess region from the first resist pattern so that a second resist pattern corresponding to the specific resist pattern is formed; and performing a second cleaning process. | 12-02-2010 |
20100304312 | CLEANING LIQUID USED IN PHOTOLITHOGRAPHY AND A METHOD FOR TREATING SUBSTRATE THEREWITH - It is disclosed a cleaning liquid for stripping and dissolving a photoresist pattern having a film thickness of 10-150 μm, which contains (a) 0.5-15 mass % of a quaternary ammonium hydroxide, such as tetrapropylammonium hydroxide and tetrabutylammonium hydroxide, (b) 65-97 mass % of a water-soluble organic solvent, such as dimethylsulfoxide or a mixed solvent thereof with N-methyl-2-pyrrolidone, sulforane, etc., and (c) 0.5-30 mass % of water, and a method for treating a substrate therewith. | 12-02-2010 |
20100310993 | TRANSPORTING METHOD, TRANSPORTING APPARATUS, EXPOSURE METHOD, AND EXPOSURE APPARATUS - A ladder type stage apparatus that transports a film-shaped substrate includes a plurality of rods whose longitudinal directions are aligned in a direction that is orthogonal to the moving direction of the film-shaped substrate in order to support the film-shaped substrate; chains that join the rods along a closed-loop trajectory; and a drive motor that moves the rods along this loop-shaped trajectory via the chains. | 12-09-2010 |
20100310994 | STAGE DEVICE, METHOD FOR CONTROLLING STAGE DEVICE, EXPOSURE APPARATUS USING SAME, AND DEVICE MANUFACTURING METHOD - The stage device of the present invention includes a linear motor having a coil and a plurality of permanent magnets, a current driver that supplies current to the coil, and a control section that generates a command for the current driver. The control section generates the command through commutation processing in which a sinusoidal wave using an electrical angle obtained by calculation based on the relative position between the coil and the permanent magnet is multiplied by a thrust force command value for the linear motor, and further ensures that a sinusoidal wave component, which has an amplitude proportional to a thrust force command value and is 90 degrees out of phase from the sinusoidal wave, is included in the command. | 12-09-2010 |
20100323301 | Method and apparatus for making three-dimensional parts - Method and apparatus for fabricating 3D parts with slurry are disclosed. The slurry comprises at least a polymer, an organic binder and a solvent. The process comprises paving the slurry to form a sacrificial layer which is then dried to a solid state. The sacrificial layer is soaked in a developer for being disintegrated. After being irradiated with energy beam, the sacrificial layer is transformed into a part layer which does not dissolve in developer. By repeating the above steps, a preliminary 3D part surrounded by a sacrificial portion constituted of a plurality of sacrificial layers without being irradiated is obtained. The resultant 3D part is obtained by separating the sacrificial portion from the preliminary 3D part. By processing inorganic component of the 3D semi-product with high temperature densification sintering step, a final 3D part consisting of ceramic, metal or ceramic-metal composite with a high strength is obtained. | 12-23-2010 |
20100323302 | PROTECTIVE APPARATUS, MASK, MASK FABRICATING METHOD AND CONVEYING APPARATUS, AND EXPOSURE APPARATUS - A protective apparatus that protects a predetermined area of the front surface of a mask substrate, provided with a pellicle frame that is disposed on at least a portion of the circumference of the predetermined area and bonded to the front surface of the mask substrate, and a pellicle that is mounted on the pellicle frame so as to cover an opening portion that is formed by the pellicle frame in a manner facing the predetermined area; in which the pellicle frame includes a first side portion and a second side portion, and a third side portion and a fourth side portion that are disposed at different positions along the predetermined area, and in relation to the normal direction of the front surface of the mask substrate, the rigidity of the first side portion and the second side portion is lower than the rigidity of the third side portion and the fourth side portion. | 12-23-2010 |
20100323303 | LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, EXPOSING METHOD, AND DEVICE FABRICATING METHOD - An exposure apparatus including: an optical system, which has an emergent surface; a first surface, which is disposed at least partly around an optical path of exposure light from the emergent surface; a second surface, which is disposed at least partly around the first surface; a third surface, which is disposed at least partly around the second surface; a first supply port, which is disposed at least partly around the first surface such that the first supply port is directed in an outward radial direction with respect to an optical axis of the optical system, that supplies a first liquid to the second surface; and a second supply port, which is disposed at least partly around the second surface such that the second supply port is directed in an outward radial direction with respect to the optical axis, that supplies a second liquid to the third surface. | 12-23-2010 |
20100323304 | INSPECTION METHOD FOR PATTERNING OF PHOTORESIST - A nozzle is moved while supplying a photoresist liquid from a slit. A photoresist layer is formed on a film. A resist pattern which covers a portion of the film is formed from the photoresist layer by photolithography. Inspection of the resist pattern is performed. The photolithography includes an exposure which is performed so as to transfer a latent image to the photoresist layer, and a development of the photoresist layer which is performed so as to leave the latent image. The latent image contains a dummy latent image which extends in an unbroken manner parallel to the longitudinal direction of the slit. The resist pattern contains a dummy resist formed correspondingly to the dummy latent image. The inspection of the resist pattern includes the detection of the presence or non-presence of a cut in the dummy resist in the longitudinal direction. | 12-23-2010 |
20100323305 | PATTERN FORMING METHOD, RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED IN THE PATTERN FORMING METHOD, DEVELOPER FOR NEGATIVE DEVELOPMENT USED IN THE PATTERN FORMING METHOD, AND RINSING SOLUTION FOR NEGATIVE DEVELOPMENT USED IN THE PATTERN FORMING METHOD - A pattern forming method, including: (A) coating a substrate with a positive resist composition of which solubility in a positive developer increases and solubility in a negative developer decreases upon irradiation with actinic rays or radiation, so as to form a resist film; (B) exposing the resist film; and (D) developing the resist film with a negative developer; a positive resist composition for multiple development used in the method; a developer for use in the method; and a rinsing solution for negative development used in the method. | 12-23-2010 |
20100330507 | PATTERN FORMING METHOD, RESIST COMPOSITION TO BE USED IN THE PATTERN FORMING METHOD, NEGATIVE DEVELOPING SOLUTION TO BE USED IN THE PATTERN FORMING METHOD AND RINSING SOLUTION FOR NEGATIVE DEVELOPMENT TO BE USED IN THE PATTERN FORMING METHOD - A pattern forming method includes (a) coating a substrate with a resist composition including a resin that includes a repeating unit represented by a following general formula (NGH-1), and, by the action of an acid, increases the polarity and decreases the solubility in a negative developing solution; (b) exposing; and (d) developing with a negative developing solution: | 12-30-2010 |
20110003257 | PROCESSES FOR PHOTOLITHOGRAPHY - New lithographic processing methods are provided which are particularly useful in immersion lithography schemes. In one aspect, processes of the invention comprise: applying on a substrate a photoresist composition; exposing the photoresist layer to radiation activating for the photoresist composition; removing a portion but not all of the exposed photoresist layer; and developing the treated photoresist layer to provide a photoresist relief image. | 01-06-2011 |
20110008734 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - At a measurement bar on which a first measurement head group that measures positional information of a fine movement stage that holds a wafer is arranged, various types of measurement instruments, e.g. an aerial image measuring instrument and the like, used in measurement related exposure such as the optical properties of a projection optical system are arranged. The measurement is performed using the various types of measurement instruments and the exposure conditions such as the optical properties of the projection optical system are adjusted based on the result of the measurement, as needed, and thereby the exposure processing can appropriately be performed on the wafer. | 01-13-2011 |
20110014577 | PELLICLE INSPECTION DEVICE, EXPOSURE APPARATUS USING SAME, AND DEVICE MANUFACTURING METHOD - The pellicle inspection device of the present invention is a device that detects damage to a pellicle film disposed on an original. The pellicle inspection device includes a measuring unit configured to measure a natural vibration frequency of the pellicle film, wherein the pellicle inspection device detects damage to the pellicle film based on the value of the natural vibration frequency measured by the measuring unit. In this case, the measuring unit includes, for example, a vibration inducing unit configured to induce vibration in the pellicle film, and a sensor that detects the vibration induced by the vibration inducing unit. | 01-20-2011 |
20110020754 | METHOD OF FABRICATING A METALLIC MICROSTRUCTURE AND MICROSTRUCTURE OBTAINED VIA THE METHOD - The invention concerns a method of fabricating a metallic microstructure, characterized in that it includes the steps consisting in forming a photosensitive resin mould by a LIGA-UV type process, and in the uniform, galvanic deposition of a layer of a first metal and then a layer of a second metal form a block, which approximately reaches the top surface of the photosensitive resin. | 01-27-2011 |
20110020755 | METHOD OF FORMING PATTERNS - A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film, wherein the resist composition contains a resin capable of increasing the polarity by the action of the acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) forming a protective film on the resist film with a protective film composition after forming the resist film and before exposing the resist film, (c) exposing the resist film via an immersion medium, and (d) performing development with a negative developer. | 01-27-2011 |
20110027723 | MEASURING APPARATUS, OPTICAL SYSTEM MANUFACTURING METHOD, EXPOSURE APPARATUS, DEVICE MANUFACTURING METHOD, AND PROCESSING APPARATUS - The present invention provides a processing apparatus which executes sampling of data and represents the sampled data by linear combination of orthogonal functions, the apparatus including a device configured to execute the sampling, and a processor configured to process the data sampled by the device, wherein the processor is configured, if the data sampled by the device includes an invalid sampling point, to obtain a degree of break of orthogonality of an orthogonal function system caused by the invalid sampling point, and to evaluate reliability of the sampling based on the obtained degree. | 02-03-2011 |
20110027724 | SPATIAL LIGHT MODULATING UNIT, ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - There is disclosed a spatial light modulating unit comprising, a spatial light modulator having a plurality of optical elements arrayed two-dimensionally and controlled individually, and an exit-side optical system which guides light having traveled via the plurality of optical elements of the spatial light modulator, wherein the exit-side optical system is configured so that zero-order light having traveled via a surface portion other than the plurality of optical elements and approximately parallel to an array plane where the plurality of optical elements are arrayed, does not pass through an entrance pupil of the exit-side optical system. | 02-03-2011 |
20110027725 | POLYOL COMPOUND FOR PHOTORESIST - A polyol compound for photoresists has at least one aliphatic group and at least one aromatic group bound to each other alternately, in which the aromatic group has at least one aromatic ring and two or more hydroxyl groups bound to the aromatic ring. The polyol compound for photoresists can be prepared through an acid-catalyzed reaction, such as a Friedel-Crafts reaction, between an aliphatic polyol and an aromatic polyol. The aliphatic polyol is preferably an alicyclic polyol. The aromatic polyol is preferably hydroquinone. | 02-03-2011 |
20110027726 | POLYMER COMPOUND FOR PHOTORESIST - A polymer compound for photoresists contains alkali-soluble groups being protected by protecting groups capable of leaving with an acid, and is thereby insoluble or sparingly soluble in an alkaline developer, in which part or all of the protecting groups are multifunctional protecting groups each protecting two or more alkali-soluble groups. The alkali-soluble groups may be phenolic hydroxyl groups. The polymer compound may correspond to a polyol compound having an aliphatic group and an aromatic group bound to each other alternately, the aromatic group having an aromatic ring and two or more hydroxyl groups bound to the aromatic ring, except with phenolic hydroxyl groups of the polyol compound being protected by protecting groups capable of leaving with an acid. | 02-03-2011 |
20110053097 | PROTECTIVE FILM-FORMING MATERIAL AND METHOD OF PHOTORESIST PATTERNING WITH IT - Problem: Heretofore, when an alcoholic solvent is used alone for a protective film formed as an overlaying layer on a photoresist, there is a problem in that an alcohol-soluble photoresist (for example, negative photoresist) could not be used. The invention solves it, and provides a protective film-forming material which is excellent in its all-purpose utilizability as widely applicable to commercial photoresists and which has basic properties necessary for protective films for use in liquid immersion lithography, and provides a method of photoresist patterning with it. | 03-03-2011 |
20110065051 | SUPPORTING DEVICE, OPTICAL APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A supporting device that supports an optical element in a gravitational force direction, the supporting device comprises: a supporting member to be connected via an adhesive to an outer circumference of the optical element, the supporting member including a plurality of members each of which has a projection for supporting the optical element. Each of the plurality of members is arranged to have a rigidity lower than that of the adhesive in a direction orthogonal to the gravitational force direction. | 03-17-2011 |
20110065052 | RESIST COATING AND DEVELOPING APPARATUS AND METHOD - A resist coating/developing apparatus includes: a resist film-forming unit configured to apply a resist onto a substrate to form thereon a resist film; a resist developing unit configured to develop the resist film after exposure to pattern the resist film; a solvent gas generator configured to generate a solvent gas containing a vapor of a solvent having a property of dissolving the resist film; a solvent gas conditioner connected to the solvent gas generator and configured to condition the solvent gas generated in the solvent gas generator; a processing chamber configured to house the substrate having thereon the resist film which has been developed and patterned in the resist developing unit, and connected to the solvent gas conditioner so that the solvent gas, which has been conditioned in the solvent gas conditioning section, is supplied to the substrate housed in the processing chamber; and an exhaust system connected to the processing chamber to evacuate the processing chamber to a reduced pressure. | 03-17-2011 |
20110065053 | MATERIAL FOR FORMING PROTECTIVE FILM AND METHOD FOR FORMING PHOTORESIST PATTERN - The present invention provides a material for forming a protective film that has favorable alkali solubility and gives a protective film excelling in water repellency, as well as a method for forming a photoresist pattern using this material for forming a protective film. The material for forming a protective film of the present invention contains an alkali-soluble polymer having a unit derived from a monomer represented by the following general formula (A-1) as a constitutional unit. | 03-17-2011 |
20110070546 | SINGLE PHOTORESIST APPROACH FOR HIGH CHALLENGE PHOTO PROCESS - A method of lithography patterning includes coating a resist layer on a substrate; performing an exposing process to the resist layer using a lithography tool with a numerical aperture tuned between about 0.5 and about 0.6; baking the resist layer; thereafter performing a first developing process to the resist layer for a first period of time; and performing a second developing process to the resist layer for a second period of time. | 03-24-2011 |
20110070547 | METHODS FOR MASTERING MICROSTRUCTURES THROUGH A SUBSTRATE USING NEGATIVE PHOTORESIST - Microstructures are fabricated by impinging a radiation beam, such as a laser beam, through a substrate that is transparent to the laser beam, into a negative photoresist layer on the substrate. The negative photoresist layer may be subsequently developed to provide a master for optical and/or mechanical microstructures. Related systems, microstructure products and microstructure masters also are disclosed. | 03-24-2011 |
20110076624 | PHOTORESIST STRIPPING TECHNIQUE - A method for fabricating an integrated circuit device is disclosed. The method may include providing a substrate; forming a first material layer over the substrate; forming a patterned second material layer over the substrate; and removing the patterned second material layer with a fluid comprising a steric hindered organic base and organic solvent. | 03-31-2011 |
20110076625 | METHOD OF FORMING PATTERNS - A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film having a receding contact angle of 70 degrees or above with respect to water, wherein the resist composition for negative development contains a resin capable of increasing the polarity by the action of an acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) exposing the resist film via an immersion medium, and (c) performing development with a negative developer. | 03-31-2011 |
20110081617 | INTEGRATED LITHOGRAPHY EQUIPMENT AND LITHOGRAPHY PROCESS THEREOF - An integrated lithography equipment is disclosed. The equipment includes an input/output area for loading at least one wafer, a coating a developing area for performing coating and developing processes on the wafer, an exposure processing area for exposing the wafer, and an idle and transport area disposed between the coating and developing area and the exposure processing area for isothermally or adiabatically transferring wafers between the coating and developing area and the exposure processing area and holding wafers isothermally or adiabatically. | 04-07-2011 |
20110081618 | LITHO-LITHO ETCH (LLE) DOUBLE PATTERNING METHODS - Litho-litho-etch double patterning (LLE-DP) methods using silylation freeze technology are presented. The LLE-DP method using a silylation freeze reaction comprises providing a substrate with a first photoresist layer thereon. A first exposure process is performed defining a first latent image in a first photoresist. The first patterned structures on the substrate is developed and baked for photo-generated acid diffusion. The photo-generated acid is reacted with a silylation agent to freeze the first patterned structures. A second photoresist layer is formed overlying the substrate. A second lithography process is performed to create second patterned structures on the substrate. The first patterned structures and the second patterned structures are interlaced each other. | 04-07-2011 |
20110086315 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A first driving section and a second driving section apply a drive farce in an X-axis direction, a Y-axis direction, a Z-axis direction, and a θx direction, respectively, with respect to one end and the other end of a fine movement stage whose one end and the other end in the Y-axis direction are each supported, so that the fine movement stage is relatively movable with respect to a coarse movement stage within an XY plane. Accordingly, by the first and the second driving sections making drive forces in directions opposite to each other in a θx direction apply simultaneously to one end and the other end of the fine movement stage (refer to the black arrow in the drawing), the fine movement stage (and the wafer held by the stage) can be deformed to a concave shape or a convex shape within a YZ plane. | 04-14-2011 |
20110086316 | COATING AND DEVELOPING APPARATUS AND COATING AND DEVELOPING METHOD - Disclosed is a coating and developing apparatus including (a) a first liquid process module to sequentially perform a first liquid process by a first chemical liquid, and a second liquid process by the first chemical liquid again; (b) a buffer module to sequentially store the respective substrates which have been subjected to the first liquid process and have not yet been subjected to the second liquid process; and (c) a second liquid process module to sequentially perform a third liquid process by a second chemical liquid. In particular, the third liquid process to be performed on a first substrate of the substrate group is started before the first liquid process performed on a last substrate of the substrate group is ended, in such a manner that right after the first liquid process is performed on the last substrate, the second liquid process is to be performed on the first substrate. | 04-14-2011 |
20110091820 | RESIST PROCESSING METHOD - A resist processing method has: ( | 04-21-2011 |
20110097672 | Polymer having antireflective properties and high carbon content, hardmask composition including the same, and process for forming a patterned material layer - An antireflective hardmask composition includes an organic solvent, an initiator, and at least one polymer represented by Formulae A, B, or C as set forth in the specification. | 04-28-2011 |
20110104617 | Organometallic composition for forming a metal alloy pattern and a method of forming such a pattern using the composition - An organometallic composition containing an organometallic compound (I) containing Ag, an organometallic compound (II) containing Au, Pd, or Ru, and an organometallic compound (III) containing Ti, Ta, Cr, Mo, Ru, Ni, Pd, Cu, Au, or Al, wherein the metal components of organometallic compounds (II) and (III), respectively, are present in an amount of 0.01˜10 mol % based on the amount of Ag in the organometallic compound (I), and a method of forming a metal alloy pattern using the same. Silver alloy patterns can be obtained through a simplified manufacturing process, which patterns have enhanced heat resistance, adhesiveness, and chemical stability. The method may be applied to making a reflective film for LCD and metal wiring (gate, source, drain electrode) for flexible displays or flat panel displays, and further to CMP-free damascene processing and PR-free ITO film deposition. | 05-05-2011 |
20110111350 | Conjugated Polymers - A monomer M-S has a first polymerisable moiety (M) capable of forming a conjugated polymer, and a second polymerisable moiety (S) with a double bond susceptible to addition polymerisation. Polymerisation of the M-moieties is caused, generally by electropolymerisation or chemical oxidation. Polymerisation of the S-moieties is also caused, generally by a radical mechanism, before, after or simultaneously with the M-polymerisation. Suitable monomers include N-(methacrylamidoethyl)-aniline, N-(acrylamidoethyl)-aniline, N-(methacryloyloxyethyl)-aniline and N-(acryloyloxyethyl)-aniline. | 05-12-2011 |
20110117504 | METHOD FOR REMOVING A DEPOSITION ON AN UNCAPPED MULTILAYER MIRROR OF A LITHOGRAPHIC APPARATUS, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A method for removal of a deposition on an uncapped multilayer mirror of an apparatus. The method includes providing a gas that includes one or more of H | 05-19-2011 |
20110123937 | COMPOSITIONS AND PROCESSES FOR IMMERSION LITHOGRAPHY - The present invention relates to barrier layer compositions that are applied above a photoresist composition for immersion lithography processing. In a further aspect, new methods are provided for immersion lithography processing. | 05-26-2011 |
20110129782 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is provided having a table configured to support a substrate; a sensor or target for a sensor is provided on a surface of the table and a cover is provided extending from an edge of the table; in addition, a liquid displacement device is provided including a gas outlet configured to direct a localized gas flow towards the sensor or target so as to displace liquid from the sensor or target over the cover and off the table. | 06-02-2011 |
20110136064 | FLUID FILTRATION METHOD, FLUID FILTERED THEREBY, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACUTRING METHOD - A method for filtering a fluid to obtain a fluid having a known purity is described. The fluid is filtered with a filtration system, and upstream of a final filtration stage of the filtration system, a purity of the fluid is measured. A purity of the fluid filtered by the filtration system is determined by correcting the measured purity with a filtration behavior of the final filtration stage. In an embodiment, the fluid comprises an ultra pure water for use as an immersion liquid in a lithographic apparatus. | 06-09-2011 |
20110143287 | CATADIOPTRIC SYSTEM, ABERRATION MEASURING APPARATUS, METHOD OF ADJUSTING OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - According to one embodiment relates to an optical system radially downsized and corrected well for aberration and is applicable, for example, to an aberration measuring apparatus for measuring wavefront aberration of a liquid immersion projection optical system. A catadioptric system of a coaxial type is provided with a first optical system which forms a point optically conjugate with an intersecting point with the optical axis on a first plane intersecting with the optical axis, on a second plane, and a second optical system which guides light from the first optical system to a third plane. The first optical system has a first reflecting surface arranged at or near the first plane, a second reflecting surface having a form of an ellipsoid of revolution the two focuses of which are aligned along the optical axis in a state in which one focus is located at or near a first light transmissive portion, and a medium filling an optical path between the first reflecting surface and the second reflecting surface. The first light transmissive portion is formed in a central region of the first reflecting surface including the optical axis and a second light transmissive portion is formed in a central region of the second reflecting surface including the optical axis. The medium has the refractive index of not less than 1.3. The second optical system has a plurality of lenses. | 06-16-2011 |
20110143288 | RADIATION SOURCE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a source configured to generate a radiation beam comprising desired radiation and undesired radiation using a plasma, an illumination system configured to condition the radiation beam and to receive hydrogen gas during operation of the lithographic apparatus, and a support structure constructed to hold a patterning device. The patterning device is capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam. A substrate table is constructed to hold a substrate, and a projection system is configured to project the patterned radiation beam onto a target portion of the substrate. The lithographic apparatus is configured such that the radiation beam on entering the projection system includes at least 50% of the undesired radiation that is generated by the plasma and includes wavelengths of radiation that interact with the hydrogen gas to generate hydrogen radicals. | 06-16-2011 |
20110159446 | PLASMON HEAD WITH HYDROSTATIC GAS BEARING FOR NEAR FIELD PHOTOLITHOGRAPHY - A low-cost approach to near field nano-scale photolithography using a plasmonic head with hydrostatic gas bearings. The hydrostatic gas bearing flies the plasmonic head at less than 100 nm, and more preferably less than 50 nm, above the photo-resist without the need to spin the substrate. The plasmonic head concentrates short-wavelength surface plasmons into about sub-100 nm regions on the photo-resist and can pattern features of about 80 nm or less. | 06-30-2011 |
20110165521 | PROCESS FOR PRODUCING PHOTORESIST PATTERN - Process for producing a photoresist pattern containing the steps: (A) applying a first photoresist composition containing a resin having a structural unit containing an acid-labile group in its side chain, an acid generator and a cross-linking agent on a substrate to form a first photoresist film, exposing the film to radiation followed by developing the film, to form a first photoresist pattern; (B) making the first photoresist pattern inactive to radiation, insoluble in an alkaline developer or insoluble in a second photoresist composition in step (C); (C) applying a second photoresist composition containing a resin having a structural unit containing an acid-labile group in its side chain and at least one acid generator of formula (I) or (II) defined in the specification, on the first photoresist pattern, to form a second photoresist film, exposing the film to radiation; and (D) developing the exposed film, to form a second photoresist pattern. | 07-07-2011 |
20110165522 | IMAGING OPTICAL SYSTEM - An imaging optical system includes a plurality of mirrors configured to image an object field in an object plane of the imaging optical system into an image field in an image plane of the imaging optical system. An illumination system includes such an imaging optical system. The transmission losses of the illumination system are relatively low. | 07-07-2011 |
20110171586 | RESIST PROCESSING METHOD - A resist processing method having the steps of: (1) forming a first resist film by applying a first resist composition comprising: a resin (A) having an acid-labile group, being insoluble or poorly soluble in alkali aqueous solution, and being rendered soluble in alkali aqueous solution through the action of an acid, a photo acid generator (B) and a cross-linking agent (C) onto a substrate and drying; (2) prebaking the first resist film; (3) exposing to a whole surface of the first resist film, and then exposing the first resist film through a mask; (4) post-exposure baking of the first resist film; (5) developing with a first alkali developer to obtain a first resist pattern; (6) hard-baking the first resist pattern, (7) obtaining a second resist film by applying a second resist composition onto the first resist pattern, and then drying; (8) pre baking the second resist film; (9) exposing the second resist film through a mask; (10) post-exposure baking the second resist film; and (11) developing with a second alkali developer to obtain a second resist pattern. | 07-14-2011 |
20110177461 | MOVABLE BODY DRIVE METHOD, MOVABLE BODY APPARATUS, EXPOSURE METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A stage is driven (position control) using a hybrid signal which is obtained by synthesizing an output signal of an interferometer (an interferometer system) and an output signal of an encoder (an encoder system) that are made to pass through a high pass filter and a low pass filter, respectively. A cutoff frequency is set to a frequency corresponding to a speed slightly smaller than the speed of the stage at the time of scanning exposure. This allows the stage to be driven using an interferometer whose linear measurement is high at the time of scanning exposure, and using an encoder whose measurement reproducibility is high at the time of stepping. | 07-21-2011 |
20110177462 | PATTERNING PROCESS - A pattern is formed by coating a resist composition comprising a polymer comprising recurring units having an optionally acid labile group-substituted naphthol group, an acid generator, and an organic solvent onto a substrate, baking to form a resist film, exposing the resist film to high-energy radiation, baking, and developing the exposed film with an organic solvent developer to form a negative pattern wherein the unexposed region of film is dissolved and the exposed region of film is not dissolved. In the process of image formation via positive/negative reversal by organic solvent development, the resist film has a high dissolution contrast and controlled acid diffusion. By subjecting the resist film to exposure through a mask having a lattice-like pattern and organic solvent development, a fine hole pattern can be formed at a high precision of dimensional control. | 07-21-2011 |
20110177463 | ILLUMINATION SYSTEM FOR EUV MICROLITHOGRAPHY - An illumination system for EUV microlithography includes an EUV light source which generates EUV illumination light with an etendue that is higher than 0.01 mm | 07-21-2011 |
20110177464 | CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS - A chemically amplified negative resist composition comprises a polymer comprising recurring hydroxystyrene units and recurring styrene units having electron withdrawing groups substituted thereon. In forming a pattern having a fine feature size of less than 0.1 μm, the composition exhibits a high resolution in that a resist coating formed from the composition can be processed into such a fine size pattern while the formation of bridges between pattern features is minimized. | 07-21-2011 |
20110183273 | Water Mark Defect Prevention for Immersion Lithography - A photoresist material having a polymer that turns soluble to a base solution in response to reaction with acid. The material includes a photo-acid generator (PAG) that decomposes to form acid in response to radiation energy and a quencher capable of neutralizing acid and having a reduced mobility. The photoresist material can thereby prevent water mark defects from immersion lithography. | 07-28-2011 |
20110189617 | METHOD OF FORMING ORGANIC THIN FILM AND EXPOSURE METHOD - According to one embodiment, a method of forming an organic thin film includes coating organic solution onto a substrate and heating the coated organic solution after the coating. The organic solution contains a first component and a second component. The second component has higher hydrophobicity than hydrophobicity of the first component. The coating includes making the organic solution to be dropped onto the substrate, equalizing a thickness of the dropped organic solution in an atmosphere containing a vapor at a first vapor pressure, and equalizing the thickness of the dropped organic solution in an atmosphere containing the vapor at a second vapor pressure after the equalization in the atmosphere containing the vapor at the first vapor pressure. The vapor is formed by a vaporization of a liquid. The second vapor pressure is higher than the first vapor pressure. | 08-04-2011 |
20110189618 | RESIST PROCESSING METHOD - A resist processing method comprises the steps of: (1) forming a first resist film by applying a first resist composition comprising: a resin (A) having an acid-labile group, being insoluble or poorly soluble in alkali aqueous solution, and being rendered soluble in alkali aqueous solution through the action of an acid, a photo acid generator (B), a cross-linking agent (C) and an acid amplifier (D) onto a substrate and drying; (2) prebaking the first resist film; (3) exposing to the first resist film; (4) post-exposure baking of the first resist film; (5) developing with a first alkali developer to obtain a first resist pattern; (6) hard-baking the first resist pattern, (7) obtaining a second resist film by applying a second resist composition onto the first resist pattern, and drying; (8) pre-baking the second resist film; (9) exposing the second resist film; (10) post-exposure baking the second resist film; and (11) developing with a second alkali developer to obtain a second resist pattern. | 08-04-2011 |
20110195363 | LAYERED RADIATION-SENSITIVE MATERIALS WITH VARYING SENSITIVITY - A method for fabricating a radiation-cured structure is provided. The method includes the steps of providing a first radiation-sensitive material and a second radiation-sensitive material adjacent the first radiation-sensitive material. The first radiation-sensitive material has a first sensitivity. The second radiation-sensitive material has the first sensitivity and a second sensitivity different from the first sensitivity. At least one mask is placed between at least one radiation source and the first and second radiation-sensitive materials. The mask has a plurality of substantially radiation-transparent apertures. The first and second radiation-sensitive materials are then exposed to a plurality of radiation beams through the radiation-transparent apertures in the mask to form a first construct in the first radiation-sensitive material and a second construct in the second radiation-sensitive material. The first construct and the second construct cooperate to form the radiation-cured structure. | 08-11-2011 |
20110200949 | SUBSTRATE PROCESSING METHOD - A substrate processing method includes a first process (step S | 08-18-2011 |
20110200950 | PHOTOCURABLE COMPOSITION - A method for the preparation of a photocurable resin by a reaction comprising the following steps i) reacting a mixture of a novolak type epoxy resin (A) and a dicyclopentadiene-phenol glycidylether resin (B) with ii) an advancement component (C) containing at least 2 phenolic hydroxyl groups per molecule; iii) reacting with an unsaturated monocarboxylic acid (D); and iv) esterification of the unsaturated group containing resin obtained from the steps of i) to iii) with a polycarboxylic acid anhydride or a carboxylic acid anhydride (E) is disclosed. | 08-18-2011 |
20110207057 | Edge Smoothness With Low Resolution Projected Images For Use in Solid Imaging - A solid imaging apparatus and method employing sub-pixel shifting in multiple exposures of the digitally light projected image of a cross-section of a three-dimensional object on a solidifiable liquid medium. The multiple exposures provide increased resolution, preserving image features in a three-dimensional object and smoothing out rough or uneven edges that would otherwise be occur using digital light projectors that are limited by the number of pixels in an image projected over the size of the image. Algorithms are used to select pixels to be illuminated within the boundary of each image projected in the cross-section being exposed. | 08-25-2011 |
20110207058 | METHOD FOR DEVELOPING THREE DIMENSIONAL SURFACE PATTERNS FOR A PAPERMAKING BELT - A process for making an image for a papermaking belt wherein the image is the product of: (a) providing an image, said image being a simple geometric figure; (b) performing a first alpha image modification algorithm on said image; (c) performing a first beta image modification algorithm upon said image to provide a resultant image, said beta image modification algorithm being a three-dimensional image modification algorithm; and, (d) using said resultant image to form a pattern for said papermaking belt. | 08-25-2011 |
20110223545 | USE OF PHOTOSENSITIZED EPON EPOXY RESIN 1002F FOR MEMS AND BIOMEMS APPLICATIONS - Systems and methods directed to the use 1002F to build microdevices and biomedical devices. Through the addition of a photosensitizing agent, Epon epoxy resin 1002F can be linked in the presence of UV light, making it useful as a photoresist or as a micropatternable structural material. One embodiment comprises combining 1002F monomer resin with a solvent and a photoinitiator, placing the monomer solution on a surface, exposing the monomer solution to UV light through a mask to initiate linking, and stripping the unlinked polymer away. In another embodiment, 3-D structures are built using two or more layers of sensitized monomer films, each having different sensitivity to light, and the use of a mask containing opaque and semi-opaque regions. | 09-15-2011 |
20110223546 | METHOD AND SYSTEM FOR MODIFYING SUBSTRATE RELIEF FEATURES USING ION IMPLANTION - A method of treating resist features comprises positioning, in a process chamber, a substrate having a set of patterned resist features on a first side of the substrate and generating a plasma in the process chamber having a plasma sheath adjacent to the first side of the substrate. The method may further comprise modifying a shape of a boundary between the plasma and the plasma sheath with a plasma sheath modifier so that a portion of the shape of the boundary is not parallel to a plane defined by a front surface of the substrate facing the plasma, wherein ions from the plasma impinge on the patterned resist features over a wide angular range during a first exposure. | 09-15-2011 |
20110229830 | Inspection Method For Lithography - The present invention relates to an inspection apparatus and method which include projecting a measurement radiation beam onto a target on a substrate in order to measure the radiation reflected from the target and obtain information related to properties of the substrate. In the present embodiments, the measurement spot, which is the focused beam on the substrate, is larger than the target. Information regarding the radiation reflected from the target is kept and information regarding the radiation reflected from the surface around the target is eliminated. This is done either by having no reflecting (or no specularly reflecting) surfaces around the target or by having known structures around the target, the information from which may be recognized and removed from the total reflected beam. The reflected beam is measured in the pupil plane of the projector such that the information obtained is related to diffraction orders of the reflected beam and profile, critical dimension or overlay of structures on the substrate may be determined. | 09-22-2011 |
20110229831 | APPARATUS FOR PROCESSING SUBSTRATE AND METHOD OF DOING THE SAME - An apparatus for processing a substrate includes a gas-atmosphere applying unit for applying gas atmosphere to the substrate, and a light-exposure unit for exposing the substrate to light through a lower surface of the substrate. | 09-22-2011 |
20110229832 | PATTERN FORMING METHOD USING DEVELOPER CONTAINING ORGANIC SOLVENT AND RINSING SOLUTION FOR USE IN THE PATTERN FORMING METHOD - A pattern forming method, includes: (i) a step of forming a resist film from a resist composition for organic solvent-based development, the resist composition containing (A) a resin capable of increasing a polarity by an action of an acid to decrease a solubility in an organic solvent-containing developer and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (ii) an exposure step; (iii) a development step using an organic solvent-containing developer; and (iv) a washing step using a rinsing solution, wherein in the step (iv), a rinsing solution containing at least either the solvent S | 09-22-2011 |
20110244399 | METHOD OF FORMING RESIST PATTERN AND NEGATIVE TONE-DEVELOPMENT RESIST COMPOSITION - A method of forming a resist pattern, including: forming a resist film on a substrate using a resist composition containing a base component (A) which exhibits decreased solubility in an organic solvent under action of an acid and an acid-generator component (B) which generates an acid upon exposure, conducting exposure of the resist film, and patterning the resist film by a negative tone development using a developing solution containing an organic solvent, wherein the base component (A) includes a resin component (A1) containing a structural unit (a1) derived from an acrylate ester containing an acid decomposable group which exhibits increased hydrophilicity by the action of an acid and a structural unit (a0) derived from an acrylate ester containing an —SO | 10-06-2011 |
20110244400 | Norbornene-Type Polymers, Compositions Thereof and Lithographic Process Using Such Compositions - Embodiments in accordance with the present invention provide for non-self imageable norbornene-type polymers useful for immersion lithographic processes, methods of making such polymers, compositions employing such polymers and immersion lithographic processes that make use of such compositions. More specifically the embodiments of the present invention are related to norbornene-type polymers useful for forming top-coat layers for overlying photoresist layers in immersion lithographic process and the process thereof. | 10-06-2011 |
20110244401 | REDUCED PITCH MULTIPLE EXPOSURE PROCESS - A lithographic method to enhance image resolution in a lithographic cluster using multiple projections and a lithographic cluster used to project multiple patterns to form images that are combined to form an image having enhanced resolution. | 10-06-2011 |
20110250542 | SULFONYL PHOTOACID GENERATORS AND PHOTORESISTS COMPRISING SAME - New bis(sulfonyl)imide and tri(sulfonyl)methide photoacid generator compounds (“PAGs”) are provided as well as photoresist compositions that comprise such PAG compounds. | 10-13-2011 |
20110250543 | PATTERN FORMING METHOD - A pattern forming method, includes: (i) a step of applying a resist composition whose solubility in a positive tone developer increases and solubility in a negative tone developer decreases upon irradiation with an actinic ray or radiation, the resist composition containing a resin capable of increasing a polarity by the action of an acid; (ii) an exposure step; (iii) a step of performing development by using a negative tone developer to form a resist pattern; and (iv) a step of causing a crosslinked layer-forming material to act on the resist pattern to crosslink the resin constituting the resist pattern and the crosslinked layer-forming material, thereby forming a crosslinked layer. According to the present invention, a method for forming a pattern having an effectively micro-dimensioned trench or hole pattern without generation of a scum is provided. | 10-13-2011 |
20110250544 | BOTTOM ANTIREFLECTIVE COATING COMPOSITIONS - Antireflective coating compositions are discussed. | 10-13-2011 |
20110256486 | PHOTOLITHOGRAPHY FOCUS IMPROVEMENT BY REDUCTION OF AUTOFOCUS RADIATION TRANSMISSION INTO SUBSTRATE - An anti-reflective coating material, a microelectronic structure that includes an anti-reflective coating layer formed from the anti-reflective coating material and a related method for exposing a resist layer located over a substrate while using the anti-reflective coating layer provide for attenuation of secondary reflected vertical alignment beam radiation when aligning the substrate including the resist layer located thereover. Such enhanced vertical alignment provides for improved dimensional integrity of a patterned resist layer formed from the resist layer, as well as additional target layers that may be fabricated while using the resist layer as a mask. | 10-20-2011 |
20110262870 | PURGE RING WITH SPLIT BAFFLES FOR PHOTONIC THERMAL PROCESSING SYSTEMS - A purge ring for a photonic temperature processing system includes a first layer, a second layer, and a third layer. The first layer, the second layer and the third layer define an inner region. The first layer and the second layer define a first plenum and a first baffle. The first plenum receives a first gas that flows through the first plenum and the first baffle to the inner region. The second layer and the third layer define a second plenum and a second baffle. The second plenum receives a second gas that flows through the second plenum and the second baffle to the inner region, and wherein the second baffle is one of less restrictive and more restrictive than the first baffle. | 10-27-2011 |
20110262871 | NOVEL TARC MATERIAL FOR IMMERSION WATERMARK REDUCTION - Various lithography methods are disclosed herein. In an example, a method includes forming a resist layer over a substrate; forming a coating material layer that includes one of an acid and a chelate compound over the resist layer; and exposing the resist layer and the coating material layer to radiation, wherein during the exposing, the one of the acid and the chelate compound in the coating material layer substantially neutralizes any quencher that diffuses into the coating material layer from the resist layer. | 10-27-2011 |
20110281220 | PATTERN FORMATION METHOD - A first resist film is irradiated with first exposure light and performing first development, thereby forming a first pattern in a first region including an interconnect trench pattern and forming a dummy pattern in a second region connected to the first region and having a pattern density lower than that of the interconnect trench pattern. Then, the first resist film is hardened, and a second resist film is formed on the hardened first resist film. After that, the second resist film is irradiated with second exposure light and performing second development, thereby forming a second pattern in the first region. When forming the second pattern, an opening made of the first pattern and the second pattern and including the interconnect trench pattern is formed in the first region, whereas in the second region, an opening in the first dummy pattern is filled with the second resist film. | 11-17-2011 |
20110281221 | APPLICATIONS OF SEMICONDUCTOR NANO-SIZED PARTICLES FOR PHOTOLITHOGRAPHY - Semiconductor nano-sized particles possess unique optical properties, which make them ideal candidates for various applications in the UV photolithography. In this patent several such applications, including using semiconductor nano-sized particles or semiconductor nano-sized particle containing materials as highly refractive medium in immersion lithography, as anti-reflection coating in optics, as pellicle in lithography and as sensitizer in UV photoresists are described. | 11-17-2011 |
20110294076 | METHOD FOR MAKING PATTERNED ROLLER - A method for making a patterned roller includes: forming a dry film photoresist layer on an outer surface of a to-be-patterned roller; exposing the dry film photoresist layer to an exposure machine using a photomask; developing the dry film photoresist layer to expose a plurality of portions of the outer surface of the to-be-patterned roller; sandblasting the exposed portions of the outer surface of the to-be-patterned roller to define a pattern in the outer surface of the to-be-patterned roller; and removing the remaining dry film photoresist layer to obtain a patterned roller. | 12-01-2011 |
20110305997 | METHODS OF FORMING A PATTERN IN A MATERIAL AND METHODS OF FORMING OPENINGS IN A MATERIAL TO BE PATTERNED - Methods of forming a pattern in a material and methods of forming openings in a material to be patterned are disclosed, such as a method that includes exposing first portions of a first material to radiation through at least two apertures of a mask arranged over the first material, shifting the mask so that the at least two apertures overlap a portion of the first portions of the first material, and exposing second portions of the first material to radiation through the at least two apertures. The first portions and the second portions will overlap in such a way that non-exposed portions of the first material are arranged between the first portions and second portions. The non-exposed or exposed portions of the first material may then be removed. The remaining first material may be used as a photoresist mask to form vias in an integrated circuit. The pattern of vias produced have the capability to exceed the current imaging resolution of a single exposure treatment. | 12-15-2011 |
20110318696 | ILLUMINATION OPTICAL SYSTEM AND OPTICAL SYSTEMS FOR MICROLITHOGRAPHY - An imaging optical system for microlithography is used to illuminate an object field ( | 12-29-2011 |
20120003590 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND RESIST FILM AND PATTERN FORMING METHOD USING THE SAME - An actinic ray-sensitive or radiation-sensitive resin composition containing a resin having (A) a repeating unit represented by a specific formula (I) and (B) a repeating unit capable of generating an acid upon irradiation with an actinic ray or radiation. | 01-05-2012 |
20120003591 | METHOD OF FORMING PATTERN AND DEVELOPER FOR USE IN THE METHOD - Provided is a method of forming a pattern, including (a) forming a chemically amplified resist composition into a film, (b) exposing the film to light, and (c) developing the exposed film with a developer containing an organic solvent, wherein the developer contains an alcohol compound (X) at a content of 0 to less than 500 ppm based on the total mass of the developer. | 01-05-2012 |
20120009527 | PATTERNING PROCESS - A pattern is formed by coating a resist composition comprising a polymer comprising recurring units having an acid labile group-substituted hydroxyl group and recurring units having an acid labile group-substituted carboxyl group, an acid generator, and an organic solvent onto a substrate, prebaking to form a resist film, exposing the resist film to high-energy radiation, PEB, and developing the exposed film two times with an organic solvent and an alkaline aqueous solution. Due to the two developments, one line is divided into two lines, achieving a resolution doubling the mask pattern. | 01-12-2012 |
20120009528 | COATING AND DEVELOPING APPARATUS AND METHOD - In one embodiment, a coating and developing apparatus includes a processing block including a vertical stack of early-stage processing unit blocks; a vertical stack of later-stage processing unit blocks disposed laterally adjacent to respective ones of the early-stage processing unit blocks; a vertical stack of developing unit blocks stacked on the early-stage processing unit blocks; a vertical stack of auxiliary processing unit blocks disposed laterally adjacent to respective ones of the developing unit blocks; first transfer units, each of which are disposed between the laterally adjacent early-stage processing unit and later-stage processing unit; second transfer units, each of which is disposed between the laterally adjacent developing unit block and auxiliary processing unit block; and a auxiliary transfer mechanism for transferring a substrate between the first transfer units and between the second transfer units. | 01-12-2012 |
20120009529 | PATTERNING PROCESS - A pattern is formed by applying a resist composition comprising a (meth)acrylate copolymer comprising both recurring units having an acid labile group-substituted carboxyl group and recurring units having a lactone ring, an acid generator, and an organic solvent onto a substrate, prebaking the composition to form a resist film, exposing the resist film to high-energy radiation, baking, and developing the exposed film with a developer. The developer comprises at least 40 wt % of an organic solvent selected from methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, and 2-phenylethyl acetate. | 01-12-2012 |
20120015306 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - [Problem] It is to provide an illumination optical system, exposure apparatus and device manufacturing method allowing adjustment of the light intensity distribution on the illumination target surface. | 01-19-2012 |
20120015307 | COATING AND DEVELOPING APPARATUS AND METHOD, AND STORAGE MEDIUM - In one embodiment, a coating and developing apparatus is provided with transfer units, provided between a stack of early-stage processing unit blocks and a stack of later-stage processing unit blocks to transfer a substrate between the transport mechanisms of laterally-adjacent unit blocks, and a vertically-movable auxiliary transfer mechanism for transporting a substrate between the transfer units. A stack of first developing unit blocks is stacked on the stack of early-stage processing unit blocks, and a stack of second developing unit blocks is stacked on the stack of later-stage processing unit blocks. | 01-19-2012 |
20120028196 | METHOD OF FORMING PATTERN AND ORGANIC PROCESSING LIQUID FOR USE IN THE METHOD - An embodiment of the method of forming a pattern, comprises (a) forming a chemically amplified resist composition into a film, (b) exposing the film to light, and (c) processing the exposed film with an organic processing liquid, wherein the processing liquid contains an organic solvent whose normal boiling point is 175° C. or higher, the organic solvent being contained in the processing liquid in a content of less than 30 mass %. | 02-02-2012 |
20120028197 | TRANSMISSION OPTICAL SYSTEM, ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - According to one embodiment, a transmission optical system which guides light in a nearly parallel beam state emitted from an optical outlet port of a light source, to an optical inlet port of an exposure apparatus body and which injects the light in the nearly parallel beam state into the optical inlet port is provided with a condensing optical system which keeps the optical outlet port and the optical inlet port in an optical Fourier transform relation, and an angle distribution providing element which is arranged in an optical path between the optical outlet port and the condensing optical system and which provides an emergent beam with an angle distribution in a range larger than a range of an angle distribution of an incident beam. | 02-02-2012 |
20120028198 | UPPER LAYER-FORMING COMPOSITION AND PHOTORESIST PATTERNING METHOD - An upper layer-forming composition includes a resin, and a solvent. The resin is dissolvable in a developer for a photoresist film which is to be covered by the upper layer-forming composition to form a pattern by exposure to radiation. The solvent dissolves the resin in the solvent. The solvent includes a compound shown by a formula (1). Each of R | 02-02-2012 |
20120040294 | Top Coating Composition - Disclosed is a top coating composition formed on a resist film, for protecting the resist film, the top coating composition being a top coating composition for photoresist, characterized by containing a fluorine-containing polymer having a repeating unit represented by the following general formula (1). This composition is capable of controlling developing solution solubility and has a high water repellency. | 02-16-2012 |
20120045722 | TECHNIQUE TO FORM A SELF-ALIGNED DOUBLE PATTERN - The invention can provide a method of processing a substrate using Double-Patterned-Shadow (D-P-S) processing sequences that can include (D-P-S) creation procedures, (D-P-S) evaluation procedures, and (D-P-S) transfer sequences. The (D-P-S) creation procedures can include deposition procedures, activation procedures, de-protecting procedures, sidewall angle (SWA) correction procedure, and Double Patterned (DP) developing procedures. | 02-23-2012 |
20120045723 | VIBRATION ISOLATION DEVICE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD USING SAME - The vibration isolation device of the present invention includes a first position feedback control system including a reference body system that is fixed to an object to be isolated from vibration and includes a reference body; a first driving unit that drives the object with respect to a base; and a first compensator that calculates a command value to the first driving unit based on position information obtained from the reference body system. Also, the reference body system includes a second position feedback control system including a second driving unit that drives the reference body with respect to the object; a first measuring unit that measures the position of the reference body relative to the object; and a second compensator that calculates a command value to the second driving unit based on position information obtained from the first measuring unit. Here, the second compensator is a PD compensator. | 02-23-2012 |
20120045724 | SULFONIUM SALT, RESIST COMPOSITION, AND PATTERNING PROCESS - A sulfonium salt of a naphthylsulfonium cation having a hydrophilic phenolic hydroxyl group or ethylene glycol chain with a specific anion is provided. The sulfonium salt is used as a photoacid generator to form a resist composition which when processed by immersion lithography, offers advantages of restrained dissolution in the immersion water and less pattern dependence or dark-bright difference. | 02-23-2012 |
20120058436 | PATTERN FORMING METHOD, RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED IN THE PATTERN FORMING METHOD, DEVELOPER FOR NEGATIVE DEVELOPMENT USED IN THE PATTERN FORMING METHOD, AND RINSING SOLUTION FOR NEGATIVE DEVELOPMENT USED IN THE PATTERN FORMING METHOD - A pattern forming method, including: (A) coating a substrate with a positive resist composition of which solubility in a positive developer increases and solubility in a negative developer decreases upon irradiation with actinic rays or radiation, so as to form a resist film; (B) exposing the resist film; and (D) developing the resist film with a negative developer; a positive resist composition for multiple development used in the method; a developer for use in the method; and a rinsing solution for negative development used in the method. | 03-08-2012 |
20120064462 | BY-PRODUCT MITIGATION IN THROUGH-SILICON-VIA PLATING - Methods, systems, and apparatus for plating a metal onto a work piece with a plating solution having a low oxygen concentration are described. In one aspect, a method includes reducing an oxygen concentration of a plating solution. The plating solution includes about 10 parts per million or less of an accelerator and about 300 parts per million or less of a suppressor. After reducing the oxygen concentration of the plating solution, a wafer substrate is contacted with the plating solution in a plating cell. The oxygen concentration of the plating solution in the plating cell is about 1 part per million or less. A metal is then electroplated onto the wafer substrate in the plating cell. | 03-15-2012 |
20120070787 | PHOTORESIST COMPOSITIONS AND METHODS FOR SHRINKING A PHOTORESIST CRITICAL DIMENSION - A method for reducing a photoresist critical dimension, the method comprising depositing a photoresist film on a substrate, wherein the photoresist film includes a thermal base generator; patterning the photoresist film to form a first patterned film possessing a first critical dimension; depositing a crosslinkable film over the first patterned film; heat-activating the first patterned film, either before or after depositing the crosslinkable film, to release a base in the first patterned film and cause crosslinking in the crosslinkable film in contact with the first patterned film; and developing the crosslinkable film to remove non-crosslinked soluble portions therein to form a second patterned film possessing a reduced critical dimension compared to the first critical dimension. | 03-22-2012 |
20120077131 | METHOD OF FORMING PATTERN USING ACTINIC-RAY OR RADIATION-SENSITIVE RESIN COMPOSITION, AND PATTERN - According to one embodiment, a method of forming a pattern includes the step of applying an actinic-ray- or radiation-sensitive resin composition on a substrate so as to form a film, the step of selectively exposing the film through a mask and the step of developing the exposed film with the use of a developer containing an organic solvent, wherein the actinic-ray- or radiation-sensitive resin composition contains a resin (A) whose polarity is increased by the action of an acid so that the solubility of the resin in the developer containing an organic solvent is decreased, a photoacid generator (B) that when exposed to actinic rays or radiation, generates an acid containing a fluorine atom and a solvent (C), and wherein the photoacid generator (B) is contained in the composition in a ratio of 8 to 20 mass % based on the total solids of the composition. | 03-29-2012 |
20120094238 | SUBSTRATE HOLDING DEVICE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - To provide a substrate holding apparatus which can prevent a liquid from entering into a rear surface side of a substrate. A substrate holding apparatus is provided with a base material, a first holding portion formed on the base material for holding the substrate, and a second holding portion formed on the base material for holding a plate member by surrounding the circumference of a processing substrate held by the first holding portion. The second holding portion holds the plate member so as to form a second space on the side of the rear surface of the plate member. On the rear surface of the plate member, an absorbing member is arranged for absorbing the liquid entered from a gap between the substrate held by the first holding portion and the plate member held by the second holding portion. | 04-19-2012 |
20120100486 | SULFONIUM SALT, RESIST COMPOSITION, AND PATTERNING PROCESS - A sulfonium salt of a naphthyltetrahydrothiophenium cation having a fluoroalkoxy chain with a specific anion is provided. The sulfonium salt is used as a photoacid generator to form a resist composition which when processed by immersion lithography, offers advantages of restrained dissolution in the immersion water and less pattern dependence or dark/bright bias. | 04-26-2012 |
20120100487 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - A resist composition including a base component that generates acid upon exposure and also exhibits increased polarity by action of acid, the base component including a polymeric compound having a structural unit that generates acid upon exposure; a structural unit derived from an acrylate ester, in which a hydrogen atom bonded to a carbon atom on the α-position may be substituted with a substituent, and also includes an acid decomposable group that exhibits increased polarity by action of acid; and a structural unit represented by a particular general formula. | 04-26-2012 |
20120107748 | DRAWING APPARATUS AND METHOD OF MANUFACTURING ARTICLE - A drawing apparatus, that performs drawing on a substrate using an array of charged particle beams, includes a projection system and a controller. The projection system is configured such that the array includes a plurality of sub arrays arranged discretely on the substrate with a space between the sub arrays in a predetermined direction, and a first width of the space in the predetermined direction is n1/n2 times (each of n1 and n2 is a positive integer) a second width of the sub array in the predetermined direction. The controller is configured to control the projection system and a driving mechanism such that drawing is performed in order with the plurality of sub arrays for [n1+n2] sets of drawing regions that are shifted from one another by as much as [1/n2] times the first width so that drawing is performed for a shot region on the substrate. | 05-03-2012 |
20120107749 | RESIST PATTERN FORMING METHOD AND DEVELOPER - There are provided a method of forming a resist pattern, comprising the steps of forming a resist film containing a specific calixarene derivative on a substrate; forming a pattern latent image by selectively exposing the resist film to a high-energy beam; and developing the latent image by removing parts not exposed to the high-energy beam of the resist film with a developer containing at least one fluorine-containing solvent selected form the group consisting of a fluorine-containing alkyl ether and a fluorine-containing alcohol, and the fluorine-containing solvent as a resist developer. | 05-03-2012 |
20120122036 | PATTERN FORMING METHOD - A pattern forming method includes providing and curing a under-layer film containing a radiation-sensitive acid generator which generates an acid upon exposure to radiation on a substrate. The under-layer film is irradiated with radiation through a mask to cause an acid to be selectively generated in an exposed area of the under-layer film. An upper-layer film which does not contain a radiation-sensitive acid generator and which contains a composition capable of polymerizing or crosslinking by an action of an acid is provided. A cured film is provided by polymerization or crosslinking selectively in an area of the upper-layer film corresponding to the exposed area of the under-layer film in which the acid has been generated. An area of the upper-layer film corresponding to an area of the under-layer film in which the acid has not been generated is removed. | 05-17-2012 |
20120122037 | Method And Materials For Reverse Patterning - A silsesquioxane resin is applied on top of the patterned photo-resist and cured to produce a cured silsesquioxane resin on top of the pattern surface. Subsequently, a reactive ion etch recipe containing CF | 05-17-2012 |
20120129108 | BASE REACTIVE PHOTOACID GENERATORS AND PHOTORESISTS COMPRISING SAME - This invention relates to new photoacid generator compounds and photoresist compositions that comprise such compounds. In particular, the invention relates to photoacid generator compounds that comprise base-cleavable groups. | 05-24-2012 |
20120156625 | NANO-FABRICATION METHOD - Disclosed herein is a nano-fabrication method, which includes the step of: (a) forming an inorganic resist layer on a substrate; (b) forming an organic photoresist layer on the inorganic resist layer; (c) irradiating both the organic photoresist layer and the inorganic resist layer with a laser beam to form a first exposed region of the inorganic resist layer and a second exposed region of the organic photoresist layer; (d) removing the inorganic resist layer of the first exposed region and the organic photoresist layer of the second exposed region to form a patterned inorganic resist layer and a patterned organic photoresist layer; and (e) removing the patterned organic photoresist layer from the patterned inorganic resist layer. | 06-21-2012 |
20120156626 | COATING AND DEVELOPING SYSTEM AND COATING AND DEVELOPING METHOD - A coating and developing system for forming a resist film on a substrate by coating the substrate with a liquid resist and developing the resist film after the resist film has been processed by immersion exposure that forms a liquid layer on the surface of the substrate is capable of reducing difference in property among resist films formed on substrates. The coating and developing system includes: a cleaning unit; a carrying means; and a controller. A set time interval is determined such that the substrate is subjected to the immersion exposure process after contact angle drop rate at which contact angle between the cleaning liquid and a surface of the substrate drops has dropped from an initial level at the wetting time point when the surface of the substrate is wetted with the cleaning liquid to a level far lower than the initial level. | 06-21-2012 |
20120164585 | LOCAL EXPOSURE METHOD AND LOCAL EXPOSURE APPARATUS - A local exposure method includes steps of: dividing a large block into a plurality of small blocks; setting irradiation illuminances different in a stepwise fashion; controlling light emission of light emitting elements based on the irradiation illuminances respectively set for the small blocks for a photosensitive film on a substrate moving with respect to light emitting elements; developing the photosensitive film having been subjected to exposure processing by irradiation by the light emitting elements; measuring a residual film thickness of the photosensitive film for each of the small blocks to obtain correlation data between the illuminance set for the small block and the residual film thickness; and obtaining a required illuminance of irradiation to each of the large blocks from a target residual film thickness of the photosensitive film set for each of the large blocks based on the correlation data. | 06-28-2012 |
20120164586 | PATERN FORMING METHOD - A pattern forming method includes forming a photoresist film on a substrate using a radiation-sensitive composition. An immersion liquid protecting film insoluble in an immersion liquid is formed on the photoresist film. The photoresist film is exposed to radiation through a mask having a predetermined pattern and through the immersion liquid. The exposed photoresist film is developed to form a photoresist pattern. The radiation-sensitive composition includes a polymer and a radiation-sensitive acid generator. The polymer includes a repeating unit (1) shown by a following formula (1) and a repeating unit (2) shown by a following formula (2). R | 06-28-2012 |
20120171626 | COMPOSITIONS COMPRISING BASE-REACTIVE COMPONENT AND PROCESSES FOR PHOTOLITHOGRAPHY - New photoresist compositions are provided that comprise one or more materials that have base-reactive groups and are particularly useful for dry lithography. Particularly preferred photoresists of the invention can exhibit reduced defects following development of a coating layer of the resist. | 07-05-2012 |
20120171627 | INSPECTION DEVICE AND INSPECTING METHOD FOR SPATIAL LIGHT MODULATOR, ILLUMINATION OPTICAL SYSTEM, METHOD FOR ADJUSTING THE ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An inspection device is for inspecting a spatial light modulator having a plurality of optical elements arrayed two-dimensionally and controlled individually. The inspection device includes a Fourier transform optical system which is arranged optically downstream the spatial light modulator and which forms a Fourier transform plane optically in a Fourier transform relation with an array plane where the plurality of optical elements are arrayed, a photodetector having a detection surface arranged on or near the Fourier transform plane, and an inspection unit which inspects optical characteristics of the plurality of optical elements, based on a result of detection by the photodetector. | 07-05-2012 |
20120178028 | SPATIAL LIGHT MODULATOR UNIT, ILLUMINATION OPTICAL SYSTEM, EXPOSURE DEVICE, AND DEVICE MANUFACTURING METHOD - According to one embodiment, a spatial light modulator unit is used in the illumination optical system for illuminating an illumination target surface with light from a light source and comprises: a spatial light modulator with a plurality of optical elements arrayed in a predetermined plane and controlled individually; a spatial light modulation element which applies spatial light modulation to the incident light from the light source and which makes rays of intensity levels according to positions of the respective optical elements, incident on the plurality of optical elements; and a control unit which individually controls the plurality of optical elements on the basis of information about the intensity levels of the rays incident on the respective optical elements. | 07-12-2012 |
20120183908 | RESIST PATTERN-FORMING METHOD - A resist pattern-forming method includes applying a resist underlayer film-forming composition to a substrate to form a resist underlayer film. The resist underlayer film-forming composition includes (A) a polysiloxane. A radiation-sensitive resin composition is applied to the resist underlayer film to form a resist film. The radiation-sensitive resin composition includes (a1) a polymer that changes in polarity and decreases in solubility in an organic solvent due to an acid. The resist film is exposed. The exposed resist film is developed using a developer that includes an organic solvent. | 07-19-2012 |
20120189963 | WATER-SOLUBLE RESIN COMPOSITION AND METHOD OF FORMING FINE PATTERNS BY USING THE SAME - A water-soluble resin composition for forming fine patterns comprising water-soluble polymer represented by Chemical Formula 1 as below and the first water-soluble solvent, is coated and heated on a photoresist layer having at least one contact hole to reduce a size of the at least one contact hole. | 07-26-2012 |
20120202158 | PATTERNING PROCESS - A negative pattern is formed by coating a resist composition onto a substrate, exposure, bake, and development in alkaline water. The resist composition comprises a polymer comprising acid labile group-containing recurring units, adapted to turn soluble in alkaline developer under the action of acid, an acid generator and/or an acid, a photobase generator capable of generating an amino-containing compound, a quencher for neutralizing acid for inactivation, and an organic solvent. | 08-09-2012 |
20120202159 | MANUFACTURING METHOD OF METAL STRUCTURE IN MULTI-LAYER SUBSTRATE - Disclosed is a manufacturing method of metal structure in multi-layer substrate. The manufacturing method includes following steps: coating at least one photoresist layer on a surface of a dielectric layer; exposing the photoresist dielectric layer to define a predetermined position of the metal structure; removing the photoresist layer at the predetermined position to undercut an edge of the photoresist layer adjacent to the predetermined position by a horizontal distance of at least 0.1 μm between a top and a bottom of the edge; forming the metal structure at the predetermined position; and forming at least one top-cover metal layer to cover a top surface and two side surfaces of the metal structure. The present invention can form a cover metal layer covering the top surface and the two side surfaces by one single photomask. | 08-09-2012 |
20120251957 | SUBSTRATE TRANSFER METHOD FOR PERFORMING PROCESSES INCLUDING PHOTOLITHOGRAPHY SEQUENCE - A substrate transfer method for transferring target substrates proceeds in a substrate processing system for performing processes including a photolithography sequence on the target substrates. The system includes a first automated substrate transfer line configured to transfer the target substrates among a plurality of process sections for respectively performing processes on the target substrates, and a second automated substrate transfer line of a cyclical type dedicated to a plurality of process apparatuses of a photolithography process section, which are configured to perform a series of processes in the photolithography sequence, the second automated substrate transfer line being located relative to the first automated substrate transfer line so as for the target substrates to be transferred therebetween. The method includes, in order to proceed with the photolithography sequence, transferring the target substrates among the process apparatuses in the photolithography process section by use of the second automated substrate transfer line. | 10-04-2012 |
20120258408 | ELECTROPLATING APPARATUS FOR TAILORED UNIFORMITY PROFILE - Methods of electroplating metal on a substrate while controlling azimuthal uniformity, include, in one aspect, providing the substrate to the electroplating apparatus configured for rotating the substrate during electroplating, and electroplating the metal on the substrate while rotating the substrate relative to a shield such that a selected portion of the substrate at a selected azimuthal position dwells in a shielded area for a different amount of time than a second portion of the substrate having the same average arc length and the same average radial position and residing at a different angular (azimuthal) position. For example, a semiconductor wafer substrate can be rotated during electroplating slower or faster, when the selected portion of the substrate passes through the shielded area. | 10-11-2012 |
20120270159 | PATTERNING PROCESS - A negative pattern is formed by applying a resist composition onto a substrate, baking, exposing to high-energy radiation, baking (PEB), and developing the exposed resist film in an organic solvent developer to selectively dissolve the unexposed region of resist film. The resist composition comprising a hydrogenated ROMP polymer comprising recurring units having an acid labile group-protected carboxyl group and recurring units having a lactone structure displays a high dissolution contrast in organic solvent development, and exhibits high dry etch resistance even when the acid labile group is deprotected through exposure and PEB. | 10-25-2012 |
20120276485 | PATTERNING PROCESS - A negative pattern is formed by applying a resist composition onto a substrate, baking, exposing to high-energy radiation, baking (PEB), and developing the exposed resist film in an organic solvent developer to selectively dissolve the unexposed region of resist film. The resist composition comprising a hydrogenated ROMP polymer and a (meth)acrylate resin displays a high dissolution contrast in organic solvent development, and exhibits high dry etch resistance even when the acid labile group is deprotected through exposure and PEB. | 11-01-2012 |
20120282553 | IMMERSION UPPER LAYER FILM FORMING COMPOSITION AND METHOD OF FORMING PHOTORESIST PATTERN - An immersion upper layer film composition includes a resin and a solvent. The resin forms a water-stable film during irradiation and is dissolved in a subsequent developer. The solvent contains a monovalent alcohol having 6 or less carbon atoms. The composition is to be applied to form a coat on a photoresist film in an immersion exposure process in which the photoresist film is irradiated through water provided between a lens and the photoresist film. | 11-08-2012 |
20120308938 | METHOD FOR FORMING PATTERN AND DEVELOPER - A pattern-forming method includes forming a resist film on a substrate using a photoresist composition, exposing the resist film, and developing the exposed resist film using a negative developer that includes an organic solvent. The photoresist composition includes (A) a polymer that includes a structural unit (I) including an acid-labile group that dissociates due to an acid, the solubility of the polymer in the developer decreasing upon dissociation of the acid-labile group, and (B) a photoacid generator. The developer includes a nitrogen-containing compound. | 12-06-2012 |
20120322010 | Novel polymer composition and method of making the same - A novel novolac prepared by acid catalyzed condensation between biphenols or bisphenofluorenes and fluorenone is presented. The polymers exhibit excellent oxidative thermal stability and high carbon content, suitable for dielectric, etch stop applications as spin-on material. | 12-20-2012 |
20120328993 | METHOD OF PRODUCING POLYMERIC COMPOUND, RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN - A method of producing a polymeric compound containing a structural unit that decomposes upon exposure to generate an acid, the method including: synthesizing a precursor polymer by polymerizing a water-soluble monomer having an anionic group, washing the precursor polymer with water, and subsequently subjecting the precursor polymer to a salt exchange with an organic cation. Also, a polymeric compound produced using the method of producing a polymeric compound, and a method of forming a resist pattern using the resist composition. | 12-27-2012 |
20130004901 | COATING COMPOSITIONS FOR USE WITH AN OVERCOATED PHOTORESIST - Organic coating compositions, particularly antireflective coating compositions, are provided that comprise that comprise a component that comprises one or more uracil moieties. Preferred compositions of the invention are useful to reduce reflection of exposing radiation from a substrate back into an overcoated photoresist layer and/or function as a planarizing, conformal or via-fill layer. | 01-03-2013 |
20130017500 | METHOD OF FORMING RESIST PATTERNAANM Yokoya; JiroAACI Kawasaki-shiAACO JPAAGP Yokoya; Jiro Kawasaki-shi JPAANM Nakamura; TsuyoshiAACI Kawasaki-shiAACO JPAAGP Nakamura; Tsuyoshi Kawasaki-shi JPAANM Shimizu; HiroakiAACI Kawasaki-shiAACO JPAAGP Shimizu; Hiroaki Kawasaki-shi JPAANM Takeshita; MasaruAACI Kawasaki-shiAACO JPAAGP Takeshita; Masaru Kawasaki-shi JPAANM Nito; HidetoAACI Kawasaki-shiAACO JPAAGP Nito; Hideto Kawasaki-shi JPAANM Saito; HirokuniAACI Kawasaki-shiAACO JPAAGP Saito; Hirokuni Kawasaki-shi JP - A method of forming a resist pattern, including forming a resist film on a substrate using a resist composition comprising a base component that exhibits increased solubility in an alkali developing solution and a photo-base generator component; exposing the resist film; baking the exposed resist film, such that, at an exposed portion thereof, the base generated from the photo-base generator component upon the exposure and an acid provided to the resist film are neutralized, and at an unexposed portion of the resist film, the solubility of the base component in an alkali developing solution is increased by the acid provided to the resist film; and subjecting the resist film to alkali development, thereby forming a negative-tone resist pattern in which the unexposed portion of the resist film has been dissolved and removed. | 01-17-2013 |
20130017501 | METHOD OF FORMING RESIST PATTERNAANM Nakamura; TsuyoshiAACI Kawasaki-shiAACO JPAAGP Nakamura; Tsuyoshi Kawasaki-shi JPAANM Ishikawa; KiyoshiAACI Kawasaki-shiAACO JPAAGP Ishikawa; Kiyoshi Kawasaki-shi JP - A method of forming a resist pattern, comprising: a step of forming a resist film on a substrate using a resist composition containing a base component (A) which exhibits decreased solubility in an organic solvent under action of acid and an acid-generator component (B) which generates acid upon exposure; a step of subjecting the resist film to exposure; a step of patterning the resist film by a negative-tone development using a developing solution containing the organic solvent to form a resist pattern; a step of applying a coating material to the resist pattern, thereby forming a coating film; a step of performing a thermal treatment at a temperature lower than the softening point of the resist pattern, thereby heat shrinking the coating film to narrow an interval between the resist pattern; and a step of removing the coating film. | 01-17-2013 |
20130040246 | MULTIPLE CHEMICAL TREATMENT PROCESS FOR REDUCING PATTERN DEFECT - A method and system for patterning a substrate with reduced defectivity is described. Once a pattern is formed in a layer of radiation-sensitive material using lithographic techniques, the substrate is rinsed to remove residual developing solution and/or other material. Thereafter, a first chemical treatment is performed using a first chemical solution, and a second chemical treatment is performed using a second chemical solution, wherein the second chemical solution has a different chemical composition than the first chemical solution. In one embodiment, the first chemical solution is selected to reduce pattern collapse, and the second chemical solution is selected to reduce pattern deformity, such as line edge roughness (LER) and/or line width roughness (LWR). | 02-14-2013 |
20130040247 | LIQUID IMMERSION MEMBER, METHOD FOR MANUFACTURING LIQUID IMMERSION MEMBER, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A liquid immersion member holds liquid between the liquid immersion member and an object such that an optical path of exposure light applied to the object is filled with the liquid, thereby forming a liquid immersion space. In the liquid immersion member, an amorphous carbon film is formed on at least a part of a region coming into contact with the liquid. | 02-14-2013 |
20130045448 | POSITIONING APPARATUS, EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - A positioning apparatus for a moving object includes: a driving unit including a stator and a movable element connected to the moving object via a connecting member; a chassis which includes an opening which allows movement of the connecting member upon driving of the movable element, and an exhaust outlet which includes end exhaust outlets arranged at first and second ends of the driving range of the movable element; an exhaust unit which exhausts a gas in the chassis; and a controller which controls the exhaust unit so that an amount of exhaust from the end exhaust outlet arranged at the first end becomes larger when the movable element is driven toward the first end on a side of the first end of the driving range than when the movable element is positioned at the center of the driving range. | 02-21-2013 |
20130059253 | EXPOSURE APPARATUS, LIQUID HOLDING METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a first member disposed at least in a part of a periphery of an optical path of the exposure light, and has a first face that faces an upper face of the object through a first gap and holds the liquid between the upper face of the object and the first face, a second member disposed at an outer side of the first face with respect to the optical path and has a second face facing the upper face of the object through a second gap, a first supply port disposed at an outer side of the second face and supplies a fluid, and a first suction port disposed between the first face and the second face, and suctions at least part of gas in an outer space of the second member via a gap between the second face and the upper face of the object. | 03-07-2013 |
20130059254 | PHOTOLITHOGRAPHY METHOD USING A CHEMICALLY-AMPLIFIED RESIST - A photolithography method, including the steps of: S | 03-07-2013 |
20130059255 | Methods of Lithographically Patterning a Substrate - A method of lithographically patterning a substrate that has photoresist having removal areas and non-removal areas includes first exposing at least the non-removal areas to radiation effective to increase outer surface roughness of the photoresist in the non-removal areas at least post-develop but ineffective to change photoresist solubility in a developer for the photoresist to be cleared from the non-removal areas upon develop with the developer. Second exposing of radiation to the removal areas is conducted to be effective to change photoresist solubility in the developer for the photoresist to be cleared from the removal areas upon develop with the developer. The photoresist is developed with the developer effective to clear photoresist from the removal areas and to leave photoresist in the non-removal areas that has outer surface roughness in the non-removal areas which is greater than that before the first exposing. Other implementations and embodiments are contemplated. | 03-07-2013 |
20130065186 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, ORGANIC ACID AND ACID GENERATING AGENT - A radiation-sensitive resin composition includes an acid generating agent to generate an organic acid by irradiation with a radioactive ray. The organic acid has a cyclic hydrocarbon group and an organic group including a bond that is cleavable by an acid or a base to produce a polar group. The organic acid is preferably represented by a following formula (I). Z represents an organic acid group. R | 03-14-2013 |
20130078579 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, ACID GENERATING AGENT AND COMPOUND - A radiation-sensitive resin composition includes an acid generating agent to generate a compound represented by a following formula (1) by irradiation with a radioactive ray. In the formula (1), R | 03-28-2013 |
20130084532 | PHOTOLITHOGRAPHIC METHOD - A method for performing photolithography using a photo-resist is disclosed. The photo-resist comprises a first component and a second component. The method includes providing a substrate having a surface coated with the photo-resist and selectively illuminating a region of the surface of the photo-resist using light in a first wavelength band. The method further includes illuminating the entire surface of the photo-resist using light in a second wavelength band. The first and second wavelength bands are different and may not overlap. The method also includes performing a development process for the photo-resist upon illumination with the light of the first and second wavelength bands. | 04-04-2013 |
20130101942 | METHOD FOR FORMING RESIST PATTERN, AND COMPOSITION FOR FORMING RESIST UNDERLAYER FILM - A resist pattern-forming method capable of forming a resist pattern excellent in pattern collapse resistance in the case of development with the organic solvent in multilayer resist processes. The method has the steps of: (1) providing a resist underlayer film on a substrate using a composition for forming a resist underlayer film; (2) providing a resist film on the resist underlayer film using a photoresist composition; (3) exposing the resist film; and (4) developing the exposed resist film using a developer solution containing no less than 80% by mass of an organic solvent, in which the composition for forming a resist underlayer film contains (A) a component that includes a polysiloxane chain and that has a carboxyl group, a group that can generate a carboxyl group by an action of an acid, an acid anhydride group or a combination thereof. | 04-25-2013 |
20130115559 | METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS BY NEGATIVE TONE DEVELOPMENT - Provided are methods of forming photolithographic patterns by negative tone development. The methods employ a photoresist composition that includes a polymer having a unit of the following general formula (I): | 05-09-2013 |
20130130183 | NEGATIVE PATTERN FORMING PROCESS - A negative pattern is formed by applying a resist composition comprising (A) a polymer comprising recurring units (a | 05-23-2013 |
20130137047 | METHOD OF FORMING RESIST PATTERN - A method of forming a resist pattern including: step (1) in which a resist composition including a base component and a photobase generator component is applied to a substrate to form a resist film; step (2) in which the resist film is subjected to immersion exposure; step (3) in which baking is conducted after step (2), such that, at an exposed portion of the resist film, the base generated from the photobase generator component and an acid provided to the resist film in advance are neutralized, and at an unexposed portion of the resist film, the solubility of the base component in an alkali developing solution is increased by the action of the acid; and step (4) in which the resist film is subjected to an alkali development, thereby forming a negative-tone resist pattern, wherein a receding angle of water on the resist film is 65° or more. | 05-30-2013 |
20130137048 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition containing a base component (A) which generates an acid upon exposure and exhibits changed solubility in a developing solution by the action of acid, wherein the base component (A) contains a copolymer (A1) having a structural unit (a0) containing a group represented by the following general formula (a0-1) or (a0-2), a structural unit (a11) containing an acid-decomposable group which exhibits increased polarity by the action of acid and contains a polycyclic group, and a structural unit (a12) containing an acid-decomposable group which exhibits increased polarity by the action of acid and contains a monocyclic group. Each of the groups —R | 05-30-2013 |
20130137049 | METHOD OF FORMING POLYMERIC COMPOUND, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A method of producing a polymeric compound, including: copolymerizing a monomer containing an —SO | 05-30-2013 |
20130137050 | METHOD OF CALCULATING AMOUNT OF FLUCTUATION OF IMAGING CHARACTERISTIC OF PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND METHOD OF FABRICATING DEVICE - In a case where a substrate is exposed to exposure light of a first wavelength band, an exposure coefficient, which is defined as an amount of fluctuation of an imaging characteristic of a projection optical system per unit of exposure energy, for the first wavelength band is calculated using data of the amount of fluctuation of the optical characteristic of the projection optical system. An exposure coefficient for a second wavelength band that is different from the first wavelength band is calculated using the exposure coefficient for the first wavelength band. In a case where the substrate is exposed to exposure light of the second wavelength band, the amount of fluctuation of the imaging characteristic of the projection optical system is calculated using the exposure coefficient for the second wavelength band. | 05-30-2013 |
20130143166 | RESIST FILM FORMING APPARATUS, RESIST FILM FORMING METHOD, AND MOLD ORIGINAL PLATE PRODUCTION METHOD - There is provided a resist film forming apparatus including a coating unit configured to drop, rotate, and spread a resist while rotating a substrate, a heating unit configured to heat a specimen in which the resist is coated on the substrate, a metering unit configured to measure a weight of the specimen being heated, and a control unit configured to control lamination of a plurality of resist layers on the specimen by executing a process of forming a resist layer on the substrate by performing heating in the heating unit until a predetermined amount of solvent has evaporated from a resist coated on the specimen based on the measured weight of the specimen, and repeating for a predetermined number of times a process of forming a new resist layer on a resist layer formed on the specimen by similarly controlling the coating unit and the heating unit. | 06-06-2013 |
20130157201 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - A resist composition containing a base component (A) which generates acid upon exposure, and exhibits changed solubility in a developing solution under the action of acid, wherein
| 06-20-2013 |
20130157202 | APPARATUS, METHOD, AND TALBOT INTERFEROMETER FOR CALCULATING ABERRATION OF TEST OPTICAL SYSTEM - A calculation apparatus acquires image data of interference fringes detected by using a Talbot interferometer including a diffraction grating and a detector, retrieves a first wavefront by using the image data of the interference fringe, sets a value of a second wavefront incident on the diffraction grating, calculates an interference fringe image of a plurality of the diffracted light beams through simulation, and retrieves a third wavefront by using the calculated interference fringe image, wherein the third wavefront is retrieved by changing a position of the diffraction grating in a plane perpendicular to an optical axis of the Talbot interferometer, and aberration of a test optical system is calculated by reducing an error included in the first wavefront by using the second wavefront and the third wavefront. | 06-20-2013 |
20130164691 | METHOD FOR FORMING PATTERN - According to one embodiment, a method is disclosed for forming a pattern. The method can include forming a resist film above a subject. The resist film includes a photosensitive material. The resist film has a concentration profile having a concentration of the photosensitive material being higher on a side of a bottom of the resist film than on a side of a surface of the resist film. A portion of the resist film has a maximum concentration of the photosensitive material existing closer to the side of the bottom than a center of the resist film in a thickness direction. The method can include irradiating the resist film with a light from the side of the surface. The method can include developing the resist film after the resist film being irradiated with the light. | 06-27-2013 |
20130164692 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus which performs drawing on a substrate with a charged particle beam based on drawing data generated from pattern data representing a circuit pattern to be drawn on the substrate, and mark data representing a mark to be drawn on the substrate, the apparatus including an obtaining unit configured to obtain information associated with a positioning accuracy of the charged particle beam relative to the substrate, a determination unit configured to determine a drawing region for the mark based on the obtained information, and a generation unit configured to generate the drawing data by combining the pattern data and the mark data such that the mark is drawn in the determined drawing region. | 06-27-2013 |
20130164693 | METHOD OF FORMING RESIST PATTERN - A method of forming a resist pattern including: applying a first resist composition containing a base component that exhibits increased solubility in an alkali developing solution and a photobase generator component that generates a base upon exposure to a substrate to form a first resist film; conducting exposure; conducting baking; conducting an alkali development, thereby forming a negative-tone resist pattern; applying a second resist composition containing a second base component that exhibits increased solubility in an alkali developing solution, an acid generator component that generates acid upon exposure and an organic solvent that does not dissolve the negative-tone resist pattern to the substrate having the negative-tone resist pattern formed thereon to form a second resist film; conducting exposure; and conducting an alkali development, thereby forming a resist pattern. | 06-27-2013 |
20130164694 | RINSE SOLUTION FOR LITHOGRAPHY AND PATTERN FORMATION METHOD EMPLOYING THE SAME - The present invention provides a rinse solution for lithography and a pattern formation method using the solution. They can improve prevention of both the pattern collapse and the melting at the same time. The solution contains water and a particular nitrogen-containing compound having an organic group, such as, alkyl amine or the like. The rinse solution may further contain a nonionic surfactant, if necessary. | 06-27-2013 |
20130171571 | VAPOR TREATMENT PROCESS FOR PATTERN SMOOTHING AND INLINE CRITICAL DIMENSION SLIMMING - A method for patterning a substrate is described. The method includes forming a layer of radiation-sensitive material on a substrate, and preparing a pattern in the layer of radiation-sensitive material using a lithographic process, wherein the pattern is characterized by a critical dimension (CD) and a roughness. Following the preparation of the pattern in the layer of radiation-sensitive material, the method further includes performing a CD slimming process to reduce the CD to a reduced CD, and performing a vapor smoothing process to reduce the roughness to a reduced roughness. | 07-04-2013 |
20130171572 | METHOD FOR PRODUCING THICK FILM PHOTORESIST PATTERN - A method for producing a thick film photoresist pattern including laminating a thick photoresist layer including a chemically amplified positive-type photoresist composition for thick film on a support; irradiating the thick photoresist layer; and developing the thick photoresist layer to obtain a thick film resist pattern; in which the composition includes an acid generator, a resin whose alkali solubility increases by the action of an acid, and an organic solvent having a boiling point of at least 150° C. and a contact angle on a silicon substrate of no greater than 18°, in an amount of at least 40% by mass with respect to total mass of the organic solvent. | 07-04-2013 |
20130171573 | METHOD FOR MANUFACTURING A PLANARISED REFLECTIVE LAYER FOR MICROMIRROR DEVICES - A method for manufacturing a planarised reflective layer disposed on a hinge layer connected to a hinge support post ( | 07-04-2013 |
20130171574 | PHOTORESIST PATTERN TRIMMING METHODS - Provided are methods of trimming photoresist patterns. The methods involve coating a photoresist trimming composition over a photoresist pattern, wherein the trimming composition includes a matrix polymer, a free acid having fluorine substitution and a solvent, the trimming composition being free of cross-linking agents. The coated semiconductor substrate is heated to cause a change in polarity of the resist polymer in a surface region of the photoresist pattern. The photoresist pattern is contacted with a developing solution to remove the surface region of the photoresist pattern. The methods find particular applicability in the formation of very fine lithographic features in the manufacture of semiconductor devices. | 07-04-2013 |
20130177857 | CARRIER METHOD, EXPOSURE METHOD, CARRIER SYSTEM AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A carrier system equipped with a fine movement stage holding a mounted wafer and can move along a predetermined plane, a chuck main section which holds the wafer above a predetermined position and can move vertically, and vertical movement pins supporting the wafer held by the chuck main section on the fine movement stage from below when the fine movement stage is positioned at the predetermined position and are vertically movable. A controller drives the chuck main section and the vertical movement pins downward until a lower surface of the wafer comes into contact with the fine movement stage while maintaining a hold state by the chuck main section to the wafer and a support state by the vertical movement pins to the wafer, and when the lower surface of the wafer comes into contact with the fine movement stage, the hold state and the support state are released. | 07-11-2013 |
20130183626 | METHOD FOR FORMING FINE PATTERN, AND COATING AGENT FOR PATTERN FINING - A method for forming a fine pattern, including forming a resist film by applying, on a substrate, a resist composition containing a base material having a solubility, in a developer liquid including an organic solvent, that decreases according to an action of an acid, a compound which generates an acid upon irradiation, and an organic solvent; exposing the resist film; forming a resist pattern using the developer liquid; applying, on the resist pattern, a coating agent for pattern fining including a resin and an organic solvent; and heating the resist pattern on which a coating film is formed. | 07-18-2013 |
20130183627 | EXPOSURE METHOD AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - Exposure apparatus is equipped with an illumination optical device which illuminates a mask with an exposure beam, a mask table which holds a periphery of a pattern area of the mask from above so that a pattern surface of the mask becomes substantially parallel to an XY plane and makes a force at least parallel to an XY plane and on the mask, and a wafer stage which moves along the XY plane, holding a wafer substantially parallel to the XY plane. Therefore, an overlay with high precision of a pattern of a mask and an underlying pattern on the substrate can be realized, even though the exposure apparatus employs a proximity method, that is, the exposure apparatus does not use a projection optical system. | 07-18-2013 |
20130216957 | WATER-SOLUBLE RESIN COMPOSITION FOR FORMING FINE PATTERNS AND METHOD OF FORMING FINE PATTERNS BY USING THE SAME - The water-soluble resin composition for forming fine patterns comprises a water-soluble polymer represented by Chemical Formula 1 and a first water-soluble solvent. The composition is coated and heated on a photoresist layer having contact holes to reduce a size of the contact holes. | 08-22-2013 |
20130216958 | LIQUID-REPELLENT FILM AND PRODUCTION METHOD THEREFOR, AND FINE STRUCTURE USING THE LIQUID-REPELLENT FILM AND PRODUCTION METHOD THEREFOR - Provided are a liquid-repellent film in which the aggregation of a liquid-repellent material is suppressed and which is excellent in liquid-repellent properties and a production method therefor, and a fine structure using the liquid-repellent film and a production method therefor. The liquid-repellent film is a liquid-repellent film formed of a silane compound having a specific perfluoropolyether group and a fluorine-containing acrylic homopolymer. | 08-22-2013 |
20130216959 | CHARGED PARTICLE BEAM APPARATUS, AND ARTICLE MANUFACTURING METHOD - A charged particle beam apparatus for processing an object using a charged particle beam includes a charged particle lens in which an array of apertures, through each of which a charged particle beam passes, is formed; a vacuum container which contains the charged particle lens; and a radiation source configured to generate an ionizing radiation; wherein the apparatus is configured to cause the radiation source to pass the ionizing radiation through the array of apertures in a state in which a pressure in the vacuum container is changing. | 08-22-2013 |
20130216960 | Water Repellent Additive for Immersion Resist - Disclosed is a water repellent additive for an immersion resist, which is composed of a fluorine-containing polymer that has a repeating unit represented by general formula (1). By adding the water repellent additive to a resist composition, the resist composition can be controlled to have high water repellency during exposure and to exhibit improved solubility in a developing solution during development. | 08-22-2013 |
20130216961 | COMPOSITION FOR FORMING UPPER LAYER FILM FOR IMMERSION EXPOSURE, UPPER LAYER FILM FOR IMMERSION EXPOSURE, AND METHOD OF FORMING PHOTORESIST PATTERN - A composition for forming an upper layer film includes a solvent and a resin component including a first resin having a first repeating unit and a second repeating unit. The first repeating unit is a repeating unit represented by a formula (1-1), a repeating unit represented by a formula (1-2), a repeating unit represented by a formula (1-3), or a combination thereof. The second repeating unit is a repeating unit represented by a formula (2-1), a repeating unit represented by a formula (2-2), or both thereof. The composition is to be used for forming the upper layer film in liquid immersion lithography. | 08-22-2013 |
20130224666 | RESIST PATTERN-FORMING METHOD, AND RADIATION-SENSITIVE RESIN COMPOSITION - A resist pattern-forming method includes coating a radiation-sensitive resin composition on a substrate to provide a resist film. The resist film is exposed. The exposed resist film is developed using a developer solution including no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a polymer and a radiation-sensitive acid generator. The polymer has a weight average molecular weight in terms of the polystyrene equivalent of greater than 6,000 and includes a first structural unit that includes an acid-labile group. The polymer includes less than 5 mol % or 0 mol % of a second structural unit that includes a hydroxyl group. | 08-29-2013 |
20130236838 | SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, AND STORAGE MEDIUM - A substrate processing apparatus is disclosed equipped with a transfer mechanism that transfers a substrate processed at a processing block to a carrier so that the increase of the number of transfer process is suppressed, improving the processing efficiency. The substrate processing apparatus is configured in such a way that, when a second-transfer module houses at least one substrate and a carrier that can house the at least one substrate is not placed in a carrier-placement unit, the at least one substrate is transferred to a buffer module. When the second transfer module houses at least one substrate and the carrier that can house the at least one substrate is placed in the carrier-placement unit, the at least one substrate is transferred to the carrier, regardless of whether or not a substrate is being transferred from the buffer module to the carrier. | 09-12-2013 |
20130244185 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, ORGANIC ACID AND ACID GENERATING AGENT - A radiation-sensitive resin composition includes an acid generating agent to generate an organic acid by irradiation with a radioactive ray. The organic acid has a cyclic hydrocarbon group and an organic group including a bond that is cleavable by an acid or a base to produce a polar group. The organic acid is preferably represented by a following formula (I). Z represents an organic acid group. R | 09-19-2013 |
20130252179 | UNDERCOAT AGENT AND METHOD OF FORMING PATTERN OF LAYER COMPRISING BLOCK COPOLYMER - A undercoat agent used for performing phase separation of a layer formed on a substrate and containing a block copolymer having a plurality of blocks bonded, wherein the undercoat agent contains a resin component, the resin component is formed from a structural unit having an aromatic ring and a structural unit not having an aromatic ring, and the resin component has a group that can interact with the substrate, and also has a 3 to 7-membered, ether-containing cyclic group. | 09-26-2013 |
20130252180 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN AND POLYMERIC COMPOUND - A resist composition including a base component (A) which generates acid upon exposure and exhibits changed solubility in a developing solution under the action of acid, the base component (A) containing a polymeric compound (A1) including a structural unit (a0) represented by general formula (a0-1) shown below, a structural unit (a1) containing an acid decomposable group which exhibits increased polarity by the action of acid and a structural unit (a6) which generates acid upon exposure (wherein R | 09-26-2013 |
20130252181 | RESIST POLYMER, PROCESS FOR PRODUCTION THEREOF, RESIST COMPOSITION, AND PROCESS FOR PRODUCTION OF SUBSTRATES WITH PATTERNS THEREON - A resist polymer (Y′), which is used as a resist resin in DUV excimer laser lithography, electron beam lithography, and the like, contains a polymer (Y) comprising: a constituent unit (A) having a lactone skeleton; a constituent unit (B) having an acid-eliminable group; a constituent unit (C) having a hydrophilic group; and a constituent unit (E) having a structure represented by the following formula (1), wherein a content of the constituent unit (E) is 0.3 mol % or more based on the total number of the constituent units of the resist polymer (Y′): | 09-26-2013 |
20130260319 | METHOD OF PRODUCING POLYMERIC COMPOUND, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A method of producing a polymeric compound having a structural unit that is decomposed and generates acid upon exposure, including reacting a first precursor polymer having a first ammonium cation with an amine whose conjugate acid has an acid dissociation constant (pKa) larger than that of the first ammonium cation to obtain a second precursor polymer having a second ammonium cation that is a conjugate acid of the amine; and performing a salt-exchange between the second precursor polymer and a sulfonium cation or an iodonium cation, in which the second ammonium cation is less hydrophobic than the first ammonium cation, and also less hydrophobic than the sulfonium cation or the iodonium cation. | 10-03-2013 |
20130273477 | GUIDE APPARATUS, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a guide apparatus including a guide member located on a base, and a moving member movable along the guide member. The guide apparatus comprising a plurality of plate members each including a portion facing the base and extending from the portion in a direction to separate from the base, wherein the plurality of plate members are located apart from each other in a direction to separate from the moving member. | 10-17-2013 |
20130273478 | CHARGED PARTICLE OPTICAL SYSTEM, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a charged particle optical system which emits a charged particle beam, the system including an electrostatic lens, and a grid electrode opposed to the electrostatic lens along an optical axis of the electrostatic lens, and configured to form an electrostatic field in cooperation with the electrostatic lens, wherein the grid electrode is configured such that an electrode surface, opposed to the electrostatic lens, of the grid electrode has a distance, from the electrostatic lens in a direction of the optical axis, which varies with a position in the electrode surface. | 10-17-2013 |
20130288184 | METHOD OF FORMING PATTERN - Provided is a pattern forming method making it possible to obtain a pattern with less scums and watermark defects. The pattern forming method includes the steps of forming a film from an actinic-ray- or radiation-sensitive resin composition includes a resin (A) that exhibits an increased solubility in an alkali developer when acted on by an acid, a compound (B) that generates an acid when exposed to actinic rays or radiation, and a resin (C) containing at least one of a fluorine atom and a silicon atom, exposing the film to light, and developing the exposed film using a tetramethylammonium hydroxide solution whose concentration is less than 2.38 mass %. | 10-31-2013 |
20130309614 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, POLYMERIC COMPOUND AND COMPOUND - There is provided a resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution by the action of acid, including a base component (A) which exhibits changed solubility in a developing solution by the action of acid, wherein the base component (A) contains a polymeric compound (A1) having a structural unit (a0) represented by general formula (a0) shown below. In the formula, A″ represents an oxygen atom, a sulfur atom or an alkylene group of 1 to 5 carbon atoms which may contain an oxygen atom or a sulfur atom; R | 11-21-2013 |
20130323653 | RESIST PATTERN-FORMING METHOD - A resist pattern-forming method includes applying a radiation-sensitive resin composition on a substrate to form a resist film. The radiation-sensitive resin composition includes an acid-labile group-containing polymer and a photoacid generator. The resist film is exposed. The resist film is developed using a developer including an organic solvent in an amount of no less than 80% by mass to a total amount of the developer. The radiation-sensitive resin composition has a contrast value γ of from 5.0 to 30.0. The contrast value γ is calculated from a resist dissolution contrast curve obtained by changing only a dose of a light used for exposing the resist film. | 12-05-2013 |
20130337387 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component (A) which generates acid upon exposure and exhibits changed solubility in a developing solution by the action of acid, (A) including (A1) including (a0) and (a2), and the resist composition having a Tf temperature of lower than 170° C. (└La | 12-19-2013 |
20140017615 | APPARATUS AND METHOD FOR RESIST COATING AND DEVELOPING - An apparatus includes a chuck, a first drain cup and second drain cup with two separately drain lines connected to each drain cup. The second drain cup is integrated with the first drain cup and located on top of the first drain cup. The different based chemical wastes can be collected into the separated drain cups and furthermore into the different drain lines and waste tanks. Accordingly, different based photo resists and developers can be used at the same apparatus by adjusting the chuck position to save the coating and develop tool and clean room space and furthermore the production cost. | 01-16-2014 |
20140017616 | APPARATUS AND METHOD FOR DEVELOPING PROCESS - An apparatus includes at least two tanks, at least two pumps, at least one nozzle, and a chuck. The apparatus provides multiple developers with different polarities during a developing process to target portions of a latent resist profile having different polarities, and thus different solubility. This apparatus also allows a mixture of two developers to be used for the resist film developing. A polarity of the mixture is adjustable by controlling a ratio of one pump flow rate to another pump flow rate and further controlling the resist pattern profile. | 01-16-2014 |
20140017617 | METHOD OF PRODUCING AMMONIUM SALT COMPOUND, METHOD OF PRODUCING COMPOUND, AND COMPOUND, POLYMERIC COMPOUND, ACID GENERATOR, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A method of producing an ammonium salt compound, including reacting a first ammonium salt compound containing a first ammonium cation which is a primary, secondary or tertiary ammonium cation with a nitrogen-containing compound having a lone pair to obtain a second ammonium salt compound which contains a conjugated acid of the nitrogen-containing compound, the conjugated acid of the nitrogen-containing compound having a larger pKa than the pKa of the first ammonium cation; and a method of producing a compound, including a step of salt exchange between the ammonium salt compound obtained by the aforementioned production method and a sulfonium cation or iodonium cation which has a higher hydrophobicity than the hydrophobicity of the conjugated acid of the nitrogen-containing compound. | 01-16-2014 |
20140030661 | UPPER SURFACE ANTIREFLECTIVE FILM FORMING COMPOSITION AND PATTERN FORMING METHOD USING SAME | 01-30-2014 |
20140038111 | PROCESSED SUBSTRATE AND METHOD FOR MANUFACTURING SAME - A processed substrate having a through-hole whose openings in respective surfaces of the processed substrate are matched to each other in size, and a method for easily manufacturing the processed substrate with high efficiency. The processed substrate ( | 02-06-2014 |
20140038112 | AQUEOUS BASE-DEVELOPABLE NEGATIVE-TONE FILMS BASED ON FUNCTIONALIZED NORBORNENE POLYMERS - Embodiments in accordance with the present invention encompass negative-tone, aqueous base developable, self-imagable polymer compositions useful for forming films that can be patterned to create structures for microelectronic devices, microelectronic packaging, microelectromechanical systems, optoelectronic devices and displays. | 02-06-2014 |
20140057212 | TRANSMISSION APPARATUS, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a transmission apparatus for transmitting a light signal between an outside and an inside of a vacuum chamber, comprising a plurality of first transmission lines configured to transmit a plurality of light signals outside the vacuum chamber, a plurality of second transmission lines configured to transmit the plurality of light signals inside the vacuum chamber, and a light-transmissive member configured to transmit the light signals between the plurality of first transmission lines and the plurality of second transmission lines, wherein the light-transmissive member has a structure formed to isolate light paths of the plurality of light signals between the plurality of first transmission lines and the plurality of second transmission lines from each other. | 02-27-2014 |
20140065556 | PATTERNING METHOD - According to one embodiment, a patterning method includes exposure-transferring a plurality of first island pattern images and a plurality of second island pattern images onto a resist film, each of the plurality of first island pattern images having a configuration having a contour line or a major axis extending in a third direction, the plurality of first island pattern images having a staggered arrangement, each of the plurality of second island pattern images having a configuration having a contour line or a major axis extending in a fourth direction, the plurality of second island pattern images having a staggered arrangement, the first island pattern images and the second island pattern images being continuous in the first direction by a portion of each of the second island pattern images overlapping one of the first island pattern images. | 03-06-2014 |
20140065557 | METHOD FOR USING A PURGE RING WITH SPLIT BAFFLES IN PHOTONIC THERMAL PROCESSING SYSTEMS - A method for supplying a first gas and a second gas using a purge ring in a photonic processing system includes arranging a first layer and a second layer to define a first plenum and a first baffle, arranging the second layer and a third layer to define a second plenum and a second baffle, receiving a first gas at the first plenum that flows through the first plenum and the first baffle to an inner region, and receiving a second gas at the second plenum that flows through the second plenum and the second baffle to the inner region. The second baffle is one of less restrictive and more restrictive than the first baffle. | 03-06-2014 |
20140072914 | METHODS FOR MANUFACTURING RESIN STRUCTURE AND MICRO-STRUCTURE - A resin structure for the formation of a micro-structure is manufactured by (A) applying a composition comprising a polymer, a photoacid generator, and an organic solvent onto a substrate, (B) heating the composition to form a sacrificial film, (C) exposing imagewise the film to first high-energy radiation, (D) developing the film in an alkaline developer to form a sacrificial film pattern, (E) exposing the sacrificial film pattern to UV as second high-energy radiation, and (F) heating the substrate at 100-250° C. The exposure dose of first high-energy radiation in step (C) is up to 250 mJ/cm | 03-13-2014 |
20140072915 | PHOTORESIST COMPOSITION CONTAINING A PROTECTED HYDROXYL GROUP FOR NEGATIVE DEVELOPMENT AND PATTERN FORMING METHOD USING THEREOF - The present invention relates to a photoresist composition capable of negative development and a pattern forming method using the photoresist composition. The photoresist composition includes an imaging polymer, a crosslinking agent and a radiation sensitive acid generator. The imaging polymer includes a monomeric unit having an acid-labile moiety-substituted hydroxyl group. The patterning forming method utilizes an organic solvent developer to selectively remove an unexposed region of a photoresist layer of the photoresist composition to form a patterned structure in the photoresist layer. The photoresist composition and the pattern forming method are especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography. | 03-13-2014 |
20140072916 | HYBRID PHOTORESIST COMPOSITION AND PATTERN FORMING METHOD USING THEREOF - The present invention relates to a hybrid photoresist composition for improved resolution and a pattern forming method using the photoresist composition. The photoresist composition includes a radiation sensitive acid generator, a crosslinking agent and a polymer having a hydrophobic monomer unit and a hydrophilic monomer unit containing a hydroxyl group. At least some of the hydroxyl groups are protected with an acid labile moiety having a low activation energy. The photoresist is capable of producing a hybrid response to a single exposure. The patterning forming method utilizes the hybrid response to form a patterned structure in the photoresist layer. The photoresist composition and the pattern forming method of the present invention are useful for printing small features with precise image control, particularly spaces of small dimensions. | 03-13-2014 |
20140093826 | COMPOSITION FOR FORMING LIQUID IMMERSION UPPER LAYER FILM, RESIST PATTERN-FORMING METHOD, POLYMER, AND COMPOUND - A composition for forming a liquid immersion upper layer film includes a polymer component including a polymer having a structural unit represented by a formula (1); and a solvent. R | 04-03-2014 |
20140093827 | RESIST PATTERN FORMATION METHOD AND RESIST COMPOSITION - A resist pattern formation method including formation of a resist film, exposure, development, and subsequent rinsing using a resist composition containing a high-molecular compound having a constituent unit represented by the formula (a0-1), a constituent unit containing an acid decomposable group whose polarity increases by the action of an acid, and a constituent unit containing a group represented by the formula (a2-r-1). R represents a hydrogen atom, an alkyl group, or a halogenated alkyl group; Ra | 04-03-2014 |
20140106281 | SUBSTRATE PROCESSING METHOD - A method for processing a plurality of substrates after forming a photosensitive film on each substrate includes carrying each substrate into a placement buffer including a plurality of supporters by a first transport mechanism; taking out each substrate from the placement buffer to an interface by a second transport mechanism; carrying each substrate into the exposure device; carrying each substrate out of the exposure device into the placement buffer by the second transport mechanism; taking out each substrate from the placement buffer to the processing section by the first transport mechanism; performing development processing on each substrate; making each substrate stand by at the placement buffer based on timing at which the exposure device can accept each substrate; and making each substrate stand by at the placement buffer based on timing at which the developing device can accept each substrate. | 04-17-2014 |
20140113236 | SOLVENT DEVELOPABLE NEGATIVE RESIST COMPOSITION, RESIST PATTERN FORMATION METHOD, AND METHOD FOR FORMING PATTERN OF LAYER INCLUDING BLOCK COPOLYMER - A solvent developing negative-tone resist composition containing a base component (A) which exhibits increased polarity and reduced solubility in an organic solvent under action of an acid and an acid generator component (B) which generates an acid upon exposure, the component (A) including a resin component (A1) containing: a structural unit (a2) derived from an acrylate ester containing a 4- to 12-membered lactone-containing cyclic group, a 3- to 7-membered ether-containing cyclic group or a 5- to 7-membered carbonate-containing cyclic group; and a structural unit (a1) derived from an acrylate ester containing an acid decomposable group which exhibited increased polarity by the action of acid, and the acid generator component (B) including an acid generator (B1) containing a compound which generates a sulfonic acid upon exposure. | 04-24-2014 |
20140120476 | Method of forming a photoresist pattern - A method of forming a photoresist pattern, in which, a substrate is coated with a photoresist layer, an exposure process is performed on the photoresist layer to expose the photoresist layer, the photoresist layer is rinsed with a surfactant after the exposure process is performed, and the photoresist layer is post-exposure baked after the photoresist layer is rinsed with the surfactant. | 05-01-2014 |
20140120477 | SUBSTRATE PROCESSING APPARATUS - A method of processing a substrate in a substrate processing apparatus that is arranged adjacent to an exposure device and includes first, second and third processing units, includes forming a photosensitive film on the substrate by said first processing unit before exposure processing by said exposure device and applying washing processing to the substrate by supplying a washing liquid to the substrate in said second processing unit after the formation of said photosensitive film and before the exposure processing. The method also includes applying drying processing to the substrate in said second processing unit after the washing processing by said second processing unit and before the exposure processing and applying development processing to the substrate by said third processing unit after the exposure processing. Applying the drying processing to the substrate includes the step of supplying an inert gas onto the substrate, to which the washing liquid is supplied. | 05-01-2014 |
20140134544 | RESIST PATTERN-FORMING METHOD - A resist pattern-forming method includes applying a resist underlayer film-forming composition to a substrate to form a resist underlayer film. The resist underlayer film-forming composition includes (A) a polysiloxane. A radiation-sensitive resin composition is applied to the resist underlayer film to form a resist film. The radiation-sensitive resin composition includes (a1) a polymer that changes in polarity and decreases in solubility in an organic solvent due to an acid. The resist film is exposed. The exposed resist film is developed using a developer that includes an organic solvent. | 05-15-2014 |
20140147792 | POLYMERIZATION METHOD OF HIGH-MOLECULAR WEIGHT COMPOUND, RESIST COMPOSITION, AND METHOD FOR FORMING RESIST PATTERN - A polymerization method of a high-molecular weight compound (A1) having a constituent unit (a0) derived from a compound represented by the following general formula (a0-1), which has excellent lithography properties, and is useful as a resist composition, the method including conducting polymerization using a mixed solvent containing 10 mass % or more of one or more of a cyclic ketone-based solvent, an ester-based solvent, and a lactone-based solvent. A resist composition containing the high-molecular weight compound (A1) and a method for forming a resist pattern using the same. | 05-29-2014 |
20140147793 | METHOD OF FORMING RESIST PATTERN - A method of forming a resist pattern using a resist composition containing a base component (A) which exhibits reduced solubility in an organic solvent under action of an acid and an acid-generator component (B) which generates an acid upon exposure, the base component (A) including a resin component (A1) having a structural unit (a0) derived from a compound represented by general formula (a0-1) shown below and a structural unit (a2) containing a lactone-containing cyclic group or the like (in formula (a0-1), Ra | 05-29-2014 |
20140147794 | METHOD OF FORMING PHOTORESIST PATTERN - A method of forming a photoresist pattern includes providing a photoresist film on a substrate. An upper layer film is provided on the photoresist film using an upper layer film-forming composition. Radiation is applied to the upper layer film and the photoresist film through a mask having a given pattern via an immersion medium. The upper layer film and the photoresist film are developed using a developer to form a photoresist pattern. The upper layer film-forming composition includes a resin soluble in the developer and a solvent component. The solvent component includes a first solvent, a second solvent shown by a general formula (2), and a third solvent shown by a general formula (3). The first solvent is diethylene glycol monoethyl ether acetate, ethylene glycol monobutyl ether acetate, diethylene glycol diethyl ether, γ-butyrolactone, methyl propylene diglycol, methyl propylene triglycol or a mixture thereof. | 05-29-2014 |
20140154631 | METHOD OF REMOVING NEGATIVE ACTING PHOTORESISTS - Polymerized negative acting photoresists are removed from substrates at relatively low temperatures and fast stripping times using aqueous based alkaline solutions. | 06-05-2014 |
20140162193 | RESIST COMPOSITION FOR EUV, METHOD OF PRODUCING RESIST COMPOSITION FOR EUV, AND METHOD OF FORMING RESIST PATTERN - A resist composition for EUV exhibiting E0 | 06-12-2014 |
20140178824 | OPTIMIZING LITHOGRAPHIC PROCESSES USING LASER ANNEALING TECHNIQUES - Approaches for utilizing laser annealing to optimize lithographic processes such as directed self assembly (DSA) are provided. Under a typical approach, a substrate (e.g., a wafer) will be subjected to a lithographic process (e.g., having a set of stages/phases, aspects, etc.) such as DSA. Before or during such process, a set of laser annealing passes/scans will be made over the substrate to optimize one or more of the stages. In addition, the substrate could be subjected to additional processes such as hotplate annealing, etc. Still yet, in making a series of laser annealing passes, the techniques utilized and/or beam characteristics of each pass could be varied to further optimize the results. | 06-26-2014 |
20140186777 | MONOMER FOR HARDMASK COMPOSITION AND HARDMASK COMPOSITION INCLUDING THE MONOMER AND METHOD OF FORMING PATTERNS USING THE HARDMASK COMPOSITION - A monomer for a hardmask composition represented by the following Chemical Formula 1, | 07-03-2014 |
20140199635 | PROCESSING APPARATUS AND DEVICE MANUFACTURING METHOD - A processing apparatus for processing a substrate chucked by a chuck installed on a stage includes: a conveying unit configured to convey the substrate to the chuck; a robot configured to selectively convey, to the stage, a pressing member capable of pressing the substrate to reduce a warp of the substrate chucked by the chuck and a cleaning member capable of cleaning a chuck surface; and a controller configured to cause the robot holding the pressing member to execute pressing processing for correcting the warp of the substrate and cause the robot holding the cleaning member to execute cleaning processing of the chuck surface. | 07-17-2014 |
20140199636 | SUB-DIFFRACTION-LIMITED PATTERNING AND IMAGING VIA MULTI-STEP PHOTOSWITCHING - Sub-diffraction-limited patterning using a photoswitchable recording material is disclosed. A substrate can be provided with a photoresist in a first transition state. The photoresist can be configured for spectrally selective reversible transitions between at least two transition states based on a first wavelength band of illumination and a second wavelength band of illumination. An optical device can selectively expose the photoresist to a standing wave with a second wavelength in the second wavelength band to convert a section of the photoresist into a second transition state. The optical device or a substrate carrier securing the substrate can modify the standing wave relative to the substrate to further expose additional regions of the photoresist into the second transition state in a specified pattern. The method can further convert one of the first and second transition states of the photoresist into an irreversible transition state, while the other of the first and second transition states remains in a reversible transition state. The photoresist can be developed to remove the regions of the photoresist in the irreversible transition state. | 07-17-2014 |
20140205956 | METHOD FOR FORMING RESIST PATTERN - A method for forming a negative type resist pattern having a high residual film rate of exposed areas of a resist film by heating an exposed resist film and subjecting it to patterning by negative type development with a developing solution containing an organic solvent, in which a resist composition containing a high-molecular weight compound having a constituent unit represented by a particular general formula. | 07-24-2014 |
20140212818 | METHOD FOR FORMING GRAPHENE PATTERN - There is provided a method for forming a graphene pattern, in which a graphene thin film layer is transferred onto a surface of a photosensitive film, and then patterned through exposure/development of the photosensitive film. The photosensitive film is cured after being finally developed, thereby securing stability and reliability. | 07-31-2014 |
20140212819 | LITHOGRAPHIC PATTERNING PROCESS AND RESISTS TO USE THEREIN - A lithographic process includes the use of a silicon-containing polymer or a compound that includes at least one element selected from the group consisting of: Ta, W, Re, Os, Ir, Ni, Cu or Zn in a resist material for an EUV lithographic process. The wavelength of the EUV light used in the process is less than 11 nm, for example 6.5-6.9 nm. The invention further relates to novel silicon-containing polymers. | 07-31-2014 |
20140220494 | Pattern Generator for a Lithography System - A pattern generator includes a minor array plate having a mirror, at least one electrode plate disposed over the minor array plate, a lens let disposed over the minor, and at least one insulator layer sandwiched between the mirror array plate and the electrode plate. The electrode plate includes a first conducting layer and a second conducting layer. The lens let has a non-straight sidewall formed in the electrode plate. The pattern generator further includes at least one insulator sandwiched between two electrode plates. The non-straight sidewall can be a U-shaped sidewall or an L-shaped sidewall. | 08-07-2014 |
20140220495 | Resist Performance for the Negative Tone Develop Organic Development Process - A process and composition for negative tone development comprises providing a photoresist film that generates acidic sites. Irradiating the photoresist film patternwise provides an irradiated film having exposed and unexposed regions where the exposed regions comprise imaged sites. Baking the irradiated film at elevated temperatures produces a baked-irradiated film comprising the imaged sites which after irradiating, baking, or both irradiating and baking comprise acidic imaged sites. Treating the baked-irradiated film with a liquid, gaseous or vaporous weakly basic compound converts the acidic imaged sites to a base treated film having chemically modified acidic imaged sites. Applying a solvent developer substantially dissolves regions of the film that have not been exposed to the radiant energy, where the solvent developer comprises a substantial non-solvent for the chemically modified acidic imaged sites. One-step simultaneous base treatment and solvent development employs a composition comprising a mix of the basic compound and solvent developer. | 08-07-2014 |
20140220496 | METHOD OF FORMING PHOTORESIST STRUCTURE - A method for forming a photoresist structure is provided The method includes the step of forming a photoresist layer on a substrate, the step of exposing a portion of the photoresist layer to form an exposed portion of the photoresist layer, and the step of removing the photoresist layer except the exposed portion with a solvent, so as to form the photoresist structure, wherein the photoresist layer has a polymer having a structure represented by formula (I). The method of the present invention can generate a photoresist with an even thickness on devices with complex geometries or three-dimensional substrates. Thus, it can be applied to tissue engineering scaffolds, three-dimensional cell cultivation system and novel bio-microelectromechnical elements. | 08-07-2014 |
20140220497 | METHODS FOR MANUFACTURING RESIN STRUCTURE AND MICRO-STRUCTURE - A resin structure for the formation of a micro-structure is manufactured by (A) applying a composition comprising a polymer, a photoacid generator, an epoxy compound, and an organic solvent onto a substrate, (B) heating the composition to form a sacrificial film, (C) exposing imagewise the film to first high-energy radiation, (D) developing the film in an alkaline developer to form a sacrificial film pattern, (E) exposing the sacrificial film pattern to UV as second high-energy radiation, and (F) heating the substrate at 80-250° C. The exposure dose of first high-energy radiation in step (C) is up to 250 mJ/cm | 08-07-2014 |
20140234782 | METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device according to an embodiment of the invention includes applying a resist on a substrate surface in a resist application apparatus, light-exposing the resist on the substrate surface in a light exposure apparatus, and after the light-exposing the resist, developing the resist in a development apparatus. The resist is a negative resist. The developing the resist includes mounting the substrate on a support stage including a rotating mechanism of the development apparatus, after the mounting the substrate on the support stage, developing the resist, after the mounting the substrate on the support stage, removing the resist on a peripheral edge of the substrate, and after the developing the resist, and after the removing the resist on the peripheral edge of the substrate, dismounting the substrate from the support stage. | 08-21-2014 |
20140234783 | RINSE SOLUTION FOR LITHOGRAPHY AND PATTERN FORMATION METHOD EMPLOYING THE SAME - The present invention provides a rinse solution for lithography and a pattern formation method using the solution. They can improve the pattern collapse, surface roughness and surface defects. The solution contains at least a sulfonic acid a nonionic surfactant having an alkyleneoxy group and water. | 08-21-2014 |
20140234784 | DEVELOPING SOLUTION AND DEVELOPMENT PROCESSING METHOD OF PHOTOSENSITIVE RESIN COMPOSITION - A developing solution for a polyimide precursor containing N,N,N′,N′-tetramethylurea and a lower alcohol having 1 to 5 carbon atoms. The developing solution increases a development margin and results in little or no decrease of the film thickness of a polyimide-based resin film. A development processing method of a photosensitive polyimide resin composition including developing a photosensitive polyimide precursor resin composition, at least a part of which is exposed, with the developing solution; and a pattern formation method including forming a coating film or molding including a photosensitive polyimide precursor resin composition, selectively exposing the coating film or molding, and developing the exposed coating film or molding by the development processing method. | 08-21-2014 |
20140248565 | METHOD OF PATTERNING A DEVICE - A photopolymer layer is formed on an organic device substrate and exposed to patterned radiation. The photopolymer layer includes a photopolymer comprising at least a first repeating unit having an acid-catalyzed, solubility-altering reactive group, wherein the total fluorine content of the photopolymer is less than 30% by weight. The pattern exposed photopolymer is contacted with a developing agent, such as a developing solution, to remove unexposed photopolymer, thereby forming a developed structure having a first pattern of exposed photopolymer covering the substrate and a complementary second pattern of uncovered substrate corresponding to the unexposed photopolymer. The developing agent comprises at least 50% by volume of a hydrofluoroether developing solvent. | 09-04-2014 |
20140255852 | SUBSTRATE TREATMENT METHOD, NON-TRANSITORY COMPUTER STORAGE MEDIUM AND SUBSTRATE TREATMENT SYSTEM - The present invention includes: a resist film forming step of forming a resist film over a substrate; an exposure step of exposing the resist film into a predetermined pattern; a metal treatment step of causing a treatment agent to enter an exposed portion exposed in the exposure step of the resist film and causing metal to infiltrate the exposed portion via the treatment agent; and a resist film removing step of removing an unexposed portion not exposed in the exposure step of the resist film to form a resist pattern over the substrate. | 09-11-2014 |
20140255853 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, POLYMERIC COMPOUND AND COMPOUND - A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, including a base component (A) which exhibits changed solubility in a developing solution under action of acid, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1) shown below. In the formula, W | 09-11-2014 |
20140255854 | PATTERN-FORMING METHOD - A pattern-forming method includes providing a resist film on a substrate using a photoresist composition. The resist film is exposed. The exposed resist film is developed using a developer having an organic solvent content of 80 mass % or more. The photoresist composition includes a first polymer, a second polymer, and an acid generator. The first polymer is a base polymer and includes a first structural unit that includes an acid-labile group. The second polymer includes a second structural unit that includes an acid-labile group, and has a fluorine atom content higher than a fluorine atom content of the first polymer. The second structural unit is represented by a formula (1) or a formula (2). | 09-11-2014 |
20140272723 | CHEMI-EPITAXY IN DIRECTED SELF-ASSEMBLY APPLICATIONS USING PHOTO-DECOMPOSABLE AGENTS - A method of forming a layered substrate comprising a self-assembled material is provided. The method includes forming a first layer of material on a substrate, forming a layer of a radiation sensitive material on the first layer of material, imaging the layer of the radiation sensitive material with patterned light, heating the layer of the radiation sensitive material to a temperature at or above the cross-linking reaction temperature, developing the imaged layer, and forming the block copolymer pattern. The radiation sensitive material comprises at least one photo-sensitive component selected from (a) a photo-decomposable cross-linking agent, (b) a photo-base generator, or (c) a photo-decomposable base; and a cross-linkable polymer, wherein imaging by the patterned light provides a pattern defined by a first region having substantial portions of a decomposed photo-sensitive component surrounded by regions having substantial portions of intact photo-sensitive component. | 09-18-2014 |
20140272724 | Photoresist System and Method - A system and method for photoresists is provided. In an embodiment a photoresist is developed. Once developed, the photoresist is slimmed using either a direct slimming technique or an indirect slimming technique. In a direct slimming technique the slimming agent is either an alkaline solution or a polar solvent. In the indirect slimming technique a hydrophobic material is diffused into the photoresist to form a modified region and the modified region is then removed. | 09-18-2014 |
20140272725 | METHOD OF WATER REPELLENT TREATMENT FOR PATTERN SURFACE - Provided is a method of water repellent treatment for a pattern surface, the method including the steps of agitatingly mixing a perfluoropolyether-group-containing silane water repellent, an organic acid, a fluorine-containing solvent capable of dissolving the perfluoropolyether-group-containing silane water repellent and the organic acid, and water to hydrolyze the perfluoropolyether-group-containing silane water repellent, thereby obtaining a partial hydrolysate solution; forming a photosensitive resin layer on a substrate; applying the partial hydrolysate solution onto the photosensitive resin layer to form a water-repellent film; performing patterning exposure to the photosensitive resin layer and the water-repellent film; performing heat treatment to collectively cure an exposed portion of the photosensitive resin layer and the water-repellent film; and removing a non-exposed portion of the photosensitive resin layer and the water-repellent film by development treatment to form a pattern. | 09-18-2014 |
20140272726 | Photo Resist Baking in Lithography Process - A method includes coating a photo resist on a wafer in a first production tool, and performing a pre-exposure baking on the photo resist in a second production tool separate from the first production tool. After the pre-exposure baking, the photo resist is exposed using a lithography mask. After the step of exposing the photo resist, a post-exposure baking is performed on the photo resist. The photo resist is then developed. | 09-18-2014 |
20140272727 | METHOD OF PRODUCING POLYMERIC COMPOUND, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A method of producing a copolymer, including copolymerizing a monomer (am0) containing a partial structure represented by formula (am0-1) shown below, a monomer (am1) containing an acid decomposable group which exhibits increased polarity by the action of acid and a monomer (am5) containing an —SO | 09-18-2014 |
20140287365 | FORMING PATTERNS USING THIOSULFATE POLYMER COMPOSITIONS - A thiosulfate polymer composition includes an electron-accepting photosensitizer component, either as a separate compound or as an attachment to the thiosulfate polymer. The thiosulfate polymer composition can be applied to various articles and used to form a predetermined polymeric pattern after photothermal reaction to form crosslinked disulfide bonds, removing non-crosslinked polymer, and reaction with a disulfide-reactive material. | 09-25-2014 |
20140287366 | METHOD OF SEQUESTERING METALS USING THIOSULFATE POLYMERS - A thiosulfate polymer composition includes an electron-accepting photosensitizer component, either as a separate compound or as an attachment to the thiosulfate polymer. The thiosulfate polymer composition can be applied to various articles, or used to form a predetermined polymeric pattern after photothermal reaction to form crosslinked disulfide bonds, removing non-crosslinked polymer, and reaction with a disulfide-reactive material. Such thiosulfate polymer compositions can also be used to sequestering metals. | 09-25-2014 |
20140302443 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus which performs drawing on a substrate with a charged particle beam, including a transmission unit including a plurality of channels and configured to transmit drawing data via the plurality of channels, a plurality of storages respectively corresponding to the plurality of channels, and configured to respectively store the drawing data transmitted via the plurality of channels, and a controller ( | 10-09-2014 |
20140315135 | EUV RESIST SENSITIVITY REDUCTION - A method for patterning a substrate is described. The method includes forming a layer of radiation-sensitive material on a substrate, and preparing a pattern in the layer of radiation-sensitive material using a lithographic process, wherein the pattern is characterized by a critical dimension (CD) and a roughness. Following the preparation of the pattern in the layer of radiation-sensitive material, the method further includes performing a CD slimming process to reduce the CD to a reduced CD, and performing a vapor smoothing process to reduce the roughness to a reduced roughness. | 10-23-2014 |
20140322656 | METHOD OF PATTERNING A DEVICE - A photoresist layer comprising a fluorinated photoresist material is formed on a device substrate and exposed to patterned radiation. The exposed photoresist layer is contacted with a developing agent to remove a portion of the exposed photoresist layer in accordance with the patterned light, thereby forming a developed structure having a first pattern of photoresist covering the substrate and a complementary second pattern of uncovered substrate corresponding to the removed portion of photoresist, the developing agent comprising a mixture of first and second fluorinated solvents, wherein at least one of the first and second solvents is a hydrofluoroether. The developed structure is treated to form a treated structure. The treated structure is contacted with a stripping agent to remove the first pattern of photoresist, the stripping agent comprising at least the first or second solvent in a concentration different from the developing agent. | 10-30-2014 |
20140329183 | CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS - A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition exhibits a high resolution and forms a negative resist pattern of a profile with minimized LER and undercut. | 11-06-2014 |
20140342292 | DI-T-BUTOXYDIACETOXYSILANE-BASED SILSESQUIOXANE RESINS AS HARD-MASK ANTIREFLECTIVE COATING MATERIAL AND METHOD OF MAKING - A method of preparing a DIABS-based silsesquioxane resin for use in an antireflective hard-mask coating for photolithography is provided. Methods of preparing an antireflective coating from the DIABS-based silsesquioxane resin and using said antireflective coating in photolithography is alternatively presented. The DIABS-based silsequioxane resin has structural units formed from the hydrolysis and condensation of silane monomers including di-t-butoxydiacetoxysilane (DIABS) and at least one selected from the group of R | 11-20-2014 |
20140349237 | EXPOSURE PHOTOLITHOGRAPHY METHODS - A method that forms a film of photoresist composition on a substrate and exposes a first and second region of the film to radiation through a first and second mask having a first and second image pattern, respectively. The photoresist composition includes a polymer comprising at least one acid labile group, a photosensitive acid generator capable of generating a first amount of acid upon exposure to a first dose of radiation and of generating a second amount of acid upon exposure to a second dose of radiation, and a photosensitive base generator capable of generating a first amount of base upon exposure to the first dose of radiation and of generating a second amount of base upon exposure to the second dose of radiation. The photosensitive acid generator includes (trifluoro-methylsulfonyloxy)-bicyclo[2.2.1]hept-5-ene-2,3-dicarboximide (MDT), N-hydroxy-naphthalimide dodecane sulfonate (DDSN), or a combination thereof. The photosensitive base generator includes a quaternary ammonium salt. | 11-27-2014 |
20140356792 | COMPOSITION FOR FORMING TUNGSTEN OXIDE FILM AND METHOD FOR PRODUCING TUNGSTEN OXIDE FILM USING SAME | 12-04-2014 |
20140363772 | RESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN - A resist composition including a high-molecular weight compound having a constituent unit represented by general formula (a0-1), a constituent unit (a1) containing a group containing a monocyclic group or a chain group among acid decomposable groups whose polarity increases by action of acid, a constituent unit (a1′) containing a group containing a polycyclic group among acid decomposable groups whose polarity increases by the action of an acid, and a constituent unit (a2) containing a lactone-containing monocyclic group, with a proportion of the constituent unit (a1) being equal to a proportion of the constituent unit (a1′) or more; and a method for forming a resist pattern using the resist composition. | 12-11-2014 |
20140363773 | PATTERN-FORMING METHOD - A radiation-sensitive resin composition includes a resin including a repeating unit shown by a following general formula (1), a photoacid generator and a photodisintegrating base shown by a following general formula (8). R | 12-11-2014 |
20140377707 | COMPOSITION FOR FORMING LIQUID IMMERSION UPPER LAYER FILM, RESIST PATTERN-FORMING METHOD, POLYMER, AND COMPOUND - A composition for forming a liquid immersion upper layer film includes a polymer component including a polymer having a structural unit represented by a formula (1); and a solvent. R | 12-25-2014 |
20150024327 | NEGATIVE PHOTORESIST COMPOSITION AND PATTERNING METHOD FOR DEVICE - The present invention relates to a negative photoresist composition and a patterning method for device in which a photoresist pattern having a high sensitivity with a good reverse taper profile can be formed not only to realize an effective patterning of various thin films but also to facilitate removal of the photoresist pattern after the patterning. The photoresist composition comprises an alkali-soluble binder resin; a halogen-containing first photo-acid generator; a triazine-based second photo-acid generator; a cross-linking agent having an alkoxy structure; and a solvent. | 01-22-2015 |
20150030985 | Multiphoton Curing Methods Using Negative Contrast Compositions - The present disclosure relates to multiphoton absorption methods for curing a photocurable composition under conditions wherein negative contrast occurs. The photocurable composition includes a free-radically polymerizable compound. The method is applicable to fabrication of structures with micron-scale dimensions or less. | 01-29-2015 |
20150044617 | METHOD FOR FORMING RESIST PATTERN - A method of forming a resist pattern, and a film including a metal-containing compound formed on the resist pattern while developing the resist pattern. The method uses an organic solvent developer liquid, in which a metal compound capable of generating a hydroxyl group upon hydrolysis is dissolved in an organic solvent that does not have a functional group that reacts with the metal compound. | 02-12-2015 |
20150050600 | RESIST PATTERN-FORMING METHOD - A resist pattern-forming method includes applying a resist underlayer film-forming composition to a substrate to form a resist underlayer film. The resist underlayer film-forming composition includes (A) a polysiloxane. A radiation-sensitive resin composition is applied to the resist underlayer film to form a resist film. The radiation-sensitive resin composition includes (a1) a polymer that changes in polarity and decreases in solubility in an organic solvent due to an acid. The resist film is exposed. The exposed resist film is developed using a developer that includes an organic solvent. | 02-19-2015 |
20150050601 | DEVELOPABLE BOTTOM ANTIREFLECTIVE COATING COMPOSITION AND PATTERN FORMING METHOD USING THEREOF - The present invention relates to a developable bottom antireflective coating (BARC) composition and a pattern forming method using the BARC composition. The BARC composition includes a first polymer having a first carboxylic acid moiety, a hydroxy-containing alicyclic moiety, and a first chromophore moiety; a second polymer having a second carboxylic acid moiety, a hydroxy-containing acyclic moiety, and a second chromophore moiety; a crosslinking agent; and a radiation sensitive acid generator. The first and second chromophore moieties each absorb light at a wavelength from 100 nm to 400 nm. In the patterning forming method, a photoresist layer is formed over a BARC layer of the BARC composition. After exposure, unexposed regions of the photoresist layer and the BARC layer are selectively removed by a developer to form a patterned structure in the photoresist layer. The BARC composition and the pattern forming method are especially useful for implanting levels. | 02-19-2015 |
20150056560 | PHOTOSENSITIVE CONDUCTIVE PASTE AND METHOD OF PRODUCING CONDUCTIVE PATTERN - A photosensitive conductive paste includes an epoxy acrylate (A) including a urethane bond, a photopolymerization initiator (B), and a conductive filler (C), wherein an added amount of the conductive filler (C) is 70 to 95% by weight with respect to the total solids in the photosensitive conductive paste. | 02-26-2015 |
20150056561 | Composite Holographic Optical Diffuser Structure with High Frequency Overlay and Method of Fabrication Thereof - The optical diffuser mastering of the subject invention includes legacy microstructure surface relief patterns, along with smaller ones, overlaid on the larger ones. The characteristic features produced by the present invention will be found useful to eliminate visible structures in/on optical diffusers, such as those used in movie projection screens (utilizing either coherent (i.e., laser-generated) and non-coherent (e.g., lamp-generated) light), head-up displays (HUDs), laser projection viewing, etc., as the present invention produces much sharper images than those afforded by traditional holographic optical diffusers. | 02-26-2015 |
20150093708 | SUBSTITUTED ARYL ONIUM MATERIALS - Acid generators comprising a carbocyclic or heteroaromatic group substituted with at least one diester moiety are provided. These acid generators are particularly useful as a photoresist composition component. | 04-02-2015 |
20150093709 | ARYL ACETATE ONIUM MATERIALS - Acid generators comprising a carbocyclic aryl or heteroaromatic group substituted with at least one acetate moiety are provided. These acid generators are particularly useful as a photoresist composition component. | 04-02-2015 |
20150104746 | METHOD OF CONCENTRATING WASTE LIQUID PRODUCED BY DEVELOPMENT, AND METHOD OF RECYCLING WASTE LIQUID PRODUCED BY DEVELOPMENT - The invention provides a method of concentrating a waste liquid produced by development, the method including: obtaining a waste liquid produced by: exposing a planographic printing plate precursor, including: an image recording layer including: an infrared absorbing dye, a polymerization initiator, and a polymerizable compound, and a protective layer on a support, and performing a development process by using a developer liquid that contains an anionic surfactant having a naphthalene skeleton and/or a nonionic surfactant having a naphthalene skeleton in an amount of 1-10% by mass, that contains an organic solvent that has a boiling temperature in a range of 100-300° C. in an amount of 2% by mass or less, and that has a pH of 6.0-9.5; and evaporation-concentrating the waste liquid such that [an amount of the waste liquid after the concentration/an amount of the waste liquid before the concentration] is from 1/10 to 1/2 on a volume basis. | 04-16-2015 |
20150118627 | PATTERN FORMING METHOD, COMPOSITION USED TEHREIN, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE - A pattern forming method includes: (i) a step of forming a first film by using an actinic ray-sensitive or radiation-sensitive resin composition (I), (ii) a step of exposing the first film, (iii) a step of developing the exposed first film by using an organic solvent-containing developer to form a negative pattern, (iv) a step of forming a second film on the negative pattern by using a specific composition (II), (v) a step of increasing polarity of the specific compound present in the second film, and (vi) a step of removing a specific area of the second film by using the organic solvent-containing remover. | 04-30-2015 |
20150118628 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM THEREFROM, METHOD OF FORMING PATTERN, PROCESS FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE - Provided is an actinic-ray- or radiation-sensitive resin composition including a resin (P) comprising any of repeating units (A) of general formula (I) below, each of which contains an ionic structural moiety that when exposed to actinic rays or radiation, is decomposed to thereby generate an acid in a side chain of the resin. | 04-30-2015 |
20150140497 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, POLYMERIC COMPOUND, COMPOUND - A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, and which includes a base component (A) which exhibits changed solubility in a developing solution under action of acid, the base component (A) including a polymeric compound (A1) having a structural unit (a0) represented by general formula (a0-0) shown below (wherein V | 05-21-2015 |
20150147701 | COMPOSITION FOR FORMING TOPCOAT LAYER AND RESIST PATTERN FORMATION METHOD EMPLOYING THE SAME - Provided is a composition for forming a topcoat layer, the composition including a graphene derivative including a hydrophilic group; and a solvent. Also provided is a pattern formation method, including disposing a resist composition on a substrate, to form a resist layer; coating the resist layer with a composition including a graphene derivative including a hydrophilic group, and a solvent; heating the composition to harden the composition; subjecting the resist layer to exposure using extreme ultraviolet light; and developing exposed resist layer with an alkali aqueous solution. | 05-28-2015 |
20150147702 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A method of forming a resist pattern, including forming a resist film by coating a resist composition including a base component (A) that exhibits increased solubility in an alkali developing solution, a photo-base generator component (C) that generates a base upon exposure, an acid supply component (Z) and a compound (F) containing at least one selected from the group consisting of a fluorine atom and a silicon atom and containing no acid decomposable group which exhibits increased polarity by the action of acid on a substrate; subjecting the resist film to exposure baking the exposed resist film; and subjecting the resist film to alkali development, thereby forming a negative-tone resist pattern. | 05-28-2015 |
20150307655 | Polyesters, Methods of Making Polyesters and Uses Therefor - Polyester compositions and functionalized polyester compositions are provided along with methods of making the compositions as well as methods of using the compositions, for example as a tissue engineering bioscaffold and as a drug-delivery vehicle. | 10-29-2015 |
20150309410 | ANTIREFLECTIVE COATING COMPOSITIONS AND PROCESSES THEREOF - The present invention relates to a novel absorbing antireflective coating composition comprising a novel crosslinkable polymer comprising at least one repeat unit (A) having structure (1), at least one repeat unit (B) having a structure (2), and at least one repeat unit (C) having structure (3) | 10-29-2015 |
20150309414 | METHOD AND TOOL OF LITHOGRAPHY - A tool and a method of lithography are provided. In various embodiments, the method of lithography includes forming a photoresist layer on a substrate. The method further includes exposing the photoresist layer to form an exposed photoresist layer. The method further includes rinsing the exposed photoresist layer. The method further includes treating the exposed photoresist layer with a chemical modifier to form a modified photoresist layer. The method further includes baking the modified photoresist layer. The method further includes developing the modified photoresist layer. | 10-29-2015 |
20150323786 | METHOD FOR EVALUATING AND IMPROVING PUPIL LUMINANCE DISTRIBUTION, ILLUMINATION OPTICAL SYSTEM AND ADJUSTMENT METHOD THEREOF, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - Improvement of pupil luminance distribution includes: a fifth process in which an index value obtained from the illumination pupil, using evaluation method of a first process to fourth process; a sixth process wherein a unit change amount of the index value in the discrete data acquired in the previous process is acquired for each unit pupil area; a seventh process wherein discrete data modulated by an improving technique using the unit change amount so that the index value nears a target index value is acquired; and an eighth process wherein an index value obtained corresponding to the modulated discrete data in the seventh process is acquired, using the evaluation method of the first process to the fourth process, and by repeatedly performing the sixth process to eighth process so an error of the index value obtained corresponding to the modulated discrete data falls within permissible range. | 11-12-2015 |
20150331317 | Photoresist and Method - A photoresist with a group which will decompose bonded to a high etching resistance moiety is provided. Alternatively, the group which will decompose can additionally be attached to a re-attachment group that will re-attach to the polymer after the group which will decompose has cleaved from the polymer. The photoresist may also comprise a non-leaving monomer with a cross-linking site and a cross-linking agent. | 11-19-2015 |
20150331323 | COMPOSITION FOR FORMING OVERLAY FILM, AND RESIST PATTERN FORMATION METHOD EMPLOYING THE SAME - [Object] To provide a composition for forming a topcoat layer enabling to produce a pattern excellent in roughness and in pattern shape; and also to provide a pattern formation method employing that. | 11-19-2015 |
20150331325 | METHOD OF PATTERNING A DEVICE - A photopolymer layer is formed on an organic device substrate and exposed to patterned radiation. The photopolymer layer includes a photopolymer comprising at least a first repeating unit having an acid-catalyzed, solubility-altering reactive group, wherein the total fluorine content of the photopolymer is less than 30% by weight. The pattern exposed photopolymer is contacted with a developing agent, such as a developing solution, to remove unexposed photopolymer, thereby forming a developed structure having a first pattern of exposed photopolymer covering the substrate and a complementary second pattern of uncovered substrate corresponding to the unexposed photopolymer. The developing agent comprises at least 50% by volume of a hydrofluoroether developing solvent. | 11-19-2015 |
20150337068 | PREPARATION, PURIFICATION AND USE OF HIGH-X DIBLOCK COPOLYMERS - This invention relates to the preparation and purification of high-X (“chi”) diblock copolymers. Such copolymers contain two segments (“blocks”) of polymers with significantly different interaction parameters and can be used in directed self-assembly applications | 11-26-2015 |
20150337116 | POLYMIDE PRECURSOR RESIN COMPOSITION - A resin composition including the following components (a) and (b). (a) A polyimide precursor having a structural unit represented by the following general formula (1); and (b) a compound represented by the following general formula (2): wherein in the formula R | 11-26-2015 |
20150338738 | METHOD FOR PREPARING ELECTRICALLY-CONDUCTIVE SILVER IMAGES - Electrically-conductive articles are prepared to have electrically-conductive silver metal electrode grids on one or both supporting sides of a transparent substrate. Such articles are prepared by imagewise exposing conductive film element precursors having photosensitive silver halide layers, followed by development, fixing, and conductivity enhancement. The resulting silver image(s) can be treated with a stabilizing solution containing 0.5-50 mmol/l of specific stabilizing agents. | 11-26-2015 |
20150338739 | METHOD FOR PREPARING TRANSPARENT CONDUCTIVE SILVER PATTERNS - Electrically-conductive articles are prepared to have electrically-conductive silver metal electrode grids and electrically-conductive silver connector wire patterns (BUS lines) on one or both supporting sides of a transparent substrate. The electrically-conductive silver connector wire patterns are designed with one silver main wire that comprises two or more adjacent silver micro-wires in bundled patterns. These bundled patterns and silver micro-wires are designed with specific dimensions and configurations to provide optimal fidelity (or correspondence) to the mask image used to provide such images in a silver halide emulsion layer. The electrically-conductive articles are provided by imagewise exposure, development, and fixing of corresponding silver halide-containing conductive film element precursors containing photosensitive silver halide emulsion layers. The electrically-conductive articles can be used as parts of various electronic devices including touch screen devices. | 11-26-2015 |
20150362837 | MONOMER, HARDMASK COMPOSITION COMPRISING MONOMER, AND PATTERN FORMING METHOD USING HARDMASK COMPOSITION - Disclosed are a monomer for a hardmask composition represented by the following Chemical Formula 1, a hardmask composition including the monomer, and a method of forming patterns using the hardmask composition. | 12-17-2015 |
20160009936 | METHOD OF FORMING PATTERNS | 01-14-2016 |
20160011510 | COMPOSITION FOR FORMING TOPCOAT LAYER AND RESIST PATTERN FORMATION METHOD EMPLOYING THE SAME | 01-14-2016 |
20160052877 | SALT, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A salt represented by the formula (I); | 02-25-2016 |
20160054488 | OPTICAL ELEMENT, PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An optical element includes: a base; a multilayer film which is provided on the base and in which a plurality of unit laminate structures are laminated, each laminate structure having a first layer and a second layer provided on the first layer; and a plurality of spacer layers which are each provided at a different one of a plurality of interlaminar positions located between the unit laminate structures. | 02-25-2016 |
20160054655 | METHOD FOR FORMING PATTERN HAVING HOLLOW STRUCTURE - In at least one embodiment of a method for forming a pattern having a hollow structure, a light-absorbing layer capable of absorbing light is formed on a surface of a photosensitive resin film. Subsequently, a substrate having a protrusion and the photosensitive resin film are bonded together so that the protrusion and the light-absorbing layer come into contact with each other. Then, the photosensitive resin film and the light-absorbing layer are patterned at one time by photolithography. | 02-25-2016 |
20160062232 | MULTIPLE-PATTERN FORMING METHODS - Multiple-pattern forming methods are provided. The methods comprise: (a) providing a semiconductor substrate comprising one or more layers to be patterned; (b) forming a photoresist layer over the one or more layers to be patterned, wherein the photoresist layer is formed from a composition comprising: a matrix polymer comprising an acid labile group; a photoacid generator; and a solvent; (c) patternwise exposing the photoresist layer to activating radiation; (d) baking the exposed photoresist layer; (e) contacting the baked photoresist layer with a first developer to form a first resist pattern; (f) treating the first resist pattern with a coating composition comprising an expedient for switching solubility of a sidewall region of the first resist pattern from soluble to insoluble with respect to a second developer that is different from the first developer; and (g) contacting the treated first resist pattern with the second developer to remove portions of the first resist pattern, leaving the solubility-switched sidewall region to form a multiple-pattern. The methods have particular applicability to the semiconductor manufacturing industry for the formation of fine lithographic patterns. | 03-03-2016 |
20160062236 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a polymeric compound having a structural unit derived from an acrylate ester containing a lactone-containing cyclic group having a group represented by general formula (a0-r-1) on the side chain and optionally having the hydrogen atom bonded to the carbon atom on the α-position substituted with a substituent: | 03-03-2016 |
20160062241 | PHOTORESIST WITH TOP-COATING PHOTO-DECOMPOSABLE BASE FOR PHOTOLITHOGRAPHY - A lithographic method includes forming a photoresist layer on a target layer, forming a photo-decomposable base (PDB) layer on the photo resist layer, performing an exposure operation using a mask, and performing a negative development treatment to form a patterned photoresist layer on the target layer. In some cases, the photo-decomposable base layer includes a self-generating top coating photo-decomposable base (TC-PDB) layer. The method can also include forming a top surface water-resistant coating in separate coating process. In some embodiments, a top surface water-resistant coating is self-generated during a photoresist coating process. | 03-03-2016 |
20160064266 | PROCESSING APPARATUS, PROCESSING METHOD, AND DEVICE MANUFACTURING METHOD - A processing apparatus that performs processing to a wafer is provided, the processing apparatus comprising a wafer chuck disposed on a stage and that holds the wafer; three pins that attract the wafer and move the wafer from the wafer chuck; and a control unit that is configured to stop or decrease the attraction of the three pins based on information about a through hole of the wafer when the wafer is moved from the wafer chuck by the three pins. | 03-03-2016 |
20160097978 | RESIST PATTERN-FORMING METHOD - A resist pattern-forming method includes applying a resist underlayer film-forming composition to a substrate to form a resist underlayer film. The resist underlayer film-forming composition includes (A) a polysiloxane. A radiation-sensitive resin composition is applied to the resist underlayer film to form a resist film. The radiation-sensitive resin composition includes (a1) a polymer that changes in polarity and decreases in solubility in an organic solvent due to an acid. The resist film is exposed. The exposed resist film is developed using a developer that includes an organic solvent. | 04-07-2016 |
20160109801 | UPPER LAYER-FORMING COMPOSITION AND RESIST PATTERNING METHOD - A liquid immersion lithography upper-layer film-forming composition includes (A) a polymer that includes a structural unit (I) shown by the following formula (1), and (S) a solvent. R | 04-21-2016 |
20160109804 | EUV RESIST ETCH DURABILITY IMPROVEMENT AND PATTERN COLLAPSE MITIGATION - A method for patterning a substrate is described. The patterning method includes receiving a first patterned layer overlying a material layer to be etched on a substrate, wherein the first patterned layer is composed of a resist material having (i) material properties that provide lithographic resolution of less than about 40 nanometers when exposed to extreme ultraviolet radiation lithography, and (ii) material properties that provide a nominal etch resistance to an etch process condition. The first patterned layer is over-coated with an image reversal material such that the image reversal material fills and covers the first patterned layer. The patterning method further includes removing an upper portion of the image reversal material such that top surfaces of the first patterned layer are exposed, and removing the first patterned layer such that the image reversal material remains resulting in a second patterned layer. | 04-21-2016 |
20160109805 | RINSING LIQUID FOR LITHOGRAPHY AND PATTERN FORMING METHOD USING SAME - Disclosed are a rinse solution for lithography comprising water and a nonionic surfactant represented by the formula (I) (R | 04-21-2016 |
20160116843 | METHOD OF FORMING RESIST PATTERN - A method of forming a resist pattern including forming a resist film on a substrate using a resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of the acid; exposing the resist film; and patterning the exposed resist film by negative-tone development using a developing solution containing an organic solvent, to form a resist pattern. The resist composition includes a polymeric compound having at least two kinds of specific structural units. | 04-28-2016 |
20160124310 | Lithography Patterning Technique - A method for lithography patterning includes providing a substrate; forming a material layer over the substrate; exposing the material layer to a radiation, resulting in an exposed material layer; and removing a portion of the exposed material layer in a developer, resulting in a patterned material layer. The developer is an alkaline aqueous solution having an organic base that is a quaternary ammonium hydroxide. In an embodiment, the organic base has a bulky group in its side chain, reducing its etching distance. In another embodiment, the organic base includes electron withdrawing groups, reducing its basicity. In yet another embodiment, the developer has a loading of the quaternary ammine ranging from about 0.01% to about 2.37%. The developer results in reduced line edge roughness and reduced line width roughness in the patterned material layer. | 05-05-2016 |
20160124312 | PATTERN FORMING PROCESS AND SHRINK AGENT - A negative pattern is formed by applying a resist composition onto a substrate, exposing the resist film, and developing the exposed resist film in an organic solvent developer. The process further involves coating the negative pattern with a shrink agent solution of a polymer comprising recurring units capable of forming lactam under the action of acid in a C | 05-05-2016 |
20160124313 | PATTERN FORMING PROCESS AND SHRINK AGENT - A negative pattern is formed by applying a resist composition onto a substrate, exposing the resist film, and developing the exposed resist film in an organic solvent developer. The process further involves coating the negative pattern with a shrink agent solution of a polymer comprising recurring units having an acid labile group-substituted hydroxyl and/or carboxyl group in a C | 05-05-2016 |
20160130462 | TOPCOAT COMPOSITIONS AND PHOTOLITHOGRAPHIC METHODS - A topcoat composition comprises: a matrix polymer; a surface active polymer comprising: a first unit comprising a group of the following general formula (I): | 05-12-2016 |
20160137548 | CONTROLLING FRAGMENTATION OF CHEMICALLY STRENGTHENED GLASS - A method of manufacturing a glass substrate to control the fragmentation characteristics by etching and filling trenches in the glass substrate is disclosed. An etching pattern may be determined. The etching pattern may outline where trenches will be etched into a surface of the glass substrate. The etching pattern may be configured so that the glass substrate, when fractured, has a smaller fragmentation size than chemically strengthened glass that has not been etched. A mask may be created in accordance with the etching pattern, and the mask may be applied to a surface of the glass substrate. The surface of the glass substrate may then be etched to create trenches. A filler material may be deposited into the trenches. | 05-19-2016 |
20160139510 | LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a lithography apparatus including a plurality of detectors each configured to detect a mark on the substrate, and a controller configured to control a patterning so that a first operation and a second operation are alternately performed, the first operation irradiating the substrate with a beam while scan movement of the substrate is performed in a first direction, the second operation performing step movement of the substrate in a second direction different from the first direction, wherein the controller is configured to cause, in the first operation, at least one of the plurality of detectors to detect the mark, and the plurality of detectors are arranged, in the second direction, at an interval which is a positive integer multiple of a distance of the step movement. | 05-19-2016 |
20160139512 | PATTERN FORMING PROCESS AND SHRINK AGENT - A negative pattern is formed by applying a resist composition onto a substrate, exposing the resist film, and developing the exposed resist film in an organic solvent developer. The process further involves coating the negative pattern with a shrink agent solution of a polymer comprising recurring units capable of forming lactone under the action of acid in a C | 05-19-2016 |
20160159974 | PHOTORESIST POLYMERS AND METHODS OF FORMING PATTERNS - A photoresist polymer is synthesized from a repeating unit that comprises a first leaving group including an ester group, and a second leaving group capable of being removed together with the first leaving group. | 06-09-2016 |
20160163531 | COOLING APPARATUS, ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - A cooling apparatus for cooling a light source unit is provided. The cooling apparatus includes a cooling unit provided outside a path of light from the light source unit, and a heat pipe configured to connect a heat generating portion of the light source unit and the cooling unit. The heat pipe also serves as an electrode wire of the light source unit. | 06-09-2016 |
20160168117 | ARYL ACETATE ONIUM MATERIALS | 06-16-2016 |
20160179009 | METHOD OF PRODUCING A RESIST STRUCTURE WITH UNDERCUT SIDEWALL | 06-23-2016 |
20160187781 | METHOD FOR FORMING PATTERN USING ANTI-REFLECTIVE COATING COMPOSITION COMPRISING PHOTOACID GENERATOR - The present invention relates to a method for forming a pattern by negative tone development (NTD) which is prepared by forming an anti-reflective coating composition layer comprising a photoacid generator between the substrate and the photoresist composition layer, and thus exhibits improved line width (CD) in the pattern and prevents pattern collapse owing to thorough activation of de-blocking of the photoresist composition layer during the exposure process. | 06-30-2016 |
20160377982 | Methods of Forming a Mask for Substrate Patterning - Patterning methods for creating features with sub-resolution dimensions that are self-aligned in photoresist materials. Techniques include selectably creating antispacers (or spacers) in soft materials, such as photoresist. A photoresist without a photo acid generator is deposited on a relief pattern of a solubility-neutralized photoresist material having a photo acid generator. A photomask then defines where photo acid is generated from a corresponding activating exposure. Photo acid is then diffused into the photoresist, that is free of the photo acid generator, to cause a solubility shift for subsequent development. These selectably-created antispacers can be line segments having widths defined by acid diffusion lengths, which can be widths of 1 nanometer to tens of nanometers. Moreover, the creation of antispacers, their location, and length, can be controlled using a photomask. | 12-29-2016 |