Entries |
Document | Title | Date |
20080241746 | POSITIVE RESIST COMPOSITION AND PATTERN FORMING METHOD - A positive photosensitive composition comprises
| 10-02-2008 |
20080248425 | POSITIVE RESIST COMPOSITION AND PATTERN-FORMING METHOD - A positive resist composition comprises: (A) a resin that has a repeating unit represented by general formula (a1) and increases its solubility in an alkali developer by action of an acid; (B) a compound which generates an acid upon irradiation with an actinic ray or a radiation; and (C) a resin that has at least one of a fluorine atom and a silicon atom and has a group selected from the group consisting of (x), (y) and (z); and (D) a solvent:
| 10-09-2008 |
20080268376 | POSITIVE RESIST COMPOSITION FOR IMMERSION EXPOSURE, METHOD OF FORMING RESIST PATTERN, AND FLUORINE-CONTAINING POLYMERIC COMPOUND - A fluorine-containing polymeric compound obtained by polymerizing only polymerizable monomers represented by general formula (c1-0) shown below: | 10-30-2008 |
20080268377 | PROCESS FOR PRODUCING PHOTORESIST POLYMERIC COMPOUNDS - Process for producing photoresist polymeric compound having repeated units corresponding to at least one monomer selected from monomer (a) having lactone skeleton, monomer (b) having group which becomes soluble in alkali by elimination with acid, and monomer (c) having alicyclic skeleton having hydroxyl group. Process includes (A) polymerizing mixture of monomers containing at least one monomer selected from the above monomers (a), (b), and (c), and (B) extracting polymer formed in the polymerization by using organic solvent and water to partition the formed polymer into organic solvent layer and metal component impurity into aqueous layer, or passing polymer solution, which contains polymer having repeated units corresponding to at least one of the above monomers (a), (b), and (c) and metal content of which is 1000 ppb by weight or less relative to the polymer through filter comprising porous polyolefin membrane having cation-exchange group. The photoresist polymeric compounds have a metallic impurity content that is extremely low. | 10-30-2008 |
20080286688 | Photosensitive Resin Composition and Cured Product Thereof - [PROBLEMS] To provide a photosensitive resin composition with excellent photosensitivity whose cured product is excellent in adhesiveness, pencil hardness, solvent resistance, acid resistance, heat resistance, gold plating resistance, HAST properties, flame retardance, flexibility and the like a cured product thereof.
| 11-20-2008 |
20080286689 | Antireflective Coating Compositions - The present invention relates to antireflective coating compositions. | 11-20-2008 |
20080286690 | THIOL COMPOUND AND PHOTOSENSITIVE COMPOSITION USING THE SAME - The present invention relates to a thiol compound represented by formula (1): wherein R | 11-20-2008 |
20080305432 | POSITIVE RESIST COMPOSITION AND PATTERN-FORMING METHOD - A positive resist composition includes: (A) a resin capable of increasing the solubility in an alkali developing solution by the action of an acid, including: (a1) a repeating unit selected from repeating units represented by specific formulae (a1-1) to (a1-3); (a2) a repeating unit represented by a specific formula (a2); and (a3) a repeating unit selected from repeating units represented by specific formulae (a3-1) to (a3-4); (B) a compound capable of generating an acid upon irradiation with actinic ray or radiation, (C) a resin including: at least one of a fluorine atom and a silicon atom; and a group selected from specific groups (x) to (z):(x) an alkali-soluble group, (y) a group capable of decomposing by the action of an alkali developing solution to increase the solubility in the alkali developing solution, and (z) a group capable of decomposing by the action of an acid; and (D) a solvent. | 12-11-2008 |
20080305433 | POSITIVE RESIST COMPOSITION AND METHOD OF PATTERN FORMATION WITH THE SAME - A positive resist composition comprising: (A) a resin which comes to have an enhanced solubility in an alkaline developing solution by an action of an acid; (B) a compound which generates an acid upon irradiation with actinic rays or a radiation; (C) a fluorine-containing compound containing at least one group selected from the groups (x) to (z); and (F) a solvent, and a method of pattern formation with the composition: (x) an alkali-soluble group; (y) a group which decomposes by an action of an alkaline developing solution to enhance a solubility in an alkaline developing solution; and (z) a group which decomposes by an action of an acid. | 12-11-2008 |
20080311515 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon irradiation, the resin component (A) including a structural unit (a1) derived from hydroxystyrene and a structural unit (a2) having an acetal-type acid dissociable, dissolution inhibiting group, and the acid-generator component (B) including an acid generator (B1) consisting of a compound represented by general formula (b1-1) (wherein Z represents a hydrogen atom or a group represented by general formula (b1-1-1); R | 12-18-2008 |
20080318160 | Novel sulfonate salts and derivatives, photoacid generators, resist compositions, and patterning process - Sulfonate salts have the formula: | 12-25-2008 |
20090004600 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION - A chemically amplified positive resist composition comprising
| 01-01-2009 |
20090011366 | PATTERN FORMING METHOD, RESIST COMPOSITION TO BE USED IN THE PATTERN FORMING METHOD, NEGATIVE DEVELOPING SOLUTION TO BE USED IN THE PATTERN FORMING METHOD AND RINSING SOLUTION FOR NEGATIVE DEVELOPMENT TO BE USED IN THE PATTERN FORMING METHOD - A pattern forming method includes (a) coating a substrate with a resist composition including a resin that includes a repeating unit represented by a following general formula (NGH-1), and, by the action of an acid, increases the polarity and decreases the solubility in a negative developing solution; (b) exposing; and (d) developing with a negative developing solution: | 01-08-2009 |
20090023097 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits increased solubility in an alkali developing solution under action of acid and has a structural unit (a1) represented by general formula (a1-0-2) shown below, and an acid-generator component (B) which generates acid upon exposure and includes an acid generator (B1) consisting of a compound represented by general formula (b1-12) shown below: | 01-22-2009 |
20090029288 | METHOD FOR PRODUCING RESIST COMPOSITION AND RESIST COMPOSITION - A method for producing a resist composition including a resin component (A) that exhibits changed alkali solubility under the action of acid and an acid generator component (B) that generates acid upon exposure, the method including the steps of: obtaining the component (A) by mixing a plurality of copolymers, which are composed of the same structural units but have mutually different measured values for the contact angle. | 01-29-2009 |
20090029289 | Photosensitive Resin Composition, Photosensitive Element, Method for Forming Resist Pattern and Method for Producing Printed Wiring Board - A photosensitive resin composition comprising: (A) a binder polymer; (B) a photopolymerizable compound that has an ethylenically unsaturated bond; and (C1) a compound represented by general formula (1) below, | 01-29-2009 |
20090029290 | TERTIARY ALCOHOL DERIVATIVE, POLYMER COMPOUND AND PHOTORESIST COMPOSITION - (1) A polymer compound for photoresist composition which is high in dissolution rate in a developing solution after exposure and small swelling at the development and (2) a compound which is a raw material for such a polymer compound are provided. Furthermore, (3) a photoresist composition containing the subject polymer compound is provided. In detail, a tertiary alcohol derivative represented by the following general formula (1) is provided. | 01-29-2009 |
20090035697 | NEGATIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A chemically amplified negative resist composition is provided in addition to a method of forming a resist pattern from which a desirable pattern shape can be obtained. A negative resist composition in which a resin component (A) contains a resin component (A1) having a structural unit (a1) containing an alicyclic group having a fluorinated hydroxyalkyl group and a structural unit (a2) derived from an acrylic acid ester and containing a hydroxyl group-containing alicyclic group; and an acid generator component (B) contains an acid generator (B1) expressed by the following general formula (B1): | 02-05-2009 |
20090035698 | POSITIVE RESIST COMPOSITION AND RESIST PATTERN FORMING METHOD - The present invention is a positive resist composition and a resist pattern forming method including a resin component (A) which has a polymer compound (A1) having a structural units (a1) including an acetal type acid dissociable, dissolution inhibiting group, a structural unit (a2) derived from an acrylate ester having a lactone-containing polycyclic group, and a structural unit (a3) derived from an acrylate ester having a polar group-containing aliphatic hydrocarbon group, and an acid generator component (B) having an onium salt-based acid generator (B1) having a cation portion represented by a general formula (b-1) shown below | 02-05-2009 |
20090035699 | FLUORINATED MONOMER, FLUORINATED POLYMER, RESIST COMPOSITION AND PATTERNING PROCESS - Fluorinated monomers of formula (1) are useful in producing polymers for the formulation of radiation-sensitive resist compositions. R | 02-05-2009 |
20090035700 | TERTIARY ALCOHOL DERIVATIVE, POLYMER COMPOUND AND PHOTORESIST COMPOSITION - (1) A polymer compound for photoresist compositions which is high in storage stability and small swelling at the development and (2) a compound which is a raw material for such a polymer compound are provided; and (3) a photoresist composition with improved LWR containing the subject polymer compound are further provided. In detail, [1] a tertiary alcohol derivative represented by the following general formula (1) is provided. | 02-05-2009 |
20090042129 | POSITIVE RESIST COMPOSITION AND METHOD FOR RESIST PATTERN FORMATION - A positive resist composition includes a resin component (A) that exhibits increased alkali solubility under the action of acid, and an acid generator component (B) that generates acid upon exposure, wherein the resin component (A) is a mixture of a copolymer (A1) that includes a structural unit (a1) derived from an acrylate ester that contains an acid-dissociable, dissolution-inhibiting group, a structural unit (a2) derived from a methacrylate ester that contains a lactone-containing monocyclic group, and a structural unit (a3) derived from an acrylate ester that contains a polar group-containing polycyclic group, and a copolymer (A2) that has a different structure from the copolymer (A1) and has a lower hydrophilicity than the copolymer (A1). | 02-12-2009 |
20090042130 | POSITIVE RESIST COMPOSITION FOR IMMERSION EXPOSURE AND METHOD OF FORMING RESIST PATTERN - A positive resist composition for immersion exposure including a resin component (A) which has an acid dissociable dissolution inhibiting group and exhibits increased alkali solubility under action of acid and an acid-generator component (B) which generates acid upon exposure, the resin component (A) including a structural unit (a1) derived from an acrylate ester having an acid dissociable, dissolution inhibiting group and a structural unit (a3) derived from an acrylate ester having a polar group-containing aliphatic hydrocarbon group, the amount of the structural unit (a3) based on the combined total of all structural units constituting the resin component (A) being 3 to 12 mol %. | 02-12-2009 |
20090042131 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, POLYMERIC COMPOUND, AND COMPOUND - A compound represented by general formula (I) shown below; and a polymeric compound having a structural unit (a0) represented by general formula (a0-1) shown below: | 02-12-2009 |
20090042132 | RESIST COMPOSITION FOR IMMERSION LITHOGRAPHY AND METHOD FOR FORMING RESIST PATTERN - A resist composition for immersion lithography of the present invention includes a resin component (A) which exhibits changed alkali solubility under the action of acid; and an acid generator component (B) which generates acid on exposure, wherein the resin component (A) includes a resin (A1) containing a fluorine atom and no acid-dissociable group, and a resin (A2) containing a structural unit (a′) derived from an acrylic acid and no fluorine atom. | 02-12-2009 |
20090047600 | POSITIVE RESIST COMPOSITION AND RESIST PATTERN FORMING METHOD - Disclosed is a positive resist composition which can provide a positive resist composition and a resist pattern forming method, capable of forming a high resolution pattern with reduce LER, the positive resist composition comprising a resin component (A) which has acid dissociable, dissolution inhibiting groups and exhibits increased alkali solubility under the action of an acid, and an acid generator component (B) which generates an acid under exposure, wherein the resin component (A) contains a polymer compound (A1) having a structural unit (a1) derived from hydroxystyrene and a structural unit (a2) derived from an acrylate ester having acid dissociable, dissolution inhibiting groups, a fluorine atom or a fluorinated lower alkyl group being bonded at the α-position. | 02-19-2009 |
20090047601 | PLANOGRAPHIC PRINTING PLATE PRECURSOR AND PRINTING METHOD USING THE SAME - The invention provides a planographic printing plate precursor comprising: a hydrophilic support; and an image recording layer that is provided on the support, the image recording layer comprising: an infrared ray absorbing agent (A); a polymerization initiator (B); a polymerizable monomer (C); and a specific polymer compound (D) having an alkyleneoxy group in its molecule and having, in a side chain thereof at least one specific group. The invention further provides a printing method using the planographic printing plate precursor, wherein no specific development process is required for performing printing. | 02-19-2009 |
20090047602 | FLUORINE-CONTAINING COMPOUND, RESIST COMPOSITION FOR IMMERSION EXPOSURE, AND METHOD OF FORMING RESIST PATTERN - A resist composition for immersion exposure including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid, an acid-generator component (B) which generates acid upon irradiation, and a fluorine-containing compound (C) having a group represented by general formula (c) shown below and containing at least one fluorine atom: | 02-19-2009 |
20090053649 | Lactone copolymer and radiation-sensitive resin composition - A radiation-sensitive resin composition excelling in basic properties as a resist such as sensitivity, resolution, and the like, having a wide depth of focus (DOF) to both a line-and-space pattern and an isolated space pattern, and exhibiting a minimal line width change due to fluctuation of a bake temperature, and having a small line width limit in which the line pattern destroying phenomenon does not occur, and a lactone-containing copolymer useful as a resin component of the composition are provided. | 02-26-2009 |
20090053650 | RESIST COMPOSITION FOR IMMERSION EXPOSURE AND METHOD OF FORMING RESIST PATTERN - A resist composition for immersion exposure and a method of forming a resist pattern are provided which can satisfy both of excellent resistance to an immersion medium and lithography properties. The resist composition for immersion exposure includes a resin component (A) which exhibits changed alkali solubility under action of acid and an acid-generator component (B) which generates acid upon irradiation, the resin component (A) including a resin (A1) which contains a fluorine atom and a resin (A2) which has a structural unit (a′) derived from acrylic acid and contains no fluorine atom, and the amount of the resin (A1) contained in the resin component (A) being within the range from 0.1 to 50% by weight. | 02-26-2009 |
20090053651 | PATTERNING PROCESS - A pattern is formed by applying a first positive resist composition onto a substrate, heat treatment, exposure, heat treatment and development to form a first resist pattern; causing the first resist pattern to crosslink and cure by irradiation of high-energy radiation of up to 180 nm wavelength or EB; further applying a second positive resist composition onto the substrate, heat treatment, exposure, heat treatment and development to form a second resist pattern. The double patterning process reduces the pitch between patterns to one half. | 02-26-2009 |
20090061356 | POLYMER COMPOUND, POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A polymer compound having a structural unit (a0) represented by a general formula (a0-1) shown below, and a structural unit (a1) that is derived from an acrylate ester containing an acid dissociable, dissolution inhibiting group but is not classified as said structural unit (a0). | 03-05-2009 |
20090068588 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition that includes a resin component (A) that exhibits increased alkali solubility under the action of acid, and an acid generator component (B) that generates acid on exposure to radiation, wherein the component (A) includes a structural unit (a | 03-12-2009 |
20090068589 | MULTI-TONE RESIST COMPOSITIONS - Multi-tone resists can enhance the resolution limit of a lithographic process by advantageously using the changeable solubility of a resist composition as a function of lithographic radiation dosage. By imaging a multi-tone resist with different doses of lithographic radiation in a selected pattern, the pattern can be imparted to the resist upon subsequent development of the resist. In some aspects, a resist composition is utilized having an aliphatic polymer (e.g., a copolymer with fluoropolymer units and/or methacrylate units) with acid labile groups and a plurality of crosslinkable groups that can be crosslinked to other portions of the aliphatic polymer. Other components such as base generators and/or crosslinking agents can also be included. Such compositions can be useful in extending the resolution of UV lithographic radiation processes (e.g., wavelengths less than 200 nm). Other aspects of such compositions and methods are also discussed. | 03-12-2009 |
20090068590 | POLYMER COMPOUND, POSITIVE RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN - There is provided a positive resist composition including a resin component (A) which displays increased solubility in an alkali developing solution under action of acid, and an acid generator component (B) which generates an acid upon exposure, wherein the resin component (A) includes a polymer compound (A1) containing a structural unit (a0) represented by a general formula (a0-1) shown below, and a structural unit (a1) derived from an acrylate ester which has an acid dissociable, dissolution inhibiting group: | 03-12-2009 |
20090068591 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, NOVEL COMPOUND AND METHOD OF PRODUCING THE SAME, AND ACID GENERATOR - A compound represented by formula (I); and a compound represented by formula (b1-1): | 03-12-2009 |
20090068592 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits increased alkali solubility under action of acid and an acid-generator component (B) which generates acid upon exposure,
| 03-12-2009 |
20090075202 | PHOTOSENSITIVE COMPOSITION, COMPOUND FOR USE IN THE PHOTOSENSITIVE COMPOSITION, AND METHOD OF PATTERN FORMATION WITH THE PHOTOSENSITIVE COMPOSITION - A compound which generates a sulfonic acid having one or more —SO | 03-19-2009 |
20090075203 | PHOTORESIST COMPOSITIONS COMPRISING DIAMONDOID DERIVATIVES - Novel positive-working photoresist compositions are disclosed. The monomers of the base resin of the resist contain diamondoid-containing pendant groups higher than adamantane in the polymantane series; for example, diamantane, triamantane, tetramantane, pentamantane, hexamantane, etc. The diamondoid-containing pendant group may have hydrophilic-enhancing substituents such as a hydroxyl group, and may contain a lactone group. Advantages of the present compositions include enhanced resolution, sensitivity, and adhesion to the substrate. | 03-19-2009 |
20090075204 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits increased alkali solubility under action of acid and an acid-generator component (B) which generates acid upon exposure,
| 03-19-2009 |
20090081586 | PROCESS FOR PRODUCING RADIATION-SENSITIVE RESIN COMPOSITION - A process for producing a radiation-sensitive resin composition includes the steps of providing a filter apparatus equipped with a filter composed of a polyamide resin filter and a polyethylene resin filter connected in series, circulating a precursor composition for the radiation-sensitive resin composition in the filter apparatus so that the precursor composition is passed through the filter two or more times to thereby effect filtration with the result that foreign matter is removed from the precursor composition. | 03-26-2009 |
20090081587 | PHOTOSENSITIVE COMPOUND AND PHOTORESIST COMPOSITION INCLUDING THE SAME - A photosensitive compound whose size is smaller than conventional polymer for photoresist, and which has well-defined (uniform) structure, and a photoresist composition including the same are disclosed. The photosensitive compound represented by the following formula 1. Also, the photoresist composition comprises 1 to 85 wt % (weight %) of the photosensitive compound; 0.05 to 15 weight parts of a photo-acid generator with respect to 100 weight parts of the photosensitive compound; and 200 to 5000 weight parts of an organic solvent. | 03-26-2009 |
20090081588 | RESIST COMPOSITION AND PATTERNING PROCESS - A resist composition comprises a base polymer which changes its alkali solubility under the action of an acid, and an additive copolymer comprising recurring units (a) and (b). R | 03-26-2009 |
20090087786 | PATTERNING PROCESS AND RESIST COMPOSITION USED THEREIN - A pattern is formed by applying a first positive resist composition onto a substrate, heat treatment, exposure, heat treatment and development to form a first resist pattern, the first positive resist composition comprising a polymer having copolymerized recurring units having naphthol and recurring units with an alkaline solubility that increases under the action of acid; causing the first resist coating to crosslink and cure by irradiation of high-energy radiation of sub-200 nm wavelength; further applying a second positive resist composition onto the substrate, heat treatment, exposure, heat treatment and development to form a second resist pattern. The double patterning process reduces the pitch between patterns to one half. | 04-02-2009 |
20090092924 | METHOD OF PRODUCING POSITIVE RESIST COMPOSITION, POSITIVE RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN - A method of forming a positive resist composition of the present invention includes a step (I) of passing a positive resist composition, which is obtained by dissolving a resin component (A) that displays increased alkali solubility under the action of acid and an acid generator component (B) that generates acid upon exposure in an organic solvent (S), through a filter (f | 04-09-2009 |
20090098483 | POSITIVE RESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN - A positive resist composition and method for forming a resist pattern are provided which enable a resist pattern with excellent shape to be obtained. The resist composition includes a resin component (A) which exhibits increased alkali solubility under action of acid, an acid-generator component (B) which generates acid upon irradiation and an organic solvent (S) in which the components (A) and (B) are dissolved, the resin component (A) including a copolymer (A1) containing: a structural unit (a1) having an acetal-type protected group, a structural unit (a2) derived from an acrylate ester having a lactone-containing polycyclic group, which is represented by general formula (a2-1) shown below; and a structural unit (a3) derived from an acrylate ester having a polar group-containing aliphatic hydrocarbon group: | 04-16-2009 |
20090098484 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid, an acid-generator component (B) which generates acid upon exposure, and a nitrogen-containing organic compound (D1) having a molecular weight of 200 or more, which is represented by general formula (d1) shown below (wherein each of R | 04-16-2009 |
20090098485 | POSITIVE RESIST COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - A positive resist composition, which comprises: (A) a resin having a monocyclic or polycyclic alicyclic hydrocarbon structure, of which solubility in an alkali developer increases under an action of an acid; (B) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (C) a resin having a repeating unit represented by formula (C) as defined in the specification; and (D) a solvent, wherein a content of the resin as the component (C) is from 0.1 to 20 mass % based on a solid content of the positive resist composition, and a pattern forming method using the same. | 04-16-2009 |
20090104562 | PHOTOPOLYMERIZABLE COMPOSITION - A composition that is photopolymerizable upon absorption of light and/or heat, the composition including a binder, a polymerizable compound, a sensitizer, and a photoinitiator, characterized in that the composition includes, with respect to its non-volatile compounds, at least about 0.01 wt.-% of a polythiol compound and has a very high sensitivity and hardness. | 04-23-2009 |
20090104563 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, NOVEL COMPOUND, AND ACID GENERATOR - There is provided a compound represented by a general formula (B1-1) shown below, an acid generator composed of the above compound, a resist composition containing an acid generator composed of the above compound, and a method of forming a resist pattern: | 04-23-2009 |
20090111051 | NEGATIVE-WORKING IMAGEABLE ELEMENTS AND METHODS OF USE - A negative-working imageable composition and element includes an initiator composition, an infrared radiation absorbing compound, a polymeric binder, and a stabilizing composition. The imaged element can be developed on-press and exhibits improved shelf-life under high humidity conditions. The stabilizing composition comprising at least one compound represented by Structure (ST-I) and at least one compound represented by Structure (ST-II): | 04-30-2009 |
20090117490 | POSITIVE RESIST COMPOSITION FOR IMMERSION LITHOGRAPHY AND METHOD FOR FORMING RESIST PATTERN - A positive resist composition for immersion lithography of the present invention includes a resin component (A) which exhibits increased alkali solubility under the action of acid; and an acid generator component (B) which generates acid on exposure, wherein the resin component (A) includes a cyclic main chain resin (A1) containing a fluorine atom and no acid-dissociable group, and a resin (A2) containing a structural unit (a) derived from an acrylic acid and no fluorine atom. | 05-07-2009 |
20090130597 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, NOVEL COMPOUND, AND ACID GENERATOR - A compound represented by general formula (I); and a compound represented by general formula (b1-1). | 05-21-2009 |
20090136872 | PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR PREPARING THE SAME, AND DRY FILM RESIST COMPRISING THE SAME - The present invention relates to a photosensitive resin composition, a preparation method thereof, and a dry film resist comprising the same. More particularly, the photosensitive resin composition of the present invention is directed to a photosensitive resin composition including a) an alkali-soluble acrylate resin, b) a cross-linking monomer having at least two ethylenic double bonds, and c) a phosphinoxide based photopolymerization initiator and an acridon based photopolymerization initiator. | 05-28-2009 |
20090142698 | NEGATIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A negative resist composition that includes an alkali-soluble resin component, an acid generator component that generates acid upon exposure, and a cross-linker component, wherein the alkali-soluble resin component is a copolymer that includes a structural unit containing an aliphatic cyclic group having a fluorinated hydroxyalkyl group, and a structural unit derived from an acrylate ester that contains a hydroxyl group-containing aliphatic cyclic group, and the cross-linker component includes an alkylene urea-based cross-linker. | 06-04-2009 |
20090155719 | Aromatic (meth)acrylate compound having an alpha-hydroxy, photosensitive polymer, resist compositions, and associated methods - An aromatic (meth)acrylate compound having an α-hydroxy, the aromatic (meth)acrylate compound being represented by the following Formula 1: | 06-18-2009 |
20090155720 | Photosensitive polymer, resist composition, and associated methods - A photosensitive polymer, the photosensitive polymer including repeating units represented by Formulae 1 to 3: | 06-18-2009 |
20090162784 | POLYMER COMPOUND, POSITIVE RESIST COMPOSITION AND RESIST PATTERN FORMING METHOD - The present invention relates to a polymer compound comprising at least one constituent unit (a0) selected from the group consisting of constituent units represented by the following general formulas (A0-1), (A0-2), (A0-3) and (A0-4) [wherein R represents a hydrogen atom or a lower alkyl group], and a constituent unit (a1) derived from an (a-lower alkyl)acrylate ester having an acid dissociable dissolution inhibiting group. | 06-25-2009 |
20090162785 | POLYMER COMPOUND, NEGATIVE RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN - There are provided a polymer compound which can form a resist pattern with excellent resolution, and a negative resist composition containing the polymer compound and a resist pattern-forming method thereof. | 06-25-2009 |
20090162786 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition of the present invention includes a resin component (A) which displays increased alkali solubility under the action of an acid, and an acid generator component (B) which generates an acid upon exposure, wherein the resin component (A) includes a structural unit (a0) containing a carboxyl group, and at least one structural unit (a1) selected from the group consisting of a structural unit represented by a general formula (a1-2) and a structural unit represented by a general formula (a1-4) shown below: | 06-25-2009 |
20090162787 | NOVEL COMPOUND, ACID GENERATOR, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - There are provided a compound represented by a general formula (b1-1) shown below suitable as an acid generator for a resist composition, a compound represented by a general formula (I) shown below suitable as a precursor for the compound represented by the general formula (b1-1), an acid generator, a resist composition, and a method of forming a resist pattern. | 06-25-2009 |
20090162788 | NOVEL COMPOUND AND METHOD OF PRODUCING THE SAME, ACID GENERATOR, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the acid-generator component (B) including an acid generator (B1) consisting of a compound represented by general formula (b1-1) shown below: | 06-25-2009 |
20090170029 | (Meth)acrylate compound having aromatic acid labile group, photosensitive polymer, resist composition, and associated methods - A (meth)acrylate compound having an aromatic acid-labile group, the (meth)acrylate compound being represented by the following Formula 1: | 07-02-2009 |
20090186300 | RESIST COMPOSITION FOR LIQUID IMMERSION LITHOGRAPHY, METHOD OF FORMING RESIST PATTERN, AND FLUORINE-CONTAINING COPOLYMER - A resist composition for immersion exposure including a base component (A) that exhibits changed solubility in an alkali developing solution under the action of acid, an acid generator component (B) that generates acid upon exposure, and a fluorine-containing copolymer (C) containing a structural unit (c1) represented by general formula (c1-1) shown below. In the formula, R | 07-23-2009 |
20090202943 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition comprises a polymer comprising recurring units having a sulfonium salt incorporated therein as a base resin which becomes soluble in alkaline developer under the action of acid. The polymer generates a strong sulfonic acid upon exposure to high-energy radiation so as to facilitate effective scission of acid labile groups in the resist composition. | 08-13-2009 |
20090208871 | NOVEL COMPOUND AND METHOD OF PRODUCING SAME, ACID GENERATOR, RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component (A) that exhibits changed solubility in an alkali developing solution under the action of acid, and an acid generator component (B) that generates acid upon exposure, wherein the acid generator component (B) includes an acid generator (B1) consisting of a compound represented by general formula (b1-2) shown below: | 08-20-2009 |
20090214982 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - There is provided a positive resist composition, including a base component (A) which exhibits increased solubility in an alkali developing solution under action of an acid, and an acid generator component (B) which generates an acid upon exposure, wherein the base component (A) includes a polymeric compound (A1) containing a structural unit (a0) represented by the general formula (a0-1) shown below: | 08-27-2009 |
20090220887 | Molecular Resists Based On Functionalized Polycarbocycles - The present invention refers to new organic molecules, derived from the class of polycarbocycle derivatives, and their application as components of photoresists, and in particular as components of photoresist compositions where no polymer is comprised as one of the photoresists components. In these photoresist formulations the new molecule(s) is/are the main component(s) (i.e. percentage higher than 50% w/w). | 09-03-2009 |
20090220888 | Dyed photoresists and methods and articles of manufacture comprising same - The present invention provides new photoresist compositions that comprise a resin binder, a photoactive component, particularly an acid generator, and a dye material that contains one or more chromophores that can reduce undesired reflections of exposure radiation. Preferred dye compounds are polymeric materials that include one or more chromophores such as anthracene and other polycyclic moieties that effectively absorb deep UV exposure radiation. | 09-03-2009 |
20090233230 | Photosensitive Resin Composition and Laminates - The present invention provides a photosensitive resin composition characterized by comprising: (a) 20 to 90% by mass of a thermoplastic copolymer comprising an α,β-unsaturated carboxyl group containing monomer as a copolymerization constituent and having an acid equivalent of 100 to 600 and a weight-average molecular weight of 5000 to 500000; (b) 5 to 75% by mass of an addition polymerizable monomer having at least one terminal ethylenic unsaturated group; (c) 0.01 to 30% by mass of a photopolymerization initiator containing a hexaarylbisimidazole; and (d) 0.001 to 10% by mass of a pyrazoline compound represented by the following general formula (I): | 09-17-2009 |
20090233231 | PHOTOSENSITIVE RESIN COMPOSITION - A photosensitive resin composition of the present invention includes an acid group-containing vinyl ester resin and a photopolymerization initiator as essential components, wherein the acid group-containing vinyl ester resin is an acid group-containing vinyl ester resin having a multiple-branched molecular structure obtained by the following steps where a polybasic anhydride (a3) is reacted with an epoxy vinyl ester resin (v1) that is a reaction product of an aromatic epoxy resin (a1) and a radically-polymerizable unsaturated-double bond-containing monocarboxylic acid (a2); a radically-polymerizable unsaturated-double bond-containing monoepoxy compound (a4) is subsequently reacted with an acid group formed by the reaction; and a polybasic anhydride (a3) is subsequently reacted with a secondary hydroxyl group formed by the reaction of the compound (a4) with the acid group, thereby obtaining the acid group-containing vinyl ester resin having a multiple-branched molecular structure; and contains 1.75 to 3.5 radically-polymerizable unsaturated double bonds per aromatic ring in the acid group-containing vinyl ester resin, and the acid groups within a range where the acid value of the acid group-containing vinyl ester resin reaches 30 to 150 mg KOH/g. According to the present invention, a resin composition for a resist ink that simultaneously has ultrahigh sensitivity, excellent developability, and broad heat control range can be provided. | 09-17-2009 |
20090233232 | PHOTOSENSITIVE COMPOUND, PHOTOSENSITIVE COMPOSITION, RESIST PATTERN FORMING METHOD, AND DEVICE PRODUCTION PROCESS - A photosensitive compound has two or more structural units, in a molecule, represented by the following general formula (1): | 09-17-2009 |
20090239176 | RESIN FOR HYDROPHOBITIZING RESIST SURFACE, METHOD FOR MANUFACTURING THE RESIN, AND POSITIVE RESIST COMPOSITION CONTAINING THE RESIN - A resin to be added to a resist composition and locally distributed on a resist film surface to hydrophobitize the resist film surface includes a residual monomer in an amount of 1 mass % or less to the resin as a whole in terms of solid content. | 09-24-2009 |
20090246694 | NOVEL PHOTOACID GENERATOR, RESIST COMPOSITION, AND PATTERNING PROCESS - Photoacid generators generate sulfonic acids of formula ( | 10-01-2009 |
20090253073 | Light-Sensitive Component for Use in Photoresists - A compound of the formula (I) | 10-08-2009 |
20090253074 | FLUORINATED POLYMERS FOR USE IN IMMERSION LITHOGRAPHY - The present invention relates to partially fluorinated (meth)acrylic polymers that can be blended with other (meth)acrylic polymers to provide enhanced surface properties. | 10-08-2009 |
20090253075 | POSITIVE RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure,
| 10-08-2009 |
20090269701 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the resin component (A) including a structural unit (a0) represented by general formula (a0) (wherein R represents a hydrogen atom, a lower alkyl group of 1 to 5 carbon atoms or a halogenated lower alkyl group of 1 to 5 carbon atoms; Q represents a divalent linking group containing a nitrogen atom or an oxygen atom; R | 10-29-2009 |
20090274978 | NOVEL PHOTOACID GENERATOR, RESIST COMPOSITION, AND PATTERNING PROCESS - Photoacid generators generate sulfonic acids of formula (1a) or (1b) upon exposure to high-energy radiation. | 11-05-2009 |
20090280435 | Antireflective coating composition - The invention relates to an antireflective coating composition comprising a polymer, a crosslinker and a thermal acid generator, where the polymer comprises at least one unit of structure (1), at least one unit of structure (2) and at least one structure of structure (3), | 11-12-2009 |
20090286182 | RESIST PROTECTIVE COATING COMPOSITION AND PATTERNING PROCESS - A protective coating composition comprising a copolymer of an alkali-soluble (α-trifluoromethyl)acrylate and a norbornene derivative as a base polymer, optionally in admixture with a second polymer containing sulfonic acid and/or sulfonic acid amine salt in repeat units is applied onto a resist film. The protective coating is effective in minimizing development defects and forming a resist pattern of improved profile. | 11-19-2009 |
20100040977 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes a resin that includes a repeating unit shown by the following formula (1) and a solvent. The radiation-sensitive resin composition has an excellent performance as a radiation-sensitive acid generator, includes a resin that adversely affects the environment and a human body to only a small extent, and can form a resist film that has a high resolution and forms an excellent resist pattern. | 02-18-2010 |
20100062368 | LOW OUTGASSING PHOTORESIST COMPOSITIONS - Polymers for use in photoresist compositions include a repeat unit having a formula of: | 03-11-2010 |
20100062369 | Positive resist composition, method of forming resist pattern, and polymeric compound - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1) (R | 03-11-2010 |
20100062370 | MATERIALS FOR LITHOGRAPHIC PLATES COATINGS, LITHOGRAPHIC PLATES AND COATINGS CONTAINING SAME, METHODS OF PREPARATION AND USE - This invention relates to iodonium salts, acetal copolymers and polymer binders comprising functional groups capable of undergoing cationic or radical polymerization, their method of preparation and their use in the preparation of coating solutions and coatings. This invention also relates to coatings containing the iodonium salts, acetal copolymers and/or polymer binders and to negative working lithographic printing plates comprising these coatings. | 03-11-2010 |
20100081088 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, COMPOUND AND ACID GENERATOR INCLUDING THE SAME - A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under the action of acid and an acid-generator component (B) which generates acid upon exposure, wherein the acid-generator component (B) includes an acid generator (B1) composed of a compound having a base dissociable group within a cation moiety. | 04-01-2010 |
20100099044 | Method for forming resist underlayer film, patterning process using the same, and composition for the resist underlayer film - There is disclosed a method for forming a resist underlayer film of a multilayer resist film having at least three layers used in a lithography, comprising at least; a step of coating a composition for resist underlayer film containing a novolak resin represented by the following general formula (1) obtained by treating a compound having a bisnaphthol group on a substrate; and a step of curing the coated composition for the resist underlayer film by a heat treatment at a temperature above 300° C. and 600° C. or lower for 10 to 600 seconds. There can be provided a method for forming a resist underlayer film, and a patterning process using the method to form a resist underlayer film in a multilayer resist film having at least three layers used in a lithography, gives a resist underlayer film having a lowered reflectance, a high etching resistance, and a high heat and solvent resistances, especially without wiggling during substrate etching. | 04-22-2010 |
20100112479 | Photopolymerization initiator containing unsaturated double bond and oxime ester group and photosensitive resin composition comprising the same - A photopolymerization initiator is provided. The photopolymerization initiator contains at least one unsaturated double bond and at least one oxime ester group in the molecule. The photopolymerization initiator comprises a compound represented by Formula 1 or 2: | 05-06-2010 |
20100143843 | PHOTOACID GENERATOR, COPOLYMER, CHEMICALLY AMPLIFIED RESIST COMPOSITION, AND METHOD OF FORMING PATTERN USING THE CHEMICALLY AMPLIFIED RESIST COMPOSITION - Disclosed are a photoacid generator, a copolymer, a chemically amplified resist composition, and a method of forming a pattern using the chemically amplified resist composition. The photoacid is connected with a main chain of the copolymer, whereby the photoacid is equally dispersed within a resist layer, and characteristics of line edge roughness of a resist pattern is improved. | 06-10-2010 |
20100143844 | Resist composition for immersion exposure, method of forming resist pattern, and fluorine-containing resin - A resist composition for immersion exposure including: a base component (A) which exhibits changed solubility in an alkali developing solution under the action of acid; an acid-generator component (B) which generates acid upon exposure; and a fluorine-containing resin component (F); dissolved in an organic solvent (S), the fluorine-containing resin component (F) including a structural unit (f1) containing a fluorine atom, a structural unit (f2) containing a hydrophilic group-containing aliphatic hydrocarbon group, and a structural unit (f3) derived from an acrylate ester containing a tertiary alkyl group-containing group or an alkoxyalkyl group. | 06-10-2010 |
20100143845 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a base material component (A) that exhibits increased solubility in an alkali developing solution under action of an acid; and an acid generator component (B) that generates an acid upon exposure, wherein the base material component (A) includes a polymeric compound (A1) having a structural unit (a10) derived from hydroxystyrene and a structural unit (a11) represented by general formula (a11-1) shown below: | 06-10-2010 |
20100151387 | HIGH RESOLUTION, SOLVENT RESISTANT, THIN ELASTOMERIC PRINTING PLATES - The present invention relates a printing element comprising at least one polymer layer which has photoimageable constituents and additions to make the polymer layer either hydrophobic or hydrophilic. The printing element may have two polymer layers on a substrate in which one of the layers comprises fluorinated acrylates or methacrylates. | 06-17-2010 |
20100151388 | (Meth)acrylate compound, photosensitive polymer, and resist composition including the same - A (meth)acrylate compound having a nitrogen-containing cyclic group, a photosensitive polymer, and a resist composition including the same, the (meth)acrylate compound being represented by the following Chemical Formula 1: | 06-17-2010 |
20100159389 | RESIN, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base resin component (A) and an acid-generator component (B) which generates acid upon exposure, the component (A) including a resin (A1) which has a structural unit (a0) represented by general formula (a-0) shown below: | 06-24-2010 |
20100159390 | RADIATION-SENSITIVE COMPOSITIONS AND ELEMENTS CONTAINING POLY(VINYL HYDROXYARYL CARBOXYLIC ACID ESTER)S - A radiation-sensitive composition can be used to prepare positive-working imageable elements having improved sensitivity and solvent resistance. These elements are useful for making lithographic printing plates and printed circuit boards. The composition includes a water-insoluble polymeric binder that has at least 20 mol % of recurring units comprising hydroxyaryl carboxylic acid ester groups, some of which can be substituted with cyclic imide moieties. | 06-24-2010 |
20100159391 | PHOTOSENSITIVE PASTE AND PROCESS FOR PRODUCTION OF PATTERN USING THE SAME - Disclosed is a photosensitive paste comprising a polymerizable monomer and a photopolymerization initiator, wherein the glass transition temperature of the polymerizable monomer is −10° C. or less. The present paste may be used for creating a fine pattern and prevents residue from remaining in the area where paste should be removed. | 06-24-2010 |
20100183981 | Positive resist composition and method of forming resist pattern - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1), a structural unit (a1) derived from an acrylate ester containing an acid dissociable, dissolution inhibiting group, and a structural unit (a3) derived from an acrylate ester containing a hydroxy group-containing aliphatic hydrocarbon group represented by general formula (a3-1), and the amount of the structural unit (a3) based on the combined total of all structural units constituting the polymeric compound (A1) being in the range of 1 to 30 mol %. | 07-22-2010 |
20100196823 | POSITIVE RESIST COMPOSITION FOR IMMERSION EXPOSURE AND METHOD OF FORMING RESIST PATTERN - A positive resist composition for immersion exposure including a resin component (A) which has acid dissociable, dissolution inhibiting groups and exhibits increased alkali solubility under action of acid and an acid-generator component (B) which generates acid upon exposure, the resin component (A) including a cyclic principal chain polymer (A | 08-05-2010 |
20100196824 | ALKALI-SOLUBLE RESIN AND NEGATIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION COMPRISING THE SAME - An alkali-soluble resin is provided. The alkali-soluble resin is prepared using a polyfunctional thiol compound as a chain transfer agent. The alkali-soluble resin has a lower viscosity than a resin having the same molecular weight. Further provided is a negative-type photosensitive resin composition comprising the alkali-soluble resin as a binder resin. The use of the alkali-soluble resin lowers the overall viscosity of the photosensitive resin composition to effectively reduce the height of a stepped portion of a photoresist pattern using a small amount of the photosensitive resin composition. | 08-05-2010 |
20100203450 | PHOTORESIST COMPOSITIONS AND METHODS OF USE - A photoresist composition comprises a polymer capable of radiation induced main chain scission and acid-catalyzed deprotection, wherein the polymer is derived by free radical polymerization of two or more monomers, each having an alpha-substituent on a polymerizable vinyl group; and a photochemical acid generator. | 08-12-2010 |
20100203451 | POSITIVE RESIST COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - Provided is a positive resist composition using a resin having, in the polymer main chain, a specific acid decomposable structure and further having, in the side chain thereof, several specific acid decomposable groups, satisfactory in an exposure latitude, a focus latitude, and pattern collapse prevention at a high level, and having reduced development defects; and a pattern forming method. | 08-12-2010 |
20100209848 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - A polymeric compound (A1) includes a structural unit (a0-1) represented by general formula (a0-1), a structural unit (a0-2) represented by general formula (a0-2), and a structural unit (a1-0-1) represented by general formula (a1-0-1), wherein relative to the combined total of all the structural units, the proportion of the structural unit (a0-1) is from 10 to 40 mol %, the proportion of the structural unit (a0-2) is from 5 to 20 mol %, and the proportion of the structural unit (a1-0-1) is from 10 to 55 mol %. [In the formulas, each of R | 08-19-2010 |
20100209849 | PATTERN FORMING PROCESS AND RESIST-MODIFYING COMPOSITION - A patterning process includes (1) coating and baking a first positive resist composition to form a first resist film, exposing, post-exposure baking, and alkali developing to form a first resist pattern, (2) applying a resist-modifying composition to the first resist pattern and heating to modify the first resist pattern, (3) coating and baking a second positive resist composition to form a second resist film, exposing, post-exposure baking, and alkali developing to form a second resist pattern. The modified first resist film has a contact angle with pure water of 50°-85°. | 08-19-2010 |
20100216071 | ULTRA LOW POST EXPOSURE BAKE PHOTORESIST MATERIALS - Polymers comprising a first methacrylate monomer having a pendent spacer between the polymer backbone and an acid-liable acetal group, a second methacrylate monomer having a pendent group including a fluorinated alkyl group and a third methacrylate monomer having a pendent hydrocarbon group. Photoresist formulations include the polymers, a photoacid generator and a casting solvent. Methods of patterning photoresist films formed from the photoresist formulations are characterized by post-exposure bakes at temperatures of about 60° C. or less. | 08-26-2010 |
20100216072 | POSITIVE PHOTOSENSITIVE COMPOSITION, PATTERN FORMING METHOD USING THE COMPOSITION AND RESIN FOR USE IN THE COMPOSITION - A positive photosensitive composition ensuring wide exposure latitude and reduced line edge roughness not only in normal exposure (dry exposure) but also in immersion exposure, a pattern forming method using the positive photosensitive composition, and a novel resin contained in the positive photosensitive composition are provided, which are a positive photosensitive composition comprising (A) a resin having a specific lactone structure in the side chain and being capable of increasing the solubility in an alkali developer by the action of an acid and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, a pattern forming method using the positive photosensitive composition, and a novel resin contained in the positive photosensitive composition. | 08-26-2010 |
20100216073 | PHOTOSENSITIVE RESIN COMPOSITION - Disclosed is a photosensitive resin composition suitable for use in a transflective liquid crystal display (LCD). The photosensitive resin composition uses, as an alkali-soluble binder resin, a blend of two kinds of binder resins. The first binder resin has a weight average molecular weight greater than or equal to 1,000 but lower than 20,000 and contains no reactive group. The second binder resin has a weight average molecular weight greater than or equal to 20,000 but lower than 80,000 and contains reactive groups. The photosensitive resin composition has good adhesion to an underlying substrate while forming a high resolution fine pattern. | 08-26-2010 |
20100227274 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition comprising as a base resin a polymer having carboxyl groups whose hydrogen is substituted by an acid labile group of fluorene structure exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a good pattern profile and minimal LER after exposure, a significant effect of suppressing acid diffusion rate, and improved etching resistance. | 09-09-2010 |
20100233623 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including:
| 09-16-2010 |
20100233624 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the component (A) including a polymeric compound (A1) having an acid dissociable, dissolution inhibiting group in the structure thereof and including a structural unit (a0) having an —SO | 09-16-2010 |
20100233625 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under the action of acid, and an acid-generator component (B) which generates acid upon exposure, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) having an aromatic group, a structural unit (a5) represented by general formula (a5-1) shown below, and a structural unit (a1) containing an acid-dissociable, dissolution-inhibiting group. In the formula (a5-1), R | 09-16-2010 |
20100233626 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a polymeric compound (A1) having a structural unit (a0) represented by general formula (a0-1) (R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms, R | 09-16-2010 |
20100248144 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN - The positive resist composition including a base material component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid generator component (B) which generates acid upon exposure,
| 09-30-2010 |
20100255420 | RADIATION SENSITIVE RESIN COMPOSITION AND POLYMER - A radiation-sensitive resin composition includes a polymer, an acid-labile group-containing resin, a radiation-sensitive acid generator, and a solvent, the polymer including repeating units shown by following general formulas (1) and (2). | 10-07-2010 |
20100261117 | POSITIVE PHOTOSENSITIVE COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive photosensitive composition comprises: (A) 5 to 20 parts by weight of the total amount of at least one compound that generates an acid upon irradiation with an actinic ray; and (B) 100 parts by weight of the total amount of at least one fluorine atom-containing resin having a group that increases a solubility of the resin in an alkaline developer by the action of an acid. | 10-14-2010 |
20100266954 | ADAMANTANE DERIVATIVE, METHOD FOR PRODUCING THE SAME, AND CURING COMPOSITION CONTAINING ADAMANTANE DERIVATIVE - An adamantane derivative capable of affording a cured product which is excellent in optical characteristics such as transparency and light resistance, durability such as long-term heat resistance, and electrical characteristics such as dielectric constant, a process for producing such an adamantane derivative, and a curable composition containing such an adamantane derivative, the adamantane derivative being represented by the general formula (I) shown below and having a group selected from an acrylate group, a methacrylate group and a trifluoromethacrylate group, | 10-21-2010 |
20100266955 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the component (A) including a polymeric compound (A1) having a structural unit (a0) represented by general formula (a0-1) (wherein R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms; R | 10-21-2010 |
20100266956 | POSITIVE-TYPE PHOTOSENSITIVE COMPOSITION, TRANSPARENT CONDUCTIVE FILM, DISPLAY ELEMENT AND INTEGRATED SOLAR BATTERY - A positive-type photosensitive composition including an alkali-soluble polymer formed through copolymerization of monomer (A) represented by the following General Formula (I) and other radical polymerizable monomer (B), a 1,2-quinonediazide compound, and a nanowire structure: | 10-21-2010 |
20100266957 | RESIST COMPOSITION AND PATTERNING PROCESS - An additive polymer comprising recurring units of formula (1) is added to a resist composition comprising a base resin, a photoacid generator, and an organic solvent. R | 10-21-2010 |
20100291484 | Negative resist composition, patterning process, and testing process and preparation process of negative resist composition - There is disclosed a negative resist composition comprising at least (A) a base resin that is alkaline-soluble and is made alkaline-insoluble by action of an acid, and/or a combination of a base resin that is alkaline-soluble and is made alkaline-insoluble by reaction with a crosslinker by action of an acid, with a crosslinker, (B) an acid generator, and (C) a compound containing a nitrogen as a basic component, and forming a resist film having the film thickness X (nm) of 50 to 100 nm, wherein, in the case that the resist film is formed from the negative resist composition under the film-forming conditions for the pattern formation, a dissolution rate of the resist film into the alkaline developer used in the development treatment for the pattern formation is 0.0333X−1.0 (nm/second) or more and 0.0667X−1.6 (nm/second) or less. There can be a negative resist composition having excellent etching resistance and resolution and giving a good pattern profile even at the substrate's interface, a patterning process using the same, and a testing process and a preparation process of this negative resist composition. | 11-18-2010 |
20100297558 | PHOTOPOLYMERIZABLE FLEXOGRAPHIC PRINTING ELEMENTS AND HARD FLEXOGRAPHIC PRINTING FORMES WHICH ARE PRODUCED THEREFROM - The invention relates to photopolymerizable flexographic printing elements which contain ethylenically unsaturated, alicyclic monomers and hard flexographic printing plates, in particular cylindrical continuous seamless flexographic printing plates, which can be produced therefrom. | 11-25-2010 |
20100297559 | PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE RESIN LAMINATE, METHOD FOR FORMING RESIST PATTERN AND PROCESS FOR PRODUCING PRINTED CIRCUIT BOARD, LEAD FRAME, SEMICONDUCTOR PACKAGE AND CONCAVOCONVEX BOARD - [Purpose] To provide a photosensitive resin composition having satisfactory compatibility during dry film formation, exhibiting similar sensitivity for exposure with both i-line radiation and h-line radiation type exposure devices, having excellent resolution and adhesiveness, allowing development with aqueous alkali solutions, and preferably, having no generation of aggregates during development. | 11-25-2010 |
20100304301 | Negative resist composition and patterning process using the same - There is disclosed a negative resist composition comprising (A) a base polymer which is soluble in alkali and which is insolubilized in alkali by an action of an acid; and/or a combination of a crosslinking agent and a base polymer which is soluble in alkali and which is reacted with the crosslinking agent by an action of an acid to thereby be insolubilized in alkali, (B) an acid generator, and (C) a nitrogen-containing compound as a basic component; wherein the polymer to be used as the base polymer is: a polymer, which is obtained by polymerizing two or more kinds of monomers represented by the following general formula (1), or which is obtained by polymerizing a monomer mixture containing one or more kinds of monomers represented by the general formula (1) and one or more kinds of styrene monomers represented by the following general formula (2). | 12-02-2010 |
20100304302 | CHEMICALLY AMPLIFIED RESIST COMPOSITION AND PATTERN FORMING PROCESS - A chemically amplified resist composition comprises a polymer comprising units having polarity to impart adhesion and acid labile units adapted to turn alkali soluble under the action of acid. The polymer comprises recurring units having formula (1) wherein R | 12-02-2010 |
20100316955 | CHEMICALLY AMPLIFIED POSITIVE PHOTORESIST COMPOSITION AND PATTERN FORMING PROCESS - A polymer comprising a high proportion of aromatic ring structure-containing units and containing an aromatic sulfonic acid sulfonium salt on a side chain is used to form a chemically amplified positive photoresist composition which is effective in forming a resist pattern having high etch resistance. The polymer overcomes the problems of dissolution in solvents for polymerization and purification and in resist solvents. | 12-16-2010 |
20100323295 | ALKALI-DEVELOPABLE RESINS, METHOD FOR PREPARING THE SAME AND PHOTOSENSITIVE COMPOSITION COMPRISING THE ALKALI-DEVELOPABLE RESINS - The present invention relates to a novel alkali-developable resin, a method of producing the alkali-developable resin, a photosensitive resin composition including the alkali-developable resin, and a device that is manufactured by using the photosensitive composition. In the case of when the alkali-developable resin is used as a component of the photosensitive composition, the photosensitivity, the developability and the film remaining rate of the pattern are improved. | 12-23-2010 |
20110003251 | POSITIVE RESIST COMPOSITION AND PATTERN FORMING PROCESS - The present invention relates to a positive resist composition and to a pattern forming process using the same. The present invention provides: a positive resist composition having an enhanced etching resistance and an excellent resolution and being capable of providing an excellent pattern profile even at a substrate-side boundary face of resist, in photolithography for fine processing, and particularly in lithography adopting, as an exposure source, KrF laser, extreme ultraviolet rays, electron beam, X-rays, or the like; and a pattern forming process utilizing the positive resist composition. | 01-06-2011 |
20110033803 | PATTERNING PROCESS AND RESIST COMPOSITION - A pattern is formed by coating a first positive resist composition comprising a copolymer comprising lactone-containing recurring units, acid labile group-containing recurring units and carbamate-containing recurring units, and a photoacid generator onto a substrate to form a first resist film, patternwise exposure, PEB, and development to form a first resist pattern, heating the first resist pattern for inactivation to acid, coating a second positive resist composition comprising a C | 02-10-2011 |
20110033804 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition comprising a resin which comprises a structural unit derived from a compound having an acid-labile group and a structural unit derived from a compound represented by the formula (a): | 02-10-2011 |
20110039207 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition that includes a base component (A) that exhibits changed solubility in an alkali developing solution under the action of acid, an acid generator component (B) that generates acid upon exposure, and an epoxy resin (G). Also, a method of forming a resist pattern that includes using the above resist composition to form a resist film on the substrate, conducting exposure of the resist film, and alkali-developing the resist film to form a resist pattern. | 02-17-2011 |
20110039208 | PHOTORESIST COMPOSITION CONTAINING THE SAME - The present invention provides a photoresist composition comprising a resin, an acid generator and a compound represented by the formula (C1): | 02-17-2011 |
20110039209 | COMPOUND AND PHOTORESIST COMPOSITION CONTAINING THE SAME - The present invention provides a compound represented by the formula (C1): | 02-17-2011 |
20110053083 | CHEMICALLY AMPLIFIED PHOTORESIST COMPOSITION AND PROCESS FOR ITS USE - Photoresist compositions include a blend of a phenolic polymer with a (meth)acrylate-based copolymer free of ether-containing and/or carboxylic acid-containing moieties. The (meth)acrylate copolymer includes a first monomer selected from the group consisting of an alkyl acrylate, a substituted alkyl acrylate, an alkyl (meth)acrylate, a substituted alkyl methacrylate and mixtures thereof, and a second monomer selected from the group consisting of an acrylate, a (meth)acrylate or a mixture thereof having an acid cleavable ester substituent; and a photoacid generator. Also disclosed are processes for generating a photoresist image on a substrate with the photoresist composition. | 03-03-2011 |
20110053084 | Photosensitive Compound and Photosensitive Composition Including the Same - Disclosed are a photosensitive compound and a method of manufacturing the same. The photosensitive is composed of a naphthoquinonediazide sulfonic ester compound having at least one naphthoquinonediazide sulfoxy group, and having either at least one carboxy group with 1 to 8 carbon atoms or at least one alkoxy group with 1 to 8 carbon atoms, in one molecule. | 03-03-2011 |
20110059399 | POSITIVE-WORKING RADIATION-SENSITIVE IMAGEABLE ELEMENTS - Positive-working imageable elements having improved sensitivity, high resolution, and solvent resistance are prepared using a water-insoluble polymeric binder comprising vinyl acetal recurring units that have pendant hydroxyaryl groups, and recurring units comprising carboxylic acid aryl ester groups that are substituted with a cyclic imide group. These imageable elements can be imaged and developed to provide various types of elements including lithographic printing plates. | 03-10-2011 |
20110070544 | Novel Salt Having Fluorine-Containing Carbanion Structure, Derivative Thereof, Photoacid Generator, Resist Material Using the Photoacid Generator, and Pattern Forming Method - There is provided an acid having a fluorine-containing carbanion structure or a salt having a fluorine-containing carbanion structure, which is represented by the following general formula (1). | 03-24-2011 |
20110076620 | DITHIANE DERIVATIVE, POLYMER, RESIST COMPOSITION, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING SUCH RESIST COMPOSITION - To provide a dithiane derivative, having a structure expressed by the following general formula 1: | 03-31-2011 |
20110086312 | Positive-Working Photoimageable Bottom Antireflective Coating - The present invention relates to a positive bottom photoimageable antireflective coating composition which is capable of being developed in an aqueous alkaline developer, wherein the antireflective coating composition comprises a polymer comprising at least one recurring unit with a chromophore group and one recurring unit with a hydroxyl and/or a carboxyl group, a vinyl ether terminated crosslinking agent of structure (7), and optionally, a photoacid generator and/or an acid and/or a thermal acid generator, where structure (7) is | 04-14-2011 |
20110111345 | SILICON CONTAINING COATING COMPOSITIONS AND METHODS OF USE - Coating compositions include a polymer including: | 05-12-2011 |
20110117499 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN USING THE SAME, AND FLUORINE-CONTAINING POLYMERIC COMPOUND - A fluorine-containing polymeric compound which contains a structural unit (f1) that is decomposable in an alkali developing solution as a block copolymer portion, a base component (A) that exhibits increased solubility in an alkali developing solution under the action of acid, and an acid generator component (B) that generates acid upon exposure. | 05-19-2011 |
20110151381 | FLUORINATED MONOMER, FLUORINATED POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A fluorinated monomer has formula (1) wherein R | 06-23-2011 |
20110171579 | NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS - A negative resist composition comprises a base polymer comprising recurring units having an alkylthio group and having a Mw of 1000-2500, an acid generator, and a basic component, typically an amine compound containing a carboxyl group, but not active hydrogen. A 45-nm line-and-space pattern with a low value of LER can be formed. | 07-14-2011 |
20110171580 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition comprising a polymer having a tetrahydrobenzocycloheptane-substituted secondary or tertiary carboxyl group ester as an acid labile group exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a good pattern profile and minimal edge roughness after exposure, a significant effect of suppressing acid diffusion rate, and improved etching resistance. | 07-14-2011 |
20110177455 | POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A polymer comprising recurring units of formula (1) and having a solubility in alkaline developer which increases under the action of an alkaline developer is provided. The polymer has transparency to radiation of up to 200 nm and improved water repellency, water slip, acid lability and hydrolysis and is useful as an additive polymer to formulate a resist composition. R | 07-21-2011 |
20110200941 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION FOR EB OR EUV LITHOGRAPHY AND PATTERNING PROCESS - A chemically amplified positive resist composition for EB or EUV lithography is provided comprising (A) a polymer or a blend of polymers wherein a film of the polymer or polymer blend is insoluble in alkaline developer, but turns soluble under the action of acid, (B) an acid generator, (C) a basic compound, and (D) a solvent. The basic compound (C) is a polymer comprising recurring units bearing a side chain having a secondary or tertiary amine structure as a basic active site and constitutes a part or the entirety of the polymer or polymers as component (A). | 08-18-2011 |
20110200942 | CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION FOR EB OR EUV LITHOGRAPHY AND PATTERNING PROCESS - A chemically amplified negative resist composition is provided comprising (A) an alkali-soluble polymer, (B) an acid generator, and (C) a nitrogen-containing compound as a basic component, the polymer (A) turning alkali insoluble under the catalysis of acid. A basic polymer having a secondary or tertiary amine structure on a side chain serves as components (A) and (C). Processing the negative resist composition by EB or EUV lithography process may form a fine size resist pattern with advantages including uniform diffusion of base, improved LER, controlled deactivation of acid at the substrate interface, and a reduced degree of undercut. | 08-18-2011 |
20110207051 | Sulfonamide-Containing Topcoat and Photoresist Additive Compositions and Methods of Use - Provided are sulfonamide-containing compositions, topcoat polymers, and additive polymers for use in lithographic processes that have improved static receding water contact angles over those known in the art. The sulfonamide-containing topcoat polymers and additive polymers of the present invention include sulfonamide-substituted repeat units with branched linking group as shown in Formula (I): | 08-25-2011 |
20110207052 | SULFONAMIDE-CONTAINING PHOTORESIST COMPOSITIONS AND METHODS OF USE - Provided are sulfonamide-containing photoresist compositions for use in lithographic processes that have improved properties for high resolution, low blur imaging. Also provided are alcohol-soluble photoresists for resist-on-resist applications. The sulfonamide-containing photoresist compositions of the present invention include positive-tone photoresist compositions that have sulfonamide-substituted repeat units with branched linking group as shown in Formula (I): | 08-25-2011 |
20110236831 | ACETAL COMPOUND, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - The invention provides an acetal compound containing an adamantane ring having an alcoholic hydroxyl group which is protected with an acetal group having a carbonyl moiety of branched structure. A photoresist film comprising a polymer comprising recurring units derived from the acetal compound and an acid generator is characterized by a high dissolution contrast when it is subjected to exposure and organic solvent development to form an image via positive/negative reversal. | 09-29-2011 |
20110262864 | Method of forming resist pattern and negative tone-development resist composition - A method of forming a resist pattern, including: forming a resist film on a substrate using a resist composition containing a base component (A) which exhibits decreased solubility in an organic solvent under action of an acid and an acid-generator component (B) which generates an acid upon exposure, conducting exposure of the resist film, and patterning the resist film by a negative tone development using a developing solution containing an organic solvent, wherein the base component (A) includes a resin component (A1) containing a structural unit (a0) derived from an acrylate ester containing an acid decomposable group which generates an alcoholic hydroxy group by the action of acid to thereby exhibit increased hydrophilicity. | 10-27-2011 |
20110262865 | RADIATION-SENSITIVE RESIN COMPOSITION AND POLYMER - A radiation-sensitive resin composition includes a resin and a photoacid generator. The resin includes a polymer including a first repeating unit shown by a following formula (1) and an acid-dissociable group-containing repeating unit, | 10-27-2011 |
20110287366 | PHOTORESIST COMPOSITION COMPRISING PHOTOINITIATORS, AND TRANSPARENT THIN FILM AND LIQUID CRYSTAL DISPLAY DEVICE USING THE COMPOSITION - A photoresist composition is provided. The photoresist composition comprises two or more kinds of photoinitiators having different activation wavelengths whose difference is at least 20 nm. The photoresist composition has high sensitivity and forms a pattern whose thickness is easy to control depending on the exposure intensity through a slit or transflective mask. Further provided are a transparent thin film formed using the photoresist composition and a liquid crystal display device comprising the thin film. | 11-24-2011 |
20110294070 | MONOMER, POLYMER, CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION, AND PATTERNING PROCESS - A polymer is obtained from a hydroxyphenyl methacrylate monomer having an acid labile group substituted thereon. A positive resist composition comprising the polymer as a base resin has a very high contrast of alkaline dissolution rate before and after exposure, a high resolution, a good profile and minimal line edge roughness of a pattern after exposure, a retarded acid diffusion rate, and good etching resistance. | 12-01-2011 |
20110318690 | COMPOUND, RESIN AND PHOTORESIST COMPOSITION - The present invention provides a compound represented by the formula (I): | 12-29-2011 |
20110318691 | RESIST COMPOSITION FOR SEMICONDUCTOR, AND RESIST FILM AND PATTERN FORMING METHOD USING THE SAME - An embodiment of the composition contains any of compounds of general formula (I) below: | 12-29-2011 |
20120003584 | MATERIALS FOR LITHOGRAPHIC PLATES COATINGS, LITHOGRAPHIC PLATES AND COATINGS CONTAINING SAME, METHODS OF PREPARATION AND USE - This invention relates to iodonium salts, acetal copolymers and polymer binders comprising functional groups capable of undergoing cationic or radical polymerization, their method of preparation and their use in the preparation of coating solutions and coatings. This invention also relates to coatings containing the iodonium salts, acetal copolymers and/or polymer binders and to negative working lithographic printing plates comprising these coatings. | 01-05-2012 |
20120015299 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, NOVEL COMPOUND, AND ACID GENERATOR - A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the acid-generator component (B) including an acid generator (B1) represented by general formula (b1-1) [in the formula, Y | 01-19-2012 |
20120015300 | PHOTOSENSITIVE RESIN COMPOSITION - Disclosed is a photosensitive resin composition which has excellent transparency, heat resistance, thermal discoloration resistance, adhesion to a substrate and electrical characteristics, while exhibiting good developability and storage stability. Specifically disclosed is a photosensitive resin composition which contains the following components (A), (B) and (C). (A) a copolymer which contains (al) hydroxyphenyl (meth)acrylate and (a2) an unsaturated epoxy compound as copolymerization components (B) a novolac resin which contains one or more phenols selected from among dimethylphenol, trimethylphenol, methylpropylphenol, dipropylphenol, butylphenol, methylbutylphenol, dibutylphenol, and 4,4′-dihydroxy-2,2′-diphenylpropane (C) a quinonediazide group-containing compound | 01-19-2012 |
20120015301 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND RESIST FILM AND PATTERN FORMING METHOD USING THE COMPOSITION - Provided is an actinic ray-sensitive or radiation-sensitive resin composition including: (A) a resin capable of increasing the solubility in an alkali developer by the action of an acid, the resin containing (a) a repeating unit represented by the following formula (AN-01), (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, and (C) a resin that contains at least either a fluorine atom or a silicon atom and contains a repeating unit having a group capable of decomposing by the action of an alkali developer to increase the solubility in an alkali developer: | 01-19-2012 |
20120015302 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - An actinic ray-sensitive or radiation-sensitive resin composition includes: (A) a resin capable of increasing a solubility of the resin (A) in an alkali developer by an action of an acid; and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, wherein (B) the compound capable of generating an acid upon irradiation with an actinic ray or radiation is contained in an amount of 10 to 30 mass % based on the entire solid content of the actinic ray-sensitive or radiation-sensitive resin composition, and a pattern forming method uses the composition. | 01-19-2012 |
20120028189 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, POLYMER AND COMPOUND - A radiation-sensitive resin composition includes (A) a fluorine-containing compound that includes a group shown by the following formula (1), and (B) a photoacid generator. | 02-02-2012 |
20120028190 | POLYMER, CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION, AND PATTERNING PROCESS - A polymer is provided comprising recurring units having a N,N′-bis(alkoxymethyl)tetrahydropyrimidinone or N,N′-bis(hydroxymethyl)tetrahydropyrimidinone structure on a side chain. When a chemically amplified negative resist composition is formulated using the polymer and processed by lithography, a fine resist pattern can be formed with the advantages of improved LER and high resolution. | 02-02-2012 |
20120034563 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition contains; a resin having a structural unit derived from a compound represented by the formula (a); and an acid generator represented by the formula (B1). | 02-09-2012 |
20120034564 | POSITIVE RESIST COMPOSITION AND PATTERN FORMING METHOD - A positive photosensitive composition includes:
| 02-09-2012 |
20120058430 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, NOVEL COMPOUND, AND ACID GENERATOR - A positive resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under the action of acid and an acid-generator component (B) which generates acid upon exposure, wherein the acid-generator component (B) includes an acid generator (B1) containing a compound represented by general formula (b1-1) shown below (wherein Z | 03-08-2012 |
20120058431 | POSITIVE PHOTOSENSITIVE COMPOSITION AND METHOD OF FORMING PATTERN USING THE SAME - A positive photosensitive composition includes: a resin (A) whose dissolution rate in an alkaline developing solution increases by the action of an acid, the resin (A) containing an acid decomposable repeating unit represented by a general formula (I) and an acid nondecomposable repeating unit represented by a general formula (II); and a compound (B) capable of generating an acid upon irradiation with one of active rays and radiations: | 03-08-2012 |
20120064459 | Water Repellent Additive for Immersion Resist - Disclosed is a water repellent additive for an immersion resist, which is composed of a fluorine-containing polymer that has a repeating unit represented by general formula (1). By adding the water repellent additive to a resist composition, the resist composition can be controlled to have high water repellency during exposure and to exhibit improved solubility in a developing solution during development. | 03-15-2012 |
20120070783 | RADIATION-SENSITIVE RESIN COMPOSITION, POLYMER, AND METHOD FOR FORMING RESIST PATTERN - A radiation sensitive resin composition capable of forming a photoresist film which has excellent basic resist performances concerning sensitivity, LWR, development defects, etc., gives a satisfactory pattern shape, has an excellent depth of focus, is reduced in the amount of components dissolving in a liquid for immersion exposure which is in contact with the film during immersion exposure, has a large receding contact angle with the liquid for immersion exposure, and is capable of forming a microfine resist pattern with high accuracy. The radiation sensitive resin composition contains (A) a polymer that comprises a repeating unit represented by formula (1) and a repeating unit having a fluorine atom and has an acid dissociable group in the side chain, and (B) a solvent. [In the formula (1), R | 03-22-2012 |
20120077124 | RESIST LOWER LAYER FILM-FORMING COMPOSITION, POLYMER, RESIST LOWER LAYER FILM, PATTERN-FORMING METHOD, AND METHOD OF PRODUCING SEMICONDUCTOR DEVICE - A resist lower layer film-forming composition includes (A) a polymer that includes a cyclic carbonate structure. The polymer (A) includes a structural unit (I) shown by the following formula (1). | 03-29-2012 |
20120077125 | RESIST COMPOSITION FOR IMMERSION EXPOSURE, METHOD OF FORMING RESIST PATTERN USING THE SAME, AND FLUORINE-CONTAINING COMPOUND - A resist composition for immersion exposure, including a base component that exhibits changed solubility in an alkali developing solution under action of acid, an acid generator component that generates acid upon exposure, and a fluorine-containing compound represented by a general formula (c-1) that is decomposable in an alkali developing solution: | 03-29-2012 |
20120077126 | Fluorine-Containing Compound, Fluorine-Containing Polymer Compound, Resist Composition, Top Coat Composition And Pattern Formation Method - A fluorine-containing polymer of the present invention contains a repeating unit (a) of the general formula (2) and has a mass-average molecular weight of 1,000 to 1,000,000. This polymer is suitably used in a resist composition for pattern formation by high energy ray radiation of 300 nm or less wavelength or electron beam radiation or a top coat composition for liquid immersion lithography and is characterized as having high water repellency, notably high receding contact angle. | 03-29-2012 |
20120082934 | RADIATION-SENSITIVE RESIN COMPOSITION, POLYMER AND COMPOUND - [Problem] To reduce the time required for a film to exhibit decreased hydrophobicity after liquid immersion lithography while allowing the surface of a film to exhibit high hydrophobicity during liquid immersion lithography. | 04-05-2012 |
20120082935 | RADIATION-SENSITIVE RESIN COMPOSITION AND RESIST FILM FORMED USING THE SAME - [Problem] To provide a resist film that exhibits high surface hydrophobicity during liquid immersion lithography, suppresses occurrence of defects due to development failure, and exhibits excellent lithographic performance | 04-05-2012 |
20120082936 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes an acid-labile group-containing resin, and a compound shown by the following general formula (i). R | 04-05-2012 |
20120088192 | UNDERLAYER COMPOSITION AND METHOD OF IMAGING UNDERLAYER - A method of forming a pattern comprises diffusing an acid, generated by irradiating a portion of a photosensitive layer, into an underlayer comprising an acid sensitive copolymer comprising an acid decomposable group and an attachment group, to form an interpolymer crosslink and/or covalently bonded to the surface of the substrate. Diffusing comprises heating the underlayer and photosensitive layer. The acid sensitive group reacts with the diffused acid to form a polar region at the surface, in the shape of the pattern. The photosensitive layer is removed to forming a self-assembling layer comprising a block copolymer having a block with an affinity for the polar region, and a block having less affinity than the first. The first block forms a domain aligned to the polar region, and the second block forms a domain aligned to the first. Removing either the first or second domain exposes a portion of the underlayer. | 04-12-2012 |
20120094234 | RADIATION-SENSITIVE RESIN COMPOSITION, POLYMER, AND METHOD FOR FORMING RESIST PATTERN - The radiation-sensitive resin composition includes a first polymer, a second polymer and a radiation sensitive acid generator. The first polymer includes a repeating unit represented by formula (1). The second polymer includes an acid labile group and is dissociated by an action of acid so that alkali solubility is given by dissociation of said acid labile group. R | 04-19-2012 |
20120094235 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN USING THE COMPOSITION - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a resin (A) whose solubility in an alkali developer is increased by the action of an acid, the resin containing any of the units of general formula (AI) below and any of the units of general formula (AII) below, and a compound (B) that when exposed to actinic rays or radiation, generates an acid with any of the structures of general formula (BI) below. | 04-19-2012 |
20120094236 | RESIST COMPOSITION FOR IMMERSION EXPOSURE, METHOD OF FORMING RESIST PATTERN, AND FLUORINE-CONTAINING POLYMERIC COMPOUND - A resist composition for immersion exposure including: a fluorine-containing polymeric compound (F) containing a structural unit (f1) having a base dissociable group and a structural unit (f2) represented by general formula (f2-1) (wherein R represents a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group; and W is a group represented by any one of general formulas (w-1) to (w-4)); a base component (A) that exhibits changed solubility in an alkali developing solution under the action of acid; and an acid generator component (B) that generates acid upon exposure. | 04-19-2012 |
20120094237 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - An actinic ray-sensitive or radiation-sensitive resin composition including: (A) a resin that contains a repeating unit represented by formula (I) as defined in the specification, a repeating unit represented by formula (II) as defined in the specification and a repeating unit represented by formula (III-a) or (III-b) as defined in the specification; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; and (C) a solvent, wherein the solvent (C) contains ethyl lactate, and a film and a pattern forming method using the composition are provided. | 04-19-2012 |
20120100482 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition contains a resin having a structural unit represented by the formula (aa) and a structural unit represented by the formula (ab); and an acid generator, | 04-26-2012 |
20120100483 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition contains; a resin having a structural unit represented by the formula (aa) and a structural unit represented by the formula (ab); and an acid generator, | 04-26-2012 |
20120100484 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION, CURED FILM, PROTECTIVE FILM, INTERLAYER INSULATING FILM, AND SEMICONDUCTOR DEVICE AND DISPLAY ELEMENT USING THE SAME - A positive photosensitive resin composition includes (A) a polybenzoxazole precursor resin, (B) a photosensitive diazoquinone compound, (C) a hindered phenol antioxidant shown by the following general formula (1), and (D) a phenol compound shown by the following general formula (2). Formula (1) is: | 04-26-2012 |
20120115086 | METHOD FOR PRODUCING POLYMER, POLYMER FOR LITHOGRAPHY, RESIST COMPOSITION, AND METHOD FOR PRODUCING SUBSTRATE - A method for producing a polymer is provided. The polymer improves variations in the content ratio and molecular weights of a copolymer's constitutional units, solvent solubility, and the sensitivity of a resist composition using such a polymer. The method includes polymerizing two or more monomers while adding with a polymerization initiator to obtain the polymer, feeding a first solution containing first composition monomers in an initial polymerization stage, and starting dropwise addition of a second solution containing second composition monomers after or simultaneously with the feeding of the first solution. The second composition is equal to a target composition ratio of the polymer to be obtained. The first composition is calculated in advance based on a target composition ratio and the reactivity of the monomers. The above dropping rate is set to high. | 05-10-2012 |
20120122034 | RESIN AND PHOTORESIST COMPOSITION COMPRISING THE SAME - The present invention provides a resin comprising a structural unit represented by the formula (aa): | 05-17-2012 |
20120129102 | PHOTOSENSITIVE COMPOSITION INCLUDING PHOTOPOLYMERIZABLE POLYMER HAVING FLUORENE SKELETON - A material for a planarization film, a spacer, and a microlens that satisfies heat resistance and transparency requirements without impairing a refractive index. A negative photosensitive composition includes a photopolymerizable polymer (A) having a fluorene skeleton, a monomer (B) having a fluorene skeleton and a photopolymerization initiator (C). The photopolymerizable polymer (A) having a fluorene skeleton may include a moiety that is soluble in an alkaline developer solution, or a unit structure of Formula (1): | 05-24-2012 |
20120129103 | SULFONIUM SALT-CONTAINING POLYMER, RESIST COMPOSITION, PATTERNING PROCESS, AND SULFONIUM SALT MONOMER AND MAKING METHOD - A sulfonium salt having a 4-fluorophenyl group is introduced as recurring units into a polymer comprising hydroxyphenyl (meth)acrylate units and acid labile group-containing (meth)acrylate units to form a polymer which is useful as a base resin in a resist composition. The resist composition has a high sensitivity, high resolution and minimized LER. | 05-24-2012 |
20120129104 | LACTONE PHOTOACID GENERATORS AND RESINS AND PHOTORESISTS COMPRISING SAME - New lactone-containing photoacid generator compounds (“PAGs”) and photoresist compositions that comprise such PAG compounds are provided. These photoresist compositions are useful in the manufacture of electronic devices | 05-24-2012 |
20120129105 | PHOTOSENSITIVE COPOLYMER AND PHOTORESIST COMPOSITION - A copolymer has formula: | 05-24-2012 |
20120135350 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition comprising (A) a polymer comprising recurring units of a specific structure adapted to generate an acid in response to high-energy radiation and acid labile units, the polymer having an alkali solubility that increases under the action of an acid, and (B) a sulfonium salt of a specific structure exhibits a high resolution in forming fine size patterns, typically trench patterns and hole patterns. Lithographic properties of profile, DOF and roughness are improved. | 05-31-2012 |
20120135351 | SALT AND PHOTORESIST COMPOSITION COMPRISING THE SAME - The present invention provides a salt represented by the formula (I): | 05-31-2012 |
20120141939 | PHOTOACID GENERATORS - A photoacid generator compound has formula (I): | 06-07-2012 |
20120141940 | CHEMICALLY AMPLIFIED POSITIVE-TYPE PHOTORESIST COMPOSITION FOR THICK FILM, AND METHOD FOR PRODUCING THICK FILM RESIST PATTERN - A chemically amplified positive-type photoresist composition for a thick film capable of forming a thick film resist pattern having superior resolving ability and controllability of dimensions, and being favorable in rectangularity, as well as a method for producing a thick film resist pattern using such a composition. The photoresist composition comprises an acid generator including a cationic moiety and an anionic moiety, and a resin whose alkali solubility increases by the action of an acid. | 06-07-2012 |
20120148955 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND NEW COMPOUND - A resist composition including: a base component (A) which exhibits changed solubility in a developing solution under action of acid; a nitrogen-containing organic compound component (C) containing a compound (C1) represented by general formula (c1) shown below; and an acid generator component (B) which generates acid upon exposure, provided that the compound (C1) is excluded from the acid generator component (B): | 06-14-2012 |
20120148956 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component (A) which exhibits changed solubility in a developing solution under action of acid, a nitrogen-containing organic compound (C) containing a compound (C1) represented by general formula (c1) shown below and an acid-generator component (B) which generates acid upon exposure (excluding the compound (C1)) (R | 06-14-2012 |
20120148957 | PATTERN FORMING METHOD, CHEMICAL AMPLIFICATION RESIST COMPOSITION AND RESIST FILM - A pattern forming method includes: (i) forming a film from a chemical amplification resist composition; (ii) exposing the film, so as to form an exposed film; and (iii) developing the exposed film by using an organic solvent-containing developer, wherein the chemical amplification resist composition contains: (A) a resin substantially insoluble in alkali; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (C) a crosslinking agent; and (D) a solvent, a negative chemical amplification resist composition used in the method, and a resist film formed from the negative chemical amplification resist composition. | 06-14-2012 |
20120156619 | ACRYLATE-BASED COMPOUNDS AND PHOTOSENSITIVE COMPOSITION COMPRISING THE SAME - The present invention relates to an acrylate-based compound that includes an organic acid having two or more acrylate groups and one or more phenolic acid structures in one molecule, and a photosensitive composition including the same. It is possible to shorten a developing time in a photolithography process without damaging photosensitivity by using the photosensitive composition according to the present invention. | 06-21-2012 |
20120156620 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition contains; (A1) a resin having a structural unit represented by the formula (aa) and at least one structural unit selected from the group consisting of a structural unit represented by the formula (a1-1) and a structural unit represented by the formula (a1-2); (A2) a resin which does not have the structural unit represented by the formula (aa) and which is insoluble or poorly soluble in aqueous alkali solution, but becomes soluble in aqueous alkali solution by the action of acid; and (B) an acid generator, | 06-21-2012 |
20120156621 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes a resin including a repeating unit shown by a following general formula (1), a photoacid generator and a photodisintegrating base shown by a following general formula (8). R | 06-21-2012 |
20120164578 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN - A resist composition including a base component (A) which exhibits changed solubility in a developing solution under the action of acid, an acid generator component (B) which generates acid upon exposure, and a nitrogen-containing organic compound component (D), wherein the acid generator component (B) includes an acid generator (B1) containing a compound represented by general formula (b1-1) shown below, and the nitrogen-containing organic compound component (D) includes a compound (D1) represented by general formula (d1) shown below. In the formula, Y | 06-28-2012 |
20120164579 | SALT, PHOTORESIST COMPOSITION AND PROCESS FOR PRODUCING PHOTORESIST PATTERN - A salt represented by the formula (I): | 06-28-2012 |
20120164580 | NOVEL COMPOUND AND METHOD OF PRODUCING THE SAME, ACID GENERATOR, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component which generates acid upon exposure, the acid-generator including an acid generator consisting of a compound represented by general formula (b1-1) shown below: | 06-28-2012 |
20120164581 | NEGATIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN AND POLYMERIC COMPOUND - A negative resist composition including an alkali-soluble resin component (A), an acid generator component (B) that generates acid upon exposure, and a cross-linking component (C), the alkali-soluble resin component (A) including a polymeric compound (F) having a structural unit (f1) containing a base dissociable group and a structural unit (f2) containing a cross-linking group-containing group. | 06-28-2012 |
20120164582 | RADIATION-SENSITIVE COMPOSITION AND COMPOUND - A radiation-sensitive composition includes a photoacid generator shown by a general formula (0-1a). Each of R | 06-28-2012 |
20120171616 | POLYMERIZABLE PHOTOACID GENERATORS - A compound has formula (I): | 07-05-2012 |
20120171617 | POLYMERS, PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS - Provided are polymers that include a unit comprising a particular acetal moiety and a unit comprising a lactone moiety. Also provided are photoresist compositions containing such a polymer, substrates coated with the photoresist compositions and methods of forming photolithographic patterns. The polymers, compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices. | 07-05-2012 |
20120171618 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING A PATTERN USING THE SAME - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a resin containing a repeating unit (A) containing both a structural moiety (S1) that is decomposed by an action of an acid to thereby generate an alkali-soluble group and a structural moiety (S2) that is decomposed by an action of an alkali developer to thereby increase its rate of dissolution into the alkali developer, and a compound that generates an acid when exposed to actinic rays or radiation. | 07-05-2012 |
20120178024 | POLYMER, RADIATION-SENSITIVE COMPOSITION, MONOMER, AND METHOD OF PRODUCING COMPOUND - A polymer includes a repeating unit shown by a general formula (1). R | 07-12-2012 |
20120183904 | NITROGEN-CONTAINING MONOMER, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A chemically amplified positive resist composition of better performance can be formulated using a polymer having a quencher incorporated therein, specifically a polymer comprising recurring units having a carbamate structure which is decomposed with an acid to generate an amino group and optionally recurring units having an acid labile group capable of generating a carboxyl and/or hydroxyl group under the action of an acid. The polymer is highly effective for suppressing diffusion of acid and diffuses little itself, and the composition forms a pattern of rectangular profile at a high resolution. | 07-19-2012 |
20120196228 | RESIST COMPOSITION AND PATTERNING PROCESS USING THE SAME - There is disclosed a resist composition comprising at least: (A) a polymer containing one or more repeating units having a structure shown by the following general formula (1) and/or (2), an alkaline-solubility of the polymer being increased by an acid, (B) a photo acid generator generating, with responding to a high energy beam, a sulfonic acid shown by the following general formula (3), and (C) an onium sulfonate shown by the following general formula (4). There can be a resist composition showing not only excellent LWR and pattern profile but also extremely good performance in pattern-fall resistance, and to provide a patterning process using the same. | 08-02-2012 |
20120202154 | BLACK CURABLE COMPOSITION FOR WAFER LEVEL LENS AND WAFER LEVEL LENS - A black curable composition for a wafer level lens includes (A) a metal-containing inorganic pigment, (B) a polymerization initiator, and (C) a polymerizable compound. The (A) metal-containing inorganic pigment is preferably titanium black. | 08-09-2012 |
20120208128 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN AND POLYMERIC COMPOUND - A resist composition including a base component (A) which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, the base component (A) including a resin component (A1) containing a structural unit (a0-1) having a group represented by general formula (a0-1) shown below and a structural unit (a1) derived from an acrylate ester which may have the hydrogen atom bonded to the carbon atom on the α-position substituted with a substituent and contains an acid decomposable group which exhibits increased polarity by the action of acid. | 08-16-2012 |
20120214100 | RESIST COMPOSITION AND PATTERNING PROCESS USING THE SAME - There is disclosed a resist composition, wherein the composition is used in a lithography and comprises at least: a polymer (A) that becomes a base resin whose alkaline-solubility changes by an acid, a photo acid generator (B) generating a sulfonic acid represented by the following general formula (1) by responding to a high energy beam, and a polymer additive (C) represented by the following general formula (2). There can be provided a resist composition showing not only excellent lithography properties but also a high receding contact angle, and in addition, being capable of suppressing a blob defect in both the immersion exposures using and not using a top coat; and a patterning process using the same. | 08-23-2012 |
20120214101 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component (A) which exhibits changed solubility in a developing solution under action of acid, a basic-compound component (C) and an acid-generator component (B) which generates acid upon exposure, the component (B) including a compound represented by formula (b1), and the component (C) including at least one compound represented by formulas (c1) to (c3) (wherein Z | 08-23-2012 |
20120219912 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition includes (A1) a resin having a structural unit represented by the formula (I), (A2) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and (B) an acid generator having an acid-labile group. | 08-30-2012 |
20120219913 | PATTERN FORMING METHOD, ACTINIC-RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND RESIST FILM - Provided is a pattern forming method that is excellent in roughness performance such as line width roughness and exposure latitude, and an actinic-ray-sensitive or radiation-sensitive resin composition and a resist film used for the pattern forming method. | 08-30-2012 |
20120225386 | CHEMICALLY AMPLIFIED RESIST COMPOSITION AND PATTERNING PROCESS - A chemically amplified resist composition is provided comprising (A) a specific tertiary amine compound, (B) a specific acid generator, (C) a base resin having an acidic functional group protected with an acid labile group, which is substantially insoluble in alkaline developer and turns soluble in alkaline developer upon deprotection of the acid labile group, and (D) an organic solvent. The resist composition has a high resolution, improved defect control in the immersion lithography, and good shelf stability. | 09-06-2012 |
20120237876 | RADIATION-SENSITIVE RESIN COMPOSITION, MONOMER, POLYMER, AND PRODUCTION METHOD OF RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes a solvent and a polymer. The polymer includes a repeating unit represented by a formula (I), a repeating unit represented by a formula (II), or a both thereof. Each of R | 09-20-2012 |
20120244472 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, FILM FORMED USING THE COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - Provided is an actinic ray-sensitive or radiation-sensitive resin composition comprising (A) a specific compound represented by a general formula, (B) a resin which is alkali-insoluble or sparingly alkali-soluble and becomes easily alkali-soluble in the presence of an acid, and (C) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; a film formed using the composition; and a pattern forming method using the same. | 09-27-2012 |
20120251951 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including:
| 10-04-2012 |
20120251952 | COPOLYMER FOR RESIST COMPRISING NOVEL ACRYL BASED MONOMER AND RESIN COMPOSITION FOR RESIST COMPRISING THE SAME - A resist resin composition includes 100 parts by weight of a copolymer represented by Formula 3 below; 0.5 to 1.5 parts by weight of a photoacid generator and 700 to 1,500 parts by weight of a solvent: | 10-04-2012 |
20120251953 | PHOTORESIST COMPOSITION - Certain methanofullerene derivatives are described, having side chains with acid-labile protecting groups. The methanofullerene derivatives may find application as photoresist materials, and particularly as positive-tone photoresists. | 10-04-2012 |
20120258405 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition includes; (A) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid, (B) an acid generator having an acid-labile group; and (D) a compound represented by the formula (I), | 10-11-2012 |
20120264058 | RESIST COMPOSITION FOR NEGATIVE DEVELOPMENT AND METHOD OF FORMING RESIST PATTERN - A resist composition for negative development including a base component (A) which exhibits decreased solubility in an organic solvent under the action of acid and an acid generator component (B) which generates acid upon exposure; and the resist composition used in a method of forming a resist pattern which includes: forming a resist film on a substrate using the resist composition; conducting exposure of the resist film; and patterning the resist film by negative development using a developing solution containing the organic solvent to form a resist pattern, wherein the acid generator component (B) contains an acid generator (B1) that generates an acid having a log P value of 2.7 or less and also a pKa value of at least −3.5. | 10-18-2012 |
20120264059 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition includes (A) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid, (B) an acid generator having a structure to be cleaved by the action of an alkaline developer, and (C) a compound represented by the formula (I), | 10-18-2012 |
20120264060 | SALT, PHOTORESIST COMPOSITION, AND METHOD FOR PRODUCING PHOTORESIST PATTERN - A salt represented by formula (I): | 10-18-2012 |
20120264061 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, NOVEL COMPOUND, AND ACID GENERATOR - A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) including a compound represented by (b1-1), a compound represented by (b1-1′) and/or a compound represented by (b1-1″) (R | 10-18-2012 |
20120270154 | RESIN AND PHOTORESIST COMPOSITION COMPRISING SAME - The present invention provides a resin comprising a structural unit derived from a compound represented by the formula (I): | 10-25-2012 |
20120270155 | COMPOUND, POLYMERIC COMPOUND, ACID GENERATOR, RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN - There are provided a novel compound, a polymeric compound, a resist composition, an acid generator and a method of forming a resist pattern
| 10-25-2012 |
20120276481 | METHOD OF FORMING RESIST PATTERN AND NEGATIVE TONE-DEVELOPMENT RESIST COMPOSITION - A method of forming a resist pattern, the method including: forming a resist film on a substrate using a resist composition containing a base component (A) that exhibits reduced solubility in an organic solvent under the action of acid, an acid generator component (B) that generates acid upon exposure and a fluorine-containing polymeric compound (F), exposing the resist film, and patterning the resist film by negative tone development using a developing solution containing the organic solvent, thereby forming a resist pattern, wherein the base component (A) contains a resin component (A1) containing a structural unit (a1) derived from an acrylate ester, the dissolution rates of (A1) and (F) in the developing solution are each at least 10 nm/s, and the absolute value of the difference in the dissolution rates of (A1) and (F) in the developing solution is not more than 80 nm/s. | 11-01-2012 |
20120276482 | RADIATION SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING A PATTERN, POLYMER AND COMPOUND - A radiation sensitive resin composition includes a first polymer having a group represented by a following formula (1), and a radiation sensitive acid generator. n is an integer of 2 to 4. X represents a single bond or a bivalent organic group. A represents a (n+1) valent linking group. Each Q independently represents a group that includes an alkali-dissociable group. | 11-01-2012 |
20120282550 | RADIATION-SENSITIVE COMPOSITION - A radiation-sensitive composition includes a polymer composition and a radiation-sensitive acid generator. The polymer composition includes a first polymer and a second polymer. The first polymer includes a repeating unit shown by a following formula (1). The second polymer includes a repeating unit shown by a following formula (2) and does not include a repeating unit shown by the formula (1). | 11-08-2012 |
20120288796 | RESIST COMPOSITION AND PATTERNING PROCESS - A resist composition is provided comprising a polymer comprising recurring units having a hydroxyl group substituted with an acid labile group, an onium salt PAG capable of generating a sulfonic acid, imide acid or methide acid, and an onium salt PAG capable of generating a carboxylic acid. A resist film of the composition is improved in dissolution contrast during organic solvent development, and from which a hole pattern having minimized nano-edge roughness can be formed via positive/negative reversal. | 11-15-2012 |
20120288797 | PHOTORESIST COMPOSITIONS AND METHODS OF USE IN HIGH INDEX IMMERSION LITHOGRAPHY - The present invention relates to a composition comprising a photoresist polymer and a fluoropolymer. In one embodiment, the fluoropolymer comprises a first monomer having a pendant group selected from alicyclic bis-hexafluoroisopropanol and aryl bis-hexafluoroisopropanol and preferably a second monomer selected from fluorinated styrene and fluorinated vinyl ether. The invention composition has improved receding contact angles with high refractive index hydrocarbon fluids used in immersion lithography and, thereby, provides improved performance in immersion lithography. | 11-15-2012 |
20120301828 | NEAR-INFRARED ABSORPTIVE LAYER-FORMING COMPOSITION AND MULTILAYER FILM COMPRISING NEAR-INFRARED ABSORPTIVE LAYER - A composition comprising a polymer comprising repeat units selected from formulae (1) to (4), an aromatic ring-containing polymer, a near-infrared absorbing dye, and a solvent is used to form a near-infrared absorptive film. R | 11-29-2012 |
20120301829 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, NOVEL COMPOUND, AND ACID GENERATOR - A resist composition comprising a base component (A) which exhibits changed solubility in a developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the acid-generator component (B) comprising an acid generator (B1) composed of a compound represented by general formula (b1-1) shown below [wherein, X represents a cyclic group of 3 to 30 carbon atoms which may have a substituent, provided that a ring skelton of the cyclic group contains an —SO | 11-29-2012 |
20120301830 | PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE DRY FILM AND METHOD FOR FORMING PATTERN - The present invention is related to a photosensitive resin composition containing: a vinyl-based copolymer (I) obtained by polymerizing a monomer mixture containing a monomer (a) having a phenolic hydroxyl group and a carboxyl group-containing vinyl monomer (b); a quinonediazide compound (II) and a compound (III) represented by the following formula (5), and to a photosensitive dry film and a method for forming a patter by using the photosensitive resin composition. | 11-29-2012 |
20120308931 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component which exhibits changed solubility in a developing solution under action of acid, and an acid generator containing compounds represented by general formulas (b1) and (b2) shown below | 12-06-2012 |
20120308932 | POLYMER, CHEMICALLY AMPLIFIED RESIST COMPOSITION, AND PATTERNING PROCESS USING SAID CHEMICALLY AMPLIFIED RESIST COMPOSITION - There is disclosed a polymer having a repeating unit shown by the following general formula (1). There can be, in a photolithography using a high energy beam such as an ArF excimer laser beam and an EUV as a light source, (1) a polymer that gives a resist composition having an appropriate adhesion with a substrate and being capable of forming a pattern having excellent resolution, especially an excellent rectangular pattern profile, (2) a chemically amplified resist composition containing the said polymer, and (3) a patterning process using the said chemically amplified resist composition. | 12-06-2012 |
20120315580 | SALT, PHOTORESIST COMPOSITION AND METHOD FOR PRODUCING PHOTORESIST PATTERN - A salt represented by formula (I): | 12-13-2012 |
20120315581 | PATTERNING PROCESS AND RESIST COMPOSITION - A negative pattern is formed by applying a resist composition onto a substrate, prebaking, exposing to high-energy radiation, baking (PEB), and developing the exposed resist film in an organic solvent developer to dissolve the unexposed region of resist film. The resist composition comprising a polymer comprising recurring units of (meth)acrylate having two adjacent hydroxyl groups substituted with acid labile groups, an acid generator, and an organic solvent displays a high dissolution contrast between the unexposed region of promoted dissolution and the exposed region of inhibited dissolution. | 12-13-2012 |
20120322007 | PATTERN FORMING METHOD, CHEMICAL AMPLIFICATION RESIST COMPOSITION AND RESIST FILM - A pattern forming method comprising (i) a step of forming a film from a chemical amplification resist composition, (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using a developer containing an organic solvent, wherein the resist composition contains (A) a resin, (B) a compound capable of generating a specific acid upon irradiation with an actinic ray or radiation, (C) a crosslinking agent, and (D) a solvent. | 12-20-2012 |
20120328986 | SALT, PHOTORESIST COMPOSITION, AND METHOD FOR PRODUCING PHOTORESIST PATTERN - A salt represented by formula (I): | 12-27-2012 |
20120328987 | PATTERNING PROCESS AND RESIST COMPOSITION - A negative pattern is formed by applying a resist composition onto a substrate, prebaking, exposing to high-energy radiation, baking (PEB), and developing the exposed resist film in an organic solvent developer to dissolve the unexposed region of resist film. The resist composition comprising a polymer comprising recurring units of cycloolefin having a hydroxyl group substituted with an acid labile group, an acid generator, and an organic solvent displays a high dissolution contrast and high etch resistance. | 12-27-2012 |
20130004895 | PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE DRY FILM AND METHOD FOR FORMING PATTERN - A photosensitive resin composition comprising: a vinyl-based polymer (I) obtained by polymerizing a monomer mixture containing a monomer (a) having a phenolic hydroxyl group; a vinyl-based polymer (II) obtained by polymerizing a monomer mixture containing a carboxyl group-containing vinyl monomer (b), and having a weight average molecular weight of 20,000 to 100,000, provided that the vinyl-based polymer (I) is excluded; a quinonediazide compound (III); and a compound (IV) represented by following formula (5). | 01-03-2013 |
20130011792 | FLUORINE-FREE FUSED RING HETEROAROMATIC PHOTOACID GENERATORS AND RESIST COMPOSITIONS CONTAINING THE SAME - The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography. | 01-10-2013 |
20130011793 | FLUORINE-FREE FUSED RING HETEROAROMATIC PHOTOACID GENERATORS AND RESIST COMPOSITIONS CONTAINING THE SAME - The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography. | 01-10-2013 |
20130011794 | FLUORINE-FREE FUSED RING HETEROAROMATIC PHOTOACID GENERATORS AND RESIST COMPOSITIONS CONTAINING THE SAME - The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography. | 01-10-2013 |
20130011795 | FLUORINE-FREE FUSED RING HETEROAROMATIC PHOTOACID GENERATORS AND RESIST COMPOSITIONS CONTAINING THE SAME - The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography. | 01-10-2013 |
20130017492 | PATTERNING PROCESS AND RESIST COMPOSITIONAANM Hatakeyama; JunAACI Joetsu-shiAACO JPAAGP Hatakeyama; Jun Joetsu-shi JPAANM Hasegawa; KojiAACI Joetsu-shiAACO JPAAGP Hasegawa; Koji Joetsu-shi JPAANM Katayama; KazuhiroAACI Joetsu-shiAACO JPAAGP Katayama; Kazuhiro Joetsu-shi JP - An image is formed via positive/negative reversal on organic solvent development using a photoresist film comprising a polymer comprising recurring units of isosorbide (meth)acrylate in which one hydroxyl group of isosorbide is bonded to form (meth)acrylate and the other hydroxyl group is substituted with an acid labile group and an acid generator. The resist film is characterized by a high dissolution contrast between the unexposed and exposed regions. The photoresist film is exposed to radiation and developed in an organic solvent to form a fine hole pattern with good size control and high sensitivity. | 01-17-2013 |
20130022928 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator having an acid labile group, | 01-24-2013 |
20130040239 | SALT, PHOTORESIST COMPOSITION AND METHOD FOR PRODUCING PHOTORESIST PATTERN - A salt represented by formula (I): | 02-14-2013 |
20130045445 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN FILM THEREFROM AND METHOD OF FORMING PATTERN USING THE COMPOSITION - Provided is an actinic-ray- or radiation-sensitive resin composition including a resin (P) comprising a repeating unit (A) containing a group that when exposed to actinic rays or radiation, is decomposed to thereby generate an acid and a repeating unit (B) containing a group that when acted on by an acid, is decomposed to thereby increase its solubility in an alkali developer, and any of compounds (Q) of general formula (1) below. | 02-21-2013 |
20130045446 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING A RESIST PATTERN AND SULFONIUM COMPOUND - A radiation-sensitive resin composition includes a sulfonium compound represented by a general formula (1), and a first polymer that serves as a base resin. R represents a group represented by a general formula (2). n | 02-21-2013 |
20130065182 | Fluorine-Containing Sulfonate, Fluorine-Containing Sulfonate Resin, Resist Composition and Pattern Formation Method - According to the present invention, there is provided a fluorine-containing sulfonate resin having a repeating unit of the following general formula (3). | 03-14-2013 |
20130065183 | PATTERNING PROCESS AND RESIST COMPOSITION - A resist composition is provided comprising a polymer comprising recurring units having a protected hydroxyl group, a photoacid generator, an organic solvent, and a hydroxyl-free polymeric additive comprising fluorinated recurring units. A negative pattern is formed by coating the resist composition, prebaking to form a resist film, exposing, baking, and developing the exposed film in an organic solvent-based developer to selectively dissolve the unexposed region of resist film. | 03-14-2013 |
20130071788 | PATTERNING PROCESS AND RESIST COMPOSITION - A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units of acid labile group-substituted vinyl alcohol and maleic anhydride and/or maleimide, an acid generator, and an organic solvent onto a substrate, prebaking, exposing to high-energy radiation, and developing in an organic solvent developer such that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions. | 03-21-2013 |
20130071789 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition for use with EUV or EB including:
| 03-21-2013 |
20130078574 | SELF-ASSEMBLABLE POLYMER AND METHOD FOR USE IN LITHOGRAPHY - A self-assemblable polymer is disclosed, having first and second molecular configurations with the first molecular configuration has a higher Flory Huggins parameter for the self-assemblable polymer than the second molecular configuration, and the self-assemblable polymer is configurable from the first molecular configuration to the second molecular configuration, from the second molecular configuration to the first molecular configuration, or both, by the application of a stimulus. The polymer is of use in a method for providing an ordered, periodically patterned layer of the polymer on a substrate, by ordering and annealing the polymer in its second molecular configuration and setting the polymer when it is in the first molecular configuration. The second molecular configuration provides better ordering kinetics and permits annealing of defects near its order/disorder transition temperature, while the first molecular configuration, with a higher order/disorder transition temperature, provides low line edge/width roughness for the pattern formed on setting. | 03-28-2013 |
20130084528 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition comprising a polymer having carboxyl groups substituted with an acid labile group having formula (1) exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a reduced acid diffusion rate, and forms a pattern with good profile, minimal edge roughness, and etch resistance. In formula (1), R | 04-04-2013 |
20130084529 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition comprising a polymer having carboxyl groups substituted with an acid labile group having formula (1), (2) or (3) exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a reduced acid diffusion rate, and forms a pattern with good profile, minimal edge roughness, and etch resistance. In formula (1), R | 04-04-2013 |
20130089818 | LITHOGRAPHIC PRINTING PLATE PRECURSOR, PLATE MAKING METHOD THEREOF AND NOVEL POLYMER COMPOUND - A lithographic printing plate precursor includes: a support; and an image-recording layer containing (A) a polymerization initiator, (B) a sensitizing dye and (C) a polymerizable compound, and the image-recording layer or an undercoat layer which is optionally provided between the support and the image-recording layer comprises (D) a polymer compound comprising (a1) a repeating unit having a side chain having a structure represented by the following formula (a1-1) and (a2) a repeating unit having a side chain having at least one structure of the formulae (a2-1), (a2-2), (a2-3), (a2-4), (a2-5) and (a2-6) as defined herein. | 04-11-2013 |
20130089819 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, POLYMERIC COMPOUND, AND COMPOUND - A resist composition which can form a very fine resist pattern with excellent lithography properties, a new polymeric compound useful for the resist composition, and a compound useful as a monomer for the polymeric compound. The resist composition contains a polymeric compound containing a structural unit (a0) represented by general formula (a0) shown below. In the formula (a0), A is an anion represented by the general formula (1) or (2). | 04-11-2013 |
20130095427 | RESIST COMPOSITION FOR EUV OR EB AND METHOD OF FORMING RESIST PATTERN - The present invention relates to a resist composition for EUV or EB containing a base component (A) which generates acid upon exposure and exhibits changed solubility in a developing solution by the action of acid, and a resin component (W) that contains at least one atom selected from a fluorine atom or a silicon atom and contains a polarity conversion group that exhibits increased polarity after decomposition by the action of base, wherein the base component (A) contains a component (A1) which contains a structural unit (a0) having a group represented by general formula (a0-1) or (a0-2) shown below, and the amount of the resin component (W) relative to 100 parts by weight of the base component (A) is 1 to 15 parts by weight. In the formulas, Q | 04-18-2013 |
20130095428 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes a compound represented by a following formula (1) and a base polymer. In the formula (1), R | 04-18-2013 |
20130095429 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN USING THE SAME - Provided is an actinic-ray- or radiation-sensitive resin composition that simultaneously achieves excellent developability and excellent immersion-liquid tracking properties, and a method of forming a pattern using the same. The composition contains a resin (B) containing at least either a fluorine atom or a silicon atom, the resin (B) containing any of repeating units of general formula (I) below. | 04-18-2013 |
20130101940 | CHEMICAL AMPLIFIED PHOTORESIST COMPOSITION - A chemical amplified photoresist composition containing a resin, an acid generator, and a compound of formula (X): | 04-25-2013 |
20130108964 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION FOR ArF IMMERSION LITHOGRAPHY AND PATTERN FORMING PROCESS | 05-02-2013 |
20130115556 | PATTERN FORMING METHOD, CHEMICAL AMPLIFICATION RESIST COMPOSITION AND RESIST FILM - A pattern forming method, includes: (i) forming a film from a chemical amplification resist composition that contains (A) a resin capable of increasing a polarity of the resin (A) to decrease a solubility of the resin (A) for a developer containing an organic solvent by an action of an acid, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, and (C) a solvent; (ii) exposing the film; and (iii) performing development by using a developer containing an organic solvent, wherein the resin (A) has a structure in which a polar group is protected with a leaving group capable of decomposing and leaving by an action of an acid, and the leaving group contains a fluorine atom. | 05-09-2013 |
20130115557 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM AND METHOD OF FORMING PATTERN - Provided is an actinic-ray- or radiation-sensitive resin composition including (A) a compound that when exposed to actinic rays or radiation, generates an acid, (B) a resin that when acted on by an acid, increases its rate of dissolution in an alkali developer, and (C) a hydrophobic resin, wherein the hydrophobic resin (C) contains a repeating unit derived from any of monomers of general formula (1) below. | 05-09-2013 |
20130122425 | METHOD FOR FORMING FINE PATTERN, AND COATING FORMING AGENT FOR PATTERN FINING - A resist pattern formed by a method including forming a resist film by applying, on a substrate, a resist composition containing a base material having a solubility, in a developer liquid containing an organic solvent, that decreases according to an action of an acid, a compound which generates an acid upon irradiation, and a solvent; exposing the resist film; developing the exposed resist film; forming a first coating film by applying, on the resist pattern, a first coating forming agent containing a resin having a solubility in an organic solvent that decreases under action of an acid, and a solvent; and heating the resist pattern on which the first coating forming agent has been applied. | 05-16-2013 |
20130122426 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, AND POLYMER AND COMPOUND - A radiation-sensitive resin composition that provides a resist coating film in a liquid immersion lithography process is provided, the radiation-sensitive resin composition being capable of exhibiting a great dynamic contact angle during exposure, whereby the surface of the resist coating film can exhibit a superior water draining property, and the radiation-sensitive resin composition being capable of leading to a significant decrease in the dynamic contact angle during development, whereby generation of development defects can be inhibited, and further shortening of a time period required for change in a dynamic contact angle is enabled. A radiation-sensitive resin composition including (A) a fluorine-containing polymer having a structural unit (I) that includes a group represented by the following formula (1), and (B) a radiation-sensitive acid generator. | 05-16-2013 |
20130122427 | PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND RESIST FILM - A pattern forming, method, includes: (i) forming a film from an actinic ray-sensitive or radiation-sensitive resin composition that contains (A) a compound capable of generating an acid upon irradiation with an actinic ray or radiation and decomposing by an action of an acid to decrease a solubility of the compound (A) for an organic solvent; (ii) exposing the film; and (iii) performing development by using a developer containing an organic solvent. | 05-16-2013 |
20130130177 | NEGATIVE PATTERN FORMING PROCESS AND NEGATIVE RESIST COMPOSITION - A negative pattern is formed by applying a resist composition comprising (A) a polymer comprising recurring units (a1) having a hydroxyl group protected with an acid labile group and recurring units (a2) having an amino group, amide bond, carbamate bond or nitrogen-containing heterocycle, (B) a photoacid generator, and (C) an organic solvent onto a substrate, prebaking, exposing, baking, and selectively dissolving an unexposed region of the resist film in an organic solvent-based developer. | 05-23-2013 |
20130130178 | ACTINIC-RAY-OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN THEREWITH - Provided is an actinic-ray- or radiation-sensitive resin composition including a resin (B) containing at least either a fluorine atom or a silicon atom, the resin (B) containing any of repeating units of general formulae (I-1) and (I-2) below: | 05-23-2013 |
20130137038 | PHOTORESIST COMPOSITION - A photoresist composition comprises an acid-sensitive polymer, and a cyclic sulfonium compound having the formula: | 05-30-2013 |
20130137039 | Photosensitive Resin Composition for Color Filter and Color Filter Prepared Using the Same - Disclosed are a photosensitive resin composition for a color filter that includes a colorant including a phthalocyanine-based compound represented by the following Chemical Formula 1 and a triarylmethane-based compound represented by the following Chemical Formula 2, wherein the substituents of Chemical Formulas 1 and 2 are the same as same as defined in the detailed description, and a color filter prepared using the same. | 05-30-2013 |
20130137040 | LITHOGRAPHIC PRINTING PLATE PRECURSOR AND METHOD OF PRODUCING THEREOF - To provide an on-press development type lithographic printing plate precursor excellent in ink receptivity and printing durability. A lithographic printing plate precursor which includes a support, an image-recording layer which contains a sensitizing dye, a polymerization initiator and a polymerizable compound and an unexposed area of which is capable of being removed by supplying after exposure, at least any of printing ink and dampening water on a printing machine, and an overcoat layer containing a water-soluble resin in this order, wherein the overcoat layer is substantially not mixed with the image-recording layer. | 05-30-2013 |
20130137041 | SILICON-CONTAINING RESIST UNDERLAYER FILM-FORMING COMPOSITION AND PATTERNING PROCESS - The present invention is a silicon-containing resist underlayer film-forming composition containing at least any one of a condensation product and a hydrolysis condensation product or both of a mixture comprising: one or more kinds of a compound (A) selected from the group consisting of an organic boron compound shown by the following general formula (1) and a condensation product thereof and one or more kinds of a silicon compound (B) shown by the following general formula (2). Thereby, there can be provided a resist underlayer film applicable not only to the resist pattern formed of a hydrophilic organic compound obtained by the negative development but also to the resist pattern formed of a hydrophobic compound obtained by the conventional positive development. | 05-30-2013 |
20130137042 | PHOTOSENSITIVE COMPOSITION AND PHOTORESIST - A photosensitive composition and a photoresist are provided. The photoresist is formed by compounding a photosensitive composition. The photosensitive composition comprises a binder agent, a photomonomer and a photoinitiator. The binder agent has a chemical structure comprising following repeating unit: | 05-30-2013 |
20130137043 | PHOTOSENSITIVE ELEMENT HAVING REINFORCING PARTICLES AND METHOD FOR PREPARING A PRINTING FORM FROM THE ELEMENT - The invention provides a photosensitive element and a method for preparing a printing form from the element. The photosensitive element includes a layer of a photosensitive composition containing a binder, a monomer, and a Norrish type II photoinitiator, wherein the photosensitive layer has a transmittance to actinic radiation of less than 20% and contains reinforcing particles of graphene and/or carbon nanotubes. | 05-30-2013 |
20130143159 | RESIST COMPOSITION FOR EUV OR EB, AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component containing a polymer (A1) having a structural unit (a5) containing a group represented by general formula (a5-0-1) or (a5-0-2), wherein the amount of the monomer that derives the structural unit (a5) is not more than 100 ppm relative to (A1). In the formulas, each of Q | 06-06-2013 |
20130143160 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, AND POLYMER AND COMPOUND - A radiation-sensitive resin composition that provides a resist coating film in a liquid immersion lithography process is provided, the radiation-sensitive resin composition being capable of exhibiting a great dynamic contact angle during exposure, whereby the surface of the resist coating film can exhibit a superior water draining property, and the radiation-sensitive resin composition being capable of leading to a significant decrease in the dynamic contact angle during development, whereby generation of development defects can be inhibited, and further shortening of a time period required for change in a dynamic contact angle is enabled. A radiation-sensitive resin composition including (A) a polymer having a structural unit (I) represented by the following formula (1), and (B) a radiation-sensitive acid generator. | 06-06-2013 |
20130157197 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN AND COMPOUND - A resist composition comprising: a base component (A) that exhibits changed solubility in a developing solution by the action of acid; a photoreactive quencher (C); and an acid-generator component (B) that generates acid upon exposure, wherein the photoreactive quencher (C) contains a compound represented by general formula (c1) shown below. In the formula, R | 06-20-2013 |
20130164683 | Photosensitive Resin Composition for Color Filter and Color Filter Using the Same - Disclosed are a photosensitive resin composition for a color filter that includes (A) a binder resin including phenol-based resin represented by the following Chemical Formula 1; (B) a photopolymerizable monomer; (C) a photopolymerization initiator; (D) a colorant; and (E) a solvent, and a color filter using the same. | 06-27-2013 |
20130171566 | ALKALINE SOLUBIE RESIN AND LIGHT SENSIBLE RESIN COMPOSITION COMPRISING SAME AND USE THEREOF - An embodiment of the invention discloses an alkaline soluble resin and a method for preparing the same. The chemical formula of this alkaline soluble resin is shown in Formula I: | 07-04-2013 |
20130171567 | PHOTOACID GENERATOR AND PHOTORESIST COMPRISING SAME - A photoacid generator includes those of formula (I): | 07-04-2013 |
20130177852 | HYDROPHILIC PHOTOACID GENERATOR AND RESIST COMPOSITION COMPRISING SAME - Disclosed are a hydrophilic photoacid generator prepared by copolymerization of a first (meth)acrylic acid ester having a structure represented by the following Formula 1 or 2, with a polymerizable monomer selected from the group consisting of a second (meth)acrylic acid ester, an olefin-based compound and a mixture thereof, each of which contains a functional group selected from the group consisting of a hydroxyl group, a carboxyl group, a lactone group, a nitrile group and a halogen group: | 07-11-2013 |
20130177853 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A method of forming a resist pattern, including: step (1) in which a resist composition containing a base component (A) that exhibits increased solubility in an alkali developing solution and a compound represented by general formula (C1) is applied to a substrate to form a resist film, step (2) in which the resist film is subjected to exposure, step (3) in which baking is conducted after step (2), and step (4) in which the resist film is subjected to an alkali development, thereby forming a negative-tone resist pattern; and the resist composition used in step (1): | 07-11-2013 |
20130177854 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN AND NOVEL COMPOUND - A resist composition including a base component (A) which exhibits changed solubility in a developing solution, and an acidic compound component (J) which is decomposed by exposure to exhibit decreased acidity, wherein the acidic compound component (J) contains a compound represented by formula (J1) [in the formula, R | 07-11-2013 |
20130183622 | POSITIVE-WORKING LITHOGRAPHIC PRINTING PLATE PRECURSORS - A positive-working lithographic printing plate precursor has an outermost imageable layer that is present at a dry coverage weight of at least 0.7 g/m | 07-18-2013 |
20130196267 | NEAR-INFRARED SENSITIVE, POSITIVE-WORKING, IMAGE FORMING COMPOSITION AND PHOTOGRAPHIC ELEMENT CONTAINING A 1,1-DI[(ALKYLPHENOXY)ETHOXY]CYCLOHEXANE - An infrared sensitive, positive-working, image forming composition and element are disclosed. The image forming composition comprises a 1,1-di[(alkylphenoxy)ethoxy]cyclohexane, an infrared absorbing dye having a maximum absorption peak in the range of from about 700 nm to about 1100 nm, and a novolac polymer. The composition is applied and dried on a planar, hydrophilic substrate to form an image forming element, in particular, a planographic printing plate. Upon imagewise exposure to a near-infrared radiation source, the infrared dye absorbs light in the exposed areas and converts it to heat, which causes a disruption in the matrix of the image forming composition. Upon development with an aqueous alkaline developer, the exposed areas are removed while the nonexposed areas remain, thus forming a positive image. | 08-01-2013 |
20130203000 | RADIATION-SENSITIVE RESIN COMPOSITION, POLYMER, AND RESIST PATTERN-FORMING METHOD - A radiation-sensitive resin composition includes a polymer component, a radiation-sensitive acid generating agent, and a nitrogen-containing compound having a ring structure. The polymer component includes, in an identical polymer or different polymers, a first structural unit represented by a formula (1) and a second structural unit represented by a formula (2). R | 08-08-2013 |
20130209934 | PHOTOSENSITIVE COPOLYMER, PHOTORESIST COMPRISING THE COPOLYMER, AND ARTICLES FORMED THEREFROM - A copolymer comprising the polymerized product of an electron-sensitizing acid deprotectable monomer, such as the monomer having the formula (XX), and a comonomer: | 08-15-2013 |
20130209935 | MONOMER, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A polymer is prepared from an adamantane methacrylate monomer whose alcoholic hydroxyl group is protected with an alicyclic-containing tertiary alkyl group. A photoresist composition comprising the polymer displays a high sensitivity and a high dissolution contrast during both alkaline development and organic solvent development. | 08-15-2013 |
20130209936 | PATTERNING PROCESS AND RESIST COMPOSITION - A pattern is formed by coating a first positive resist composition comprising a copolymer comprising lactone-containing recurring units, acid labile group-containing recurring units and carbamate-containing recurring units, and a photoacid generator onto a substrate to form a first resist film, patternwise exposure, PEB, and development to form a first resist pattern, heating the first resist pattern for inactivation to acid, coating a second positive resist composition comprising a C | 08-15-2013 |
20130209937 | Polymerizable Fluorine-Containing Sulfonate, Fluorine-Containing Sulfonate Resin, Resist Composition And Pattern-Forming Method Using Same - According to the present invention, there is provided a sulfonate resin having a repeating unit of the following general formula (3): | 08-15-2013 |
20130209938 | Fluorine-Containing Sulfonic Acid Salts, Photo-Acid Generator And Resist Composition And Pattern Formation Method Utilizing Same - A resist composition according to the present invention includes at least a base resin, a photoacid generator and a solvent, wherein the photoacid generator comprises a fluorine-containing sulfonic acid salt of the following general formula (4). | 08-15-2013 |
20130216950 | LITHOGRAPHIC PRINTING PLATE PRECURSOR AND PLATE MAKING METHOD USING THE SAME - A lithographic printing plate precursor includes a support, an undercoat layer and an image-recording layer in this order, in which by exposing imagewise the image-recording layer with laser and then supplying at least any of printing ink and dampening water on a cylinder of a printing machine, an unexposed area of the image-recording layer can be removed, and the image-recording layer contains (A) a polymerization initiator, (B) a polymerizable compound and (C) a binder polymer, and the undercoat layer contains the copolymer (D1) as defined herein and the copolymer (D2) as defined herein and a weight of the copolymer (D1) is from 5 to 95% based on a total weight of the copolymers (D1) and (D2). | 08-22-2013 |
20130216951 | RADIATION-SENSITIVE RESIN COMPOSITION, POLYMER AND COMPOUND - A radiation-sensitive resin composition includes a polymer, an acid generating agent, and an organic solvent. The polymer includes a first structural unit derived from a compound represented by a formula (1), and a second structural unit derived from a compound represented by a formula (2). R | 08-22-2013 |
20130224659 | POLYMER, MAKING METHOD, RESIST COMPOSITION, AND PATTERNING PROCESS - A sulfonic acid anion-containing polymer having an alkylsulfonium cation not in covalent bond thereto can be readily prepared by reacting a sulfonic acid anion-containing polymer having an ammonium or metal cation with an alkylsulfonium salt under mild conditions. A resist composition comprising the inventive polymer is effective for suppressing acid diffusion since the sulfonium salt is bound to the polymer backbone. When processed by the ArF lithography, the polymer exhibits a lower absorption at the exposure wavelength than the triarylsulfonium salt form PAGs, resulting in improved resolution, mask fidelity, and LWR. | 08-29-2013 |
20130224660 | PREPARATION OF POLYMER, RESULTING POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A sulfonic acid anion-containing polymer having a triarylsulfonium cation is prepared by (1) preparing a sulfonic acid anion-containing polymer having an ammonium or metal cation not bound thereto, (2) purifying the polymer by water washing or crystallization, and (3) then reacting the polymer with a triarylsulfonium salt. A resist composition comprising the inventive polymer is effective for controlling acid diffusion since the sulfonium salt is bound to the polymer backbone. | 08-29-2013 |
20130224661 | PATTERN-FORMING METHOD, AND RADIATION-SENSITIVE RESIN COMPOSITION - A pattern-forming method includes coating a radiation-sensitive resin composition on a substrate to provide a resist film. The resist film is exposed. The exposed resist film is developed. A developer solution used in developing the exposed resist film includes no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a first polymer and a radiation-sensitive acid generator. The first polymer includes a first structural unit having an acid-labile group and an alicyclic group. The alicyclic group is capable of avoiding dissociation from a molecular chain by an action of an acid. | 08-29-2013 |
20130230803 | RESIST PATTERN-FORMING METHOD, AND RADIATION-SENSITIVE RESIN COMPOSITION - A resist pattern-forming method includes coating a radiation-sensitive resin composition on a substrate to provide a resist film. The resist film is exposed. The exposed resist film is developed with a developer solution including no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a base polymer, a fluorine-atom-containing polymer, a radiation-sensitive acid generator, a solvent, and a compound. The base polymer has an acid-labile group. The fluorine-atom-containing polymer has a content of fluorine atoms higher than a content of fluorine atoms of the base polymer. The compound has a relative permittivity greater than a relative permittivity of the solvent by at least 15. A content of the compound is no less than 10 parts by mass and no greater than 200 parts by mass with respect to 100 parts by mass of the base polymer. | 09-05-2013 |
20130230804 | PATTERN-FORMING METHOD, AND RADIATION-SENSITIVE COMPOSITION - A pattern-forming method includes providing a resist film on a substrate using a radiation-sensitive composition. The resist film is exposed. The exposed resist film is developed using a developer solution. The developer solution includes no less than 80% by mass of an organic solvent. The radiation-sensitive composition includes at least two components including a first polymer and a radiation-sensitive acid generator. The first polymer includes a structural unit having an acid-labile group. One or more components of the radiation-sensitive composition have a group represented by a formula (1). A | 09-05-2013 |
20130236832 | ACID GENERATOR, CHEMICALLY AMPLIFIED RESIST COMPOSITION, AND PATTERNING PROCESS - There is disclosed an acid generator generating a sulfonic acid represented by the following general formula (1) in response to high-energy beam or heat: | 09-12-2013 |
20130236833 | COATING COMPOSITIONS - Developable bottom antireflective coating compositions are provided. | 09-12-2013 |
20130244180 | PHOTORESIST OVERCOAT COMPOSITIONS AND METHODS OF FORMING ELECTRONIC DEVICES - Provided are photoresist overcoat compositions, substrates coated with the overcoat compositions and methods of forming electronic devices by a negative tone development process. The compositions, coated substrates and methods find particular applicability in the manufacture of semiconductor devices. | 09-19-2013 |
20130244181 | POLYMERS, METHODS OF USE THEREOF, AND METHODS OF DECOMPOSITION THEREOF - Polymers, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary polymer, among others, includes, a photodefinable polymer having a sacrificial polymer and a photoinitiator. | 09-19-2013 |
20130244182 | PHOTOSENSITIVE COMPOSITION COMPRISING AN ACRYLATE COMPOUND - The present invention relates to a photosensitive composition including an acrylate-based compound having an adamantyl structure. It is possible to manufacture an organic thin film that is easily stripped without decreasing the strength of the thin film by using the photosensitive composition. | 09-19-2013 |
20130252171 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component (A) which exhibits changed solubility in a developing solution under the action of acid and an acid-generator component (B) which generates acid upon exposure, the base component (A) containing a polymeric compound (A1) including a structural unit (A) represented by general formula (a0-1) and a structural unit (a1) containing an acid decomposable group which exhibits increased polarity by the action of acid (R | 09-26-2013 |
20130260315 | RADIATION-SENSITIVE RESIN COMPOSITION, PATTERN-FORMING METHOD, POLYMER, AND COMPOUND - A radiation-sensitive resin composition includes a polymer component that includes one or more types of polymers, and a radiation-sensitive acid generator. At least one type of the polymer of the polymer component includes a first structural unit represented by a following formula (1). R | 10-03-2013 |
20130260316 | RADIATION-SENSITIVE RESIN COMPOSITION AND RADIATION-SENSITIVE ACID GENERATING AGENT - A radiation-sensitive resin composition includes a compound represented by a formula (1), and a base polymer. A represents —CO— or —CH | 10-03-2013 |
20130266900 | PHOTOSENSITIVE ELEMENT, METHOD FOR FORMING RESIST PATTERN, AND METHOD FOR PRODUCING PRINTED CIRCUIT BOARD - The invention relates to a photosensitive element comprising a support film and a photosensitive layer derived from a photosensitive resin composition formed on the support film, wherein the support film haze is 0.01-1.5%, the total number of particles with diameters of 5 μm and larger and aggregates with diameters of 5 μm or larger in the support film is no greater than 5/mm | 10-10-2013 |
20130280657 | PHOTORESIST COMPOSITION AND RESIST PATTERN-FORMING METHOD - A photoresist composition includes a polymer component that includes a first structural unit represented by the formula (1) and a second structural unit represented by the formula (2), an acid generator, and a compound represented by the formula (3). The first structural unit and the second structural unit are included in an identical polymer, or different polymers. R | 10-24-2013 |
20130280658 | RADIATION-SENSITIVE COMPOSITION, AND COMPOUND - A radiation-sensitive composition includes a compound represented by a formula (1), and a polymer having a structural unit that includes an acid-labile group. In the formula (1), R | 10-24-2013 |
20130288180 | MONOMER, POLYMER, POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A polymer for use in resist compositions is obtained from a monomer having formula (1) wherein R | 10-31-2013 |
20130302736 | RESIST COMPOSITION, METHOD FOR FORMING RESIST PATTERN, AND COMPOUND - A resist composition including a base material component whose solubility in a developing solution changes by the action of an acid and an acid generator component which generates an acid upon exposure. The acid generator component includes an acid generator that includes a compound containing nitrogen atoms having proton acceptor properties and sites capable of generating an acid upon exposure in the same molecule, the number of the sites being larger than the number of the nitrogen atoms. | 11-14-2013 |
20130316287 | PHOTORESIST COMPOSITION - A photoresist composition includes a base polymer, a polymer and an acid generator. The base polymer includes a first structural unit that includes an acid-labile group. The polymer includes a second structural unit that includes an acid-labile group, and has a fluorine atom content higher than a fluorine atom content of the base polymer. The photoresist composition is developed using an organic solvent. The second structural unit is represented by a formula (1) or a formula (2). R | 11-28-2013 |
20130323646 | RESIST COMPOSITION AND PATTERNING PROCESS - A polymer is obtained from copolymerization of a unit having a carboxyl and/or phenolic hydroxyl group substituted with an acid labile group with a hydroxyphenyl methacrylate unit having one acyl, acyloxy or alkoxycarbonyl group. The polymer is useful as a base resin in a positive resist composition. The resist composition comprising the polymer is improved in contrast of alkali dissolution rate before and after exposure, acid diffusion control, resolution, and profile and edge roughness of a pattern after exposure. | 12-05-2013 |
20130323647 | POLYMER, RESIST COMPOSITION AND PATTERNING PROCESS - A photo or heat-sensitive polymer comprising recurring units having polymerizable anion-containing sulfonium salt and phenolic hydroxyl-containing recurring units is useful as a base resin to formulate a resist composition having high sensitivity, high resolution and low LWR. | 12-05-2013 |
20130337380 | POSITIVE PHOTOSENSITIVE MATERIAL - The invention relates to a novel positive working photosensitive composition having: at least one photoacid generator; at least one novolak polymer; at least one polymer, having a polymer backbone, said polymer comprising a structure of the following formula: | 12-19-2013 |
20130337381 | NEGATIVE-WORKING THICK FILM PHOTORESIST - Disclosed are compositions for negative-working thick film photophotoresists based on acrylic co-polymers. Also included are methods of using the compositions. | 12-19-2013 |
20130337382 | COMPOUND, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A compound represented by general formula (b1) shown below (in the formula, Y | 12-19-2013 |
20130337383 | PATTERNING PROCESS AND RESIST COMPOSITION - A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units having a carboxyl group substituted with an acid labile group of tertiary ester and an optional acid generator onto a substrate, prebaking, exposing to high-energy radiation, baking, and developing in an organic solvent developer so that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. The resist composition exhibits a high dissolution contrast during organic solvent development and forms a fine hole or trench pattern at a high sensitivity and dimensional control. | 12-19-2013 |
20130337384 | POSITIVE RESIST COMPOSITION FOR IMMERSION EXPOSURE AND PATTERN FORMING METHOD - A positive resist composition for immersion exposure includes the following (A) to (D): (A) a resin capable of decomposing by an action of an acid to increase a solubility of the resin in an alkali developer; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (C) a resin having at least either one of a fluorine atom and a silicon atom; and (D) a mixed solvent containing at least one kind of a solvent selected from the group consisting of solvents represented by any one of the following formulae (S1) to (S3) as defined in the specification, in which a total amount of the at least one kind of the solvent is from 3 to 20 mass % based on all solvents of the mixed solvent (D). | 12-19-2013 |
20130337385 | NEGATIVE PATTERN-FORMING METHOD AND PHOTORESIST COMPOSITION - A negative pattern-forming method includes providing a resist film on a substrate using a photoresist composition. The photoresist composition includes a first polymer and an organic solvent. The first polymer includes a first structural unit having an acid-generating capability. The resist film is exposed. The exposed resist film is developed using a developer that includes an organic solvent. | 12-19-2013 |
20130344441 | ORGANIC SOLVENT DEVELOPABLE PHOTORESIST COMPOSITION - Provided is a hydrophobic negative tone developable (NTD) resist composition comprising (a) a hydrophobic polymer having (i) at least one nonpolar acid-stable group; and (ii) at least one nonpolar acid-labile group, and (b) a photoacid generator (PAG) that may or may not be bound to the polymer, wherein a nonpolar aromatic or aliphatic organic hydrocarbon solvent is used to develop the unexposed regions of the NTD resist film and the resist film is not developable in an aqueous base developer, such as 0.26 N TMAH. | 12-26-2013 |
20130344442 | POLYMER, POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A polymer comprising recurring units of butyrolactone (meth)acrylate, recurring units having a carboxyl or phenolic group which is substituted with an acid labile group, and recurring units having a phenol group or an adhesive group in the form of 2,2,2-trifluoro-1-hydroxyethyl is quite effective as a base resin for resist. A positive resist composition comprising the polymer is improved in such properties as a contrast of alkali dissolution rate before and after exposure, acid diffusion suppressing effect, resolution, and profile and edge roughness of a pattern after exposure. | 12-26-2013 |
20140004463 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, AND POLYMER AND COMPOUND | 01-02-2014 |
20140004464 | POLYMER COMPOSITION, PHOTORESIST COMPRISING THE POLYMER COMPOSITION, AND COATED ARTICLE COMPRISING THE PHOTORESIST | 01-02-2014 |
20140011136 | PATTERNING PROCESS AND RESIST COMPOSITION - A negative pattern is formed by coating a resist composition comprising a branched polymer having chains extending in at least three directions and an optional acid generator onto a substrate, prebaking, exposing to high-energy radiation, baking, and developing in an organic solvent developer so that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. The resist composition exhibits a high dissolution contrast and no swell during organic solvent development, and forms a pattern without collapse and bridging defects. | 01-09-2014 |
20140023971 | PHOTORESIST COMPOSITION AND METHOD FOR PRODUCING PHOTORESIST PATTERN - A photoresist composition comprising | 01-23-2014 |
20140045122 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition comprises a polymer having a carboxyl group substituted with an acid labile group having formula (1) wherein R | 02-13-2014 |
20140045123 | MONOMER, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A polymer comprising recurring units derived from a (meth)acrylate monomer of tertiary ester type having branched alkyl on alicycle is used to form a resist composition. When subjected to exposure, PEB and organic solvent development, the resist composition is improved in dissolution contrast. | 02-13-2014 |
20140051026 | PATTERNING PROCESS AND RESIST COMPOSITION - A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units having a tertiary ester type acid labile group having a plurality of methyl or ethyl groups on alicycle and an acid generator onto a substrate, prebaking, exposing to high-energy radiation, baking, and developing in an organic solvent developer so that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. The resist composition exhibits a high dissolution contrast during organic solvent development and forms a fine hole or trench pattern of dimensional uniformity. | 02-20-2014 |
20140065542 | PHOTORESIST COMPOSITION AND METHOD OF FORMING A BLACK MATRIX USING THE SAME - A photoresist composition includes a binder resin combined with a black dye, a monomer, a photo-polymerization initiator and a remainder of a solvent. | 03-06-2014 |
20140065543 | NEW COMPOUND, PHOTOSENSITIVE COMPOSITION COMPRISING THE SAME AND PHOTOSENSITIVE MATERIAL - The present application relates to a novel compound, a photosensitive composition comprising the same and a photosensitive material. | 03-06-2014 |
20140065544 | RESIST COMPOSITION AND PATTERNING PROCESS - A polymer capable of increasing alkali solubility under the action of acid, as a base resin is blended with a copolymer comprising recurring units derived from acenaphthylene, indene, benzofuran or benzothiophene and fluorine-containing recurring units, as a polymeric additive to formulate a resist composition. The photoresist film formed using the resist composition is effective for minimizing outgassing therefrom during the EUV lithography. The resist film has a hydrophilic surface and is effective for suppressing formation of blob defects after development. | 03-06-2014 |
20140065545 | RESIST COMPOSITION AND PATTERNING PROCESS - A polymer capable of increasing alkali solubility under the action of acid, as a base resin is blended with a copolymer comprising recurring units derived from (meth)acrylate, vinyl ether, vinylfluorene, vinylanthracene, vinylpyrene, vinylbiphenyl, stilbene, styrylnaphthalene or dinaphthylethylene, and fluorine-containing recurring units, as a polymeric additive to formulate a resist composition. The photoresist film formed using the resist composition is effective for minimizing outgassing therefrom during the EUV lithography. The resist film has a hydrophilic surface and is effective for suppressing formation of blob defects after development. | 03-06-2014 |
20140065546 | RESIST COMPOSITION AND PATTERNING PROCESS - A polymer capable of increasing alkali solubility under the action of acid, as a base resin is blended with a polymer comprising recurring units derived from a styrene having 1,1,1,3,3,3-hexafluoro-2-propanol as a polymeric additive to formulate a resist composition. The photoresist film formed using the resist composition is effective for minimizing outgassing therefrom during the EUV lithography, reducing LWR after development, and suppressing formation of blob defects after development because of its hydrophilic surface. | 03-06-2014 |
20140080061 | PHOTORESISTS CONTAINING POLYMER-TETHERED NANOPARTICLES - Compositions such as photoresists and microfabrication processes are provided that can produce high-fidelity microfabricated structures. The provided photoresists can have reduced swelling during the development phase and can give tight tolerances for products, such as microneedles, that can be used, for example, in the medical field. The provided compositions include a photoresist, a photoinitiator system dispersed in the photoresist, and a polymer-tethered nanoparticle dispersed in the photoresist. The photoresist can be a negative photoresist and the photoinitiator system can include a two-photoinitiator system. The polymer-tethered nanoparticle can include an acrylic polymer and, in some embodiments, can include poly(methyl methacrylate). The nanoparticles can include silica. | 03-20-2014 |
20140080062 | PHOTORESISTS COMPRISING MULTIPLE ACID GENERATOR COMPOUNDS - The present invention relates to new photoresist compositions that comprise (a) a polymer comprising an acid generator bonded thereto; and (b) an acid generator compound that is not bonded to the polymer and that comprises one or more acid-labile groups. | 03-20-2014 |
20140080063 | PIGMENT DISPERSION, INK COMPOSITION INCLUDING PIGMENT DISPERSION, AND COLOR FILTER YELLOW RESIST COMPOSITION INCLUDING PIGMENT DISPERSION - The present invention provides a pigment dispersion excellent in dispersibility. Furthermore, the present invention provides a color filter yellow resist composition and an ink composition, which include the pigment dispersion. A pigment dispersion containing at least a compound represented by General formula (1) and a yellow pigment represented by General formula (2) in a dispersion medium and a method for manufacturing the same are provided. Furthermore, a color filter yellow resist composition and an ink composition are provided, wherein images can be displayed with high spectral characteristics and high display contrast because the brightness is high and the hue of yellow is excellent. | 03-20-2014 |
20140087311 | DEVELOPABLE BOTTOM ANTI-REFLECTIVE COATING - The present invention provides a cross-linking agent capable of preventing formation of scum from a bottom anti-reflective coating, and also provides a composition for forming a bottom anti-reflection coating containing the agent. The cross-linking agent is a nitrogen-containing aromatic compound having at least one vinyloxy group or N-methoxymethylamide group, and the composition contains the cross-linking agent. The cross-linking agent of the formula (1) can be produced by reaction of a nitrogen-containing aromatic compound, a halogen compound having a vinyloxy group or N-methoxymethylamide group and a basic compound. | 03-27-2014 |
20140113232 | SELF-ASSEMBLABLE POLYMER AND METHODS FOR USE IN LITHOGRAPHY - A block copolymer, adapted to self-assemble to form an ordered pattern on a substrate, has first and second blocks with a terminal moiety covalently bonded to the end of the first block. The molecular weight of the terminal moiety is 20% or less than that of the block copolymer and the terminal moiety has a low chemical affinity for the first block. The terminal moiety can assist the accurate positional placement of the domains of the ordered array and lead to improved critical dimension uniformity and/or reduced line edge roughness. The polymer may be useful in combination with a graphoepitaxy template, where the terminal moiety is chosen to associate with a sidewall of the template. This may reduce undesired aggregation of polymer domains at a sidewall and/or assist in domain placement accuracy. | 04-24-2014 |
20140120471 | PHOTOACID GENERATING COMPOUND AND PHOTORESIST COMPOSITION COMPRISING SAME, COATED ARTICLE COMPRISING THE PHOTORESIST AND METHOD OF MAKING AN ARTICLE - A compound having the formula (I): | 05-01-2014 |
20140120472 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, NOVEL COMPOUND, AND ACID GENERATOR - A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) including a compound represented by (b1-1), a compound represented by (b1-1′) and/or a compound represented by (b1-1″) (R | 05-01-2014 |
20140120473 | COLORED RADIATION-SENSITIVE COMPOSITION FOR COLOR FILTER, PATTERN FORMING METHOD, COLOR FILTER AND METHOD OF PRODUCING THE SAME, AND SOLID-STATE IMAGE SENSOR - A colored radiation-sensitive composition for a color filter that includes (A) a pigment, (B) a photopolymerization initiator, and (C) a polymerizable compound, in which the colored radiation-sensitive composition satisfies the following conditions: when a colored radiation-sensitive composition layer is formed from the colored radiation-sensitive composition such that spectral transmittance at 600 nm becomes 30%, the colored radiation-sensitive composition layer includes the following characteristics: (1) spectral transmittance at 400 nm is 20% or less; (2) spectral transmittance at 550 nm is 10% or less; (3) spectral transmittance at 700 nm is 70% or more; (4) a wavelength resulting in 50% spectral transmittance is in a range of from 650 nm to 680 nm; and (5) a film thickness of the colored radiation-sensitive composition layer is in a range of from 0.55 μm to 1.8 μm. | 05-01-2014 |
20140127627 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, AND PATTERN-FORMING METHOD USING THE SAME - Provided is an actinic ray-sensitive or radiation-sensitive resin composition, a resist film formed with the composition, and a pattern-forming method using the same. The actinic ray-sensitive or radiation-sensitive resin composition includes (P) a resin that contains the following repeating units (A), (B) and (C); and a solvent having a boiling temperature of 150° C. or less,
| 05-08-2014 |
20140134541 | POSITIVE RESIST COMPOSITION, RESIN USED FOR THE POSITIVE RESIST COMPOSITION, COMPOUND USED FOR SYNTHESIS OF THE RESIN AND PATTERN FORMING METHOD USING THE POSITIVE RESIST COMPOSITION - A positive resist composition comprises: (A) a resin of which solubility in an alkali developer increases under an action of an acid; (B) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (C) a resin having at least one of a fluorine atom and a silicon atom; and (D) a solvent; and a pattern forming method using the positive resist composition. | 05-15-2014 |
20140147790 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under the action of acid, the resist composition including: a base component (A) which exhibits changed solubility in a developing solution under the action of acid and an acid generator component (B) which generates an acid upon exposure, the base component (A) including a polymeric compound (A1) having a structural unit (a0) containing an acid decomposable group that exhibits increased polarity by the action of acid and a lactone-containing cyclic group, an —SO | 05-29-2014 |
20140170563 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS USING SAME - The invention provides a positive resist composition, wherein a polymer compound having the weight-average molecular weight in the range of 1,000 to 500,000 and comprising a repeating unit having a hydrogen atom in a carboxyl group and/or in a phenolic hydroxy group therein been substituted by an acid-labile group and a repeating unit “a” having a cyclopentadienyl complex shown by the following general formula (1) is used as a base resin therein. There can be a positive resist composition having not only small edge roughness (LER and LWR) while having a higher resolution than conventional positive resist compositions, but also a good pattern form after exposure and an extremely high etching resistance, especially a positive resist composition using a polymer compound suitable as a base resin for a chemically amplifying resist composition; and a patterning process. | 06-19-2014 |
20140170564 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESING COMPOSITION, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM USING THE COMPOSITION, AND PATTERN FORMING METHOD - There is provided an actinic ray-sensitive or radiation-sensitive resin composition containing (A) a compound represented by the following formula ( | 06-19-2014 |
20140178817 | CURABLE COATINGS FOR PHOTOIMAGING - There is herein described curable coatings for use in a photoimaging process. In particular, there is described curable coatings in the form of 100% or substantially 100% solids energy curable coatings for use in a photoimaging process wherein a substrate is covered with a wet curable photopolymer and the photoimaged substrate is used to form images such as electrical circuits or other features used in the Photochemical Machining Industry (PCMI) such as for example lines, squares, spirals, circles, or other geometric and non-geometric shapes. | 06-26-2014 |
20140178818 | RESIST COMPOSITION AND PATTERNING PROCESS - An additive polymer comprising recurring units derived from a fluorosulfonamide-substituted styrene and recurring units derived from a stilbene, styrylnaphthalene, dinaphthylethylene, acenaphthylene, indene, benzofuran, or benzothiophene derivative is added to a polymer capable of increasing alkali solubility under the action of acid to formulate a resist composition. The resist composition can minimize outgassing from a resist film during the EUV lithography and form a resist film having a hydrophilic surface sufficient to prevent formation of blob defects on the film after development. | 06-26-2014 |
20140178819 | PHOTOSENSITIVE POLYSILOXANE COMPOSITION AND APPLICATIONS THEREOF - A phontosensitive polysiloxane composition for forming a protective film having superior sensitivity is disclosed. A protective film formed from the phontosensitive polysiloxane composition and an element including the protective film are also disclosed. The phontosensitive polysiloxane composition includes a polysiloxane, an o-naphthoquinonediazidesulfonic acid ester, a urethane(meth)acrylate compound having at least six (meth)acryloyl groups in a molecule, and a solvent. | 06-26-2014 |
20140178820 | RESIST COMPOSITION, PATTERNING PROCESS AND POLYMER - An additive polymer comprising recurring styrene units having an ester group bonded to a CF | 06-26-2014 |
20140178821 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN AND COMPOUND - A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, including a base component (A) which exhibits changed solubility in a developing solution under action of acid, and a photo-decomposable quencher (D0) containing a compound represented by general formula (d0) shown below. In the formula, R | 06-26-2014 |
20140178822 | PHOTOSENSITIVE POLYSILOXANE COMPOSITION AND USES THEREOF - The invention relates to a photosensitive polysiloxane composition that has good thermal transmittance, good chemical resistance and good sensitivity and good refractivity. The invention also provides a method for forming a thin film on a substrate, a thin film on a substrate and an apparatus. | 06-26-2014 |
20140186769 | RESIST COMPOSITION, METHOD FOR FORMING RESIST PATTERN, AND HIGH-MOLECULAR WEIGHT COMPOUND - A resist composition having excellent lithography properties, which generates an acid upon exposure and exhibits changed solubility in a developing solution by the action of an acid, the resist composition containing a base material component (A) which exhibits changed solubility in a developing solution by the action of an acid, and the base material component (A) containing a high-molecular weight compound (A1) having a constituent unit (a0) derived from a compound represented by the following general formula (a0-1); a method for forming a resist pattern using the resist composition; and a high-molecular weight compound (A1) having a constituent unit (a0) derived from a compound represented by the following general formula (a0-1), are disclosed. | 07-03-2014 |
20140186770 | DENDRITIC COMPOUNDS, PHOTORESIST COMPOSITIONS AND METHODS OF MAKING ELECTRONIC DEVICES - Dendritic compounds are provided. The dendritic compounds include an anionic dendron that has a focal point having an anionic group and a linking group, and a photoreactive cation. The dendritic compounds find particular use as photoacid generators. Also provided are photoresist compositions that include such a dendritic compound, as well as methods of forming electronic devices with the photoresist compositions. The dendritic compounds, photoresist compositions and methods find particular applicability in the manufacture of semiconductor devices. | 07-03-2014 |
20140186771 | RADIATION-SENSITIVE RESIN COMPOSITION, POLYMER, COMPOUND, AND METHOD FOR PRODUCING COMPOUND - A radiation-sensitive resin composition includes a polymer that includes a structural unit represented by a formula (1), and an acid generator. R | 07-03-2014 |
20140199632 | PATTERNING PROCESS, RESIST COMPOSITION, POLYMER, AND MONOMER - A negative pattern is formed by applying a resist composition onto a substrate, prebaking, exposing to high-energy radiation, PEB, and developing the exposed resist film in an organic solvent developer to dissolve the unexposed region of resist film. The resist composition comprising a polymer adapted to form a lactone ring under the action of an acid so that the polymer may reduce its solubility in an organic solvent displays a high dissolution contrast. A fine hole or trench pattern can be formed therefrom. | 07-17-2014 |
20140205950 | COATING COMPOSITION FOR DUV FILTERING, METHOD OF FORMING PHOTORESIST PATTERN USING THE SAME AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE BY USING THE METHOD - Provided are a coating composition for deep ultraviolet (DUV) filtering during an extreme ultraviolet (EUV) exposure, the coating composition including about 100 parts by weight of a solvent including a first solvent (the first solvent being an alcoholic solvent); and about 0.05 parts by weight to about 5 parts by weight of a coating polymer having a degree of absorption of about 50%/μm or greater with respect to 193-nm incident light. | 07-24-2014 |
20140212810 | NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS - A polymer comprising recurring units (a) of styrene having an HFA group and an ester group adjacent thereto and recurring units (b) having a hydroxyl group is used as base resin to formulate a negative resist composition. The negative resist composition has a high dissolution contrast in alkaline developer, high sensitivity, high resolution, good pattern profile after exposure, and a suppressed acid diffusion rate. | 07-31-2014 |
20140212811 | PATTERN-FORMING METHOD, ELECTRON BEAM-SENSITIVE OR EXTREME ULTRAVIOLET RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, MANUFACTURING METHOD OF ELECTRONIC DEVICE USING THEM AND ELECTRONIC DEVICE - A pattern-forming method includes in this order: step (1) of forming a film with an electron beam-sensitive or extreme ultraviolet radiation-sensitive resin composition that contains (A) a resin having an acid-decomposable repeating unit and capable of decreasing a solubility of the resin (A) in a developer containing an organic solvent by an action of an acid, (B) a compound capable of generating an acid upon irradiation with an electron beam or extreme ultraviolet radiation, (C) a resin having one or more groups selected from the specific group as defined in the specification and (D) a solvent; step (2) of exposing the film with an electron beam or extreme ultraviolet radiation; and step (4) of developing the film with a developer containing an organic solvent after the exposing to form a negative pattern. | 07-31-2014 |
20140212812 | FLUORINE-BASED RESINS AND PHOTOSENSITIVE RESIN COMPOSITION COMPRISING THE SAME - The present application relates to a fluorine-based resin having a novel structure and a photosensitive resin composition including the same. The photosensitive resin composition including the fluorine-based resin according to an exemplary embodiment of the present application has excellent photosensitivity and developability and can increase a contact angle of a coating film to prevent a water stain. Accordingly, the photosensitive resin composition including the fluorine-based resin according to the exemplary embodiment of the present application may be applied to various photosensitive materials, and particularly, may be preferably applied when a color filter pattern for LCD is manufactured. | 07-31-2014 |
20140212813 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, POLYMER AND POLYMERIZABLE COMPOUND - A radiation-sensitive resin composition includes a first polymer including an acid-labile group, an acid generator to generate an acid upon exposure to radiation, and a second polymer including a fluorine atom and a functional group shown by a general formula (x). The second polymer has a fluorine atom content higher than a fluorine atom content of the first polymer. R | 07-31-2014 |
20140220491 | PHOTOACTIVE COMPOUND AND PHOTOSENSITIVE RESIN COMPOSITION COMPRISING THE SAME - The present invention relates to a photoactive compound having a novel structure and a photosensitive resin composition including the same, and the photoactive compound according to the present invention has excellent sensitivity due to efficient absorption to a UV light source by including a nitro group and a phosphonate structure, and has excellent retention rate, mechanical strength, heat resistance, chemical resistance and developing resistance by improving solubility of the photosensitive resin composition by excellent compatibility of the phosphonate structure and a binder resin. Therefore, the photosensitive resin composition according to the present invention is useful to cure a column spacer, an overcoat, a passivation material and the like of a liquid crystal display device, and is useful in view of a high temperature process property. | 08-07-2014 |
20140220492 | RESIST COMPOSITION, POLYMERIC COMPOUND, COMPOUND AND METHOD OF FORMING RESIST PATTERN - A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, including a base component containing a polymeric compound having a structural unit derived from a compound represented by general formula (a0-1). R | 08-07-2014 |
20140234776 | PHOTOSENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN USING THE SAME - A photosensitive resin composition includes: an acrylic copolymer comprising a polymerization product of a first monomer comprising at least one selected from an unsaturated carboxylic acid and an unsaturated carboxylic acid anhydride, and a second monomer comprising an olefin-based unsaturated compound; a photosensitive component comprising at least one 1,2-quinonediazide-5-sulfonic acid ester compound selected from compounds represented by Chemical Formulae 1 to 4; a coupling agent; and a solvent, wherein a total amount of asymmetric compounds in the photosensitive component is greater than or equal to 45 area percent as determined by high performance liquid chromatography: | 08-21-2014 |
20140242519 | MONOMER, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A polymer for resist use is obtainable from a monomer having formula (1) wherein R | 08-28-2014 |
20140242520 | I-LINE PHOTORESIST COMPOSITION AND METHOD FOR FORMING FINE PATTERN USING SAME - An I-line photoresist composition, having excellent thermal stability at high temperature of 200-250° C., by which fine photoresist patterns form using an acid diffusion layer and a method for forming a fine pattern using the same, comprising: a polymer containing 1-99 mol % of repeating unit selected from a group consisting of 1-99 mol % of repeating unit represented by Formula 1, repeating unit represented by Formula 2, repeating unit represented by Formula 3 and mixture thereof; a photo active compound containing at least two diazonaphtoquinone (DNQ) groups; and an organic solvent. Formulas 1-3 are located in the specification. R* and R** are independently a hydrogen atom or a methyl group. R | 08-28-2014 |
20140255849 | Methanofullerenes - The present disclosure relates to novel methanofullerene derivatives, negative-type photoresist compositions prepared therefrom and methods of using them. The derivatives, their photoresist compositions and the methods are ideal for fine pattern processing using, for example, ultraviolet radiation, beyond extreme ultraviolet radiation, extreme ultraviolet radiation, X-rays and charged particle rays. Negative photosensitive compositions are also disclosed. | 09-11-2014 |
20140287363 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND, RESIST FILM, PATTERN FORMING METHOD, ELECTRONIC DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICE, EACH USING THE COMPOSITION - Disclosed are an actinic ray-sensitive or radiation-sensitive resin composition including (A) a compound capable of generating an acid by irradiation of actinic rays or radiation, and (B) a resin of which solubility in an alkali developer increases by being decomposed by the action of an acid, and, a resist film, a pattern forming method, an electronic device manufacturing method, and an electronic device, each using the composition, wherein the actinic ray-sensitive or radiation-sensitive resin composition contains at least one type of a specific compound represented by General Formula (A-I) and at least one type of a specific compound represented by General Formula (A-II) as the compound (A). | 09-25-2014 |
20140295351 | PHOTOSENSITIVE RESIN COMPOSITION AND PHOTOSENSITIVE PASTE INCLUDING THE SAME - A photosensitive resin composition which is high in thermal decomposition property of a photocured product thereof even in a case of being subjected to heat treatment in a non-oxygen atmosphere and is less likely to generate a residue of carbon and a photosensitive paste composed of the same are provided. The composition contains (a) a photopolymerization initiator, (b) an acryl monomer, and (c) polyalkylene carbonate, in which a ratio of polyalkylene carbonate to a total amount of the acryl monomer and polyalkylene carbonate is not lower than 50 weight % and not higher than 90 weight %. Polypropylene carbonate is used as polyalkylene carbonate. The photosensitive paste is obtained by blending the photosensitive composition, a solvent, and inorganic powders. Insulating inorganic material powders or conductive metal powders are employed as the inorganic powders. | 10-02-2014 |
20140329178 | CYCLIC COMPOUND, METHOD FOR PRODUCING THE SAME, RADIATION-SENSITIVE COMPOSITION, AND RESIST PATTERN FORMATION METHOD - A cyclic compound of the present invention has a molecular weight of 500 to 5000, and is represented by the following formula (1): | 11-06-2014 |
20140335454 | UNDERLAYER COMPOSITION AND METHOD OF IMAGING UNDERLAYER - A method of forming a pattern comprises diffusing an acid, generated by irradiating a portion of a photosensitive layer, into an underlayer comprising an acid sensitive copolymer comprising an acid decomposable group and an attachment group, to form an interpolymer crosslink and/or covalently bonded to the surface of the substrate. Diffusing comprises heating the underlayer and photosensitive layer. The acid sensitive group reacts with the diffused acid to form a polar region at the surface, in the shape of the pattern. The photosensitive layer is removed to forming a self-assembling layer comprising a block copolymer having a block with an affinity for the polar region, and a block having less affinity than the first. The first block forms a domain aligned to the polar region, and the second block forms a domain aligned to the first. Removing either the first or second domain exposes a portion of the underlayer. | 11-13-2014 |
20140335455 | UNDERLAYER COMPOSITION AND METHOD OF IMAGING UNDERLAYER COMPOSITION - A method of forming a pattern comprises diffusing an acid formed by irradiating a portion of a photosensitive layer, into an underlayer comprising an acid sensitive copolymer having acid decomposable groups and attachment groups covalently bonded to the surface of the substrate and/or forming an interpolymer crosslink. Diffusing comprises heating the underlayer and photosensitive layer. The acid sensitive group reacts with the diffused acid to form a polar region on the underlayer, with the shape of the pattern. The photosensitive layer is removed, forming a self-assembling layer comprising a block copolymer having a first block with an affinity for the polar region, and a second block having less affinity for the polar region. The first block forms a domain aligned to the polar region, and the second block forms another domain aligned to the first. Removing either domain exposes a portion of the underlayer. | 11-13-2014 |
20140342290 | COMPOSITION COMPRISING A POLYMERIC THERMAL ACID GENERATOR AND PROCESSES THEREOF - The present invention relates a novel aqueous composition comprising polymeric thermal acid generator and a process of coating the novel composition onto photoresist pattern, thereby forming a layer of the polymeric thermal acid generator over the photoresist pattern. The polymeric thermal acid generator comprises a polymer having at least one repeating unit of structure 2; | 11-20-2014 |
20140356788 | FLUORINATED PHOTORESIST WITH INTEGRATED SENSITIZER - A method of patterning a device comprises providing on a device substrate a layer of a fluorinated photopolymer comprising at least three distinct repeating units including a first repeating unit having a fluorine-containing group, a second repeating unit having an acid- or alcohol-forming precursor group, and a third repeating unit having a sensitizing dye. The photopolymer has a total fluorine content in a range of 15 to 60% by weight. The photopolymer layer is exposed to patterned light and contacted with a developing agent to remove a portion of exposed photopolymer layer in accordance with the patterned light, thereby forming a developed structure having a first pattern of photopolymer covering the substrate and a complementary second pattern of uncovered substrate corresponding to the removed portion of photopolymer. The developing agent comprises at least 50% by volume of a fluorinated solvent. | 12-04-2014 |
20140356789 | FLUORINATED PHOTOPOLYMER WITH INTEGRATED ANTHRACENE SENSITIZER - A method of patterning a device comprises providing on a device substrate a layer of a fluorinated photopolymer comprising at least three distinct repeating units including a first repeating unit having a fluorine-containing group, a second repeating unit having an acid- or alcohol-forming precursor group, and a third repeating unit having an anthracene-based sensitizing dye. The photopolymer has a total fluorine content in a range of 15 to 60% by weight. The photopolymer layer is exposed to patterned light and contacted with a developing agent to remove a portion of exposed photopolymer layer in accordance with the patterned light, thereby forming a developed structure having a first pattern of photopolymer covering the substrate and a complementary second pattern of uncovered substrate corresponding to the removed portion of photopolymer. The developing agent comprises at least 50% by volume of a fluorinated solvent. | 12-04-2014 |
20140363770 | NEGATIVE TONE RESIST COMPOSITION FOR SOLVENT DEVELOPING AND METHOD OF FORMING RESIST PATTERN - A negative tone resist composition for solvent developing including: a base component (A) which exhibits decreased solubility in an organic solvent under the action of acid; a photodecomposable quencher (D0) which generates acid having a pKa of 2.0 or more; and a fluorine additive (F) containing a fluorine-containing polymeric compound (f) which has a structural unit (f0-1) represented by general formula (f0-1) shown below or a structural unit (f0-2) represented by general formula (f0-2) shown below. In the formulae, R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms; and R | 12-11-2014 |
20140377705 | LITHOGRAPHIC PRINTING PLATE PRECURSORS AND PROCESSES FOR PREPARING LITHOGRAPHIC PRINTING PLATES - Disclosed is a lithographic printing plate allowing for high running performance of the developer, wide water window and high printing durability. The lithographic printing plate precursor comprises a photosensitive layer on a support, wherein the photosensitive layer comprises (A) a polymerizable compound, (B) a polymerization initiator, (C) a polyvinyl acetal binder containing at least one kind of repeat units represented by general formula (I-c), general formula (I-b) and general formula (I-a), and (D) an acrylic resin binder. | 12-25-2014 |
20150017586 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition is provided comprising a polymer comprising recurring units having a carboxyl or phenolic hydroxyl group substituted with an acid labile group and recurring units of hydroxyanthraquinone or hydroxy-2,3-dihydro-1,4-anthracenedione methacrylate, and having a Mw of 1,000-500,000. The resist composition has a satisfactory effect of suppressing acid diffusion and a high resolution, and forms a pattern of good profile and minimal edge roughness after exposure. | 01-15-2015 |
20150030981 | CROSS-LINKABLE FLUORINATED PHOTOPOLYMER - A photosensitive composition comprises a fluorinated solvent, a photo-acid generator and a copolymer. The copolymer comprises at least three distinct repeating units, including a first repeating unit having a fluorine-containing group, a second repeating unit having an acid-catalyzed cross-linkable group, and a third repeating unit having a sensitizing dye. The composition is useful in the fabrication of electronic devices, especially organic electronic and bioelectronic devices. | 01-29-2015 |
20150030982 | FLUORINATED PHOTOPOLYMER WITH FLUORINATED SENSITIZER - A photosensitive composition useful for fabricating organic electronic devices comprises a fluorinated solvent a fluorinated sensitizing dye and a copolymer. The copolymer comprises at least two distinct repeating units, including a first repeating unit having a fluorine-containing group and a second repeating unit having a solubility-altering reactive group. The presence of the fluorinated sensitizing dye improves photosensitivity. | 01-29-2015 |
20150044613 | CHEMICALLY AMPLIFIED PHOTOSENSITIVE RESIN COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN USING THE SAME - A chemically amplified photosensitive resin composition including a compound represented by formula (1) and/or formula (4), a resin having an acid-dissociative dissolution-controlling group whose solubility in alkali increases under the action of an acid or an alkali-soluble resin, a photoacid generator, and an organic solvent, in which the solid concentration is 40% by mass to 65% by mass. R | 02-12-2015 |
20150050595 | Photosensitive Resin Composition and Light Blocking Layer Using the Same - Disclosed are a photosensitive resin composition including (A) a binder resin represented by the following Chemical Formula 1; (B) a reactive unsaturated compound; (C) an initiator; (D) a pigment; and (E) a solvent, and a light blocking layer using the same, wherein, in the following Chemical Formula 1, each substituent is the same as defined in the detailed description. | 02-19-2015 |
20150056555 | Photoresist and Method of Formation and Use - A system and method for depositing a photoresist and utilizing the photoresist are provided. In an embodiment a deposition chamber is utilized along with a first precursor material comprising carbon-carbon double bonds and a second precursor material comprising repeating units to deposit the photoresist onto a substrate. The first precursor material is turned into a plasma in a remote plasma chamber prior to being introduced into the deposition chamber. The resulting photoresist comprises a carbon backbone with carbon-carbon double bonds. | 02-26-2015 |
20150056556 | PHOTOSENSITIVE COMPOSITION AND PHOTORESIST - A photosensitive composition and a photoresist are provided. The photoresist is formed by compounding a photosensitive composition. The photosensitive composition includes a binder agent, a first photomonomer, and a photo initiator. The first photomonomer has at least a lactic oligomer and at least two unsaturated acrylic functional groups, wherein the first photomonomer has an amount of about 25-95 parts by weight relative to 100 parts by weight of a solid content of the binder agent. The photoinitiator has an amount of about 0.5-15 parts by weight relative to 100 parts by weight of the solid content of the binder agent. | 02-26-2015 |
20150056557 | CHEMICALLY AMPLIFIED POSITIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN USING THE SAME - A chemically amplified positive-type photosensitive resin composition including a compound represented by the following formula (1), having a melting point of 40° C. or lower at 1 atm, a resin whose solubility in alkali increases under the action of an acid, and a photoacid generator. In the formula, R | 02-26-2015 |
20150064626 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition is provided comprising a polymer comprising recurring units having a carboxyl and/or phenolic hydroxyl group substituted with an acid labile group and recurring units of tert-butyl or tert-amyl-substituted hydroxyphenyl methacrylate and having a weight average molecular weight of 1,000-500,000. The resist composition has a satisfactory effect of suppressing acid diffusion and a high resolution, and forms a pattern of good profile and minimal edge roughness after exposure. | 03-05-2015 |
20150072291 | SELF-ASSEMBLED STRUCTURES, METHOD OF MANUFACTURE THEREOF AND ARTICLES COMPRISING THE SAME - Disclosed herein is a graft block copolymer comprising a first block polymer; the first block polymer comprising a backbone polymer and a first graft polymer; where the first graft polymer comprises a surface energy reducing moiety that comprises a halocarbon moiety, a silicon containing moiety, or a combination of a halocarbon moiety and a silicon containing moiety; a second block polymer; the second block polymer being covalently bonded to the first block; wherein the second block comprises the backbone polymer and a second graft polymer; where the second graft polymer comprises a functional group that is operative to undergo acid-catalyzed deprotection causing a change of solubility of the graft block copolymer in a developer solvent. | 03-12-2015 |
20150072292 | SELF-ASSEMBLED STRUCTURES, METHOD OF MANUFACTURE THEREOF AND ARTICLES COMPRISING THE SAME - Disclosed herein is a photoresist composition comprising a graft block copolymer; a solvent and a photoacid generator; where the graft block copolymer comprises a first block polymer; the first block polymer comprising a backbone polymer and a first graft polymer; where the first graft polymer comprises a surface energy reducing moiety that comprises a halocarbon moiety or a silicon containing moiety; and a second block polymer; the second block polymer being covalently bonded to the first block; wherein the second block comprises the backbone polymer and a second graft polymer; where the second graft polymer comprises a functional group that is operative to undergo deprotection and alter the solubility of the graft block copolymer; where the graft block copolymer has a bottle brush topology. | 03-12-2015 |
20150079520 | ACID DIFFUSION CONTROL AGENT, RADIATION-SENSITIVE RESIN COMPOSITION, RESIST PATTERN-FORMING METHOD, COMPOUND, AND PRODUCTION METHOD - An acid diffusion control agent includes a compound represented by a formula (1), a compound represented by a formula (2) or both thereof. R | 03-19-2015 |
20150086925 | SULFONIC ACID ESTER CONTAINING POLYMERS FOR ORGANIC SOLVENT BASED DUAL-TONE PHOTORESISTS - Provided are chemically amplified resist compositions that include acid-labile sulfonate-ester photoresist polymers that are developable in an organic solvent. The chemically amplified resists produce high resolution positive tone development (PTD) and negative tone development (NTD) images depending on the selection of organic development solvent. Furthermore, the dissolution contrast of the traditional chemically amplified resists may be optimized for dual tone imaging through the addition of a photoresist polymer comprising an acid-labile sulfonate-ester moiety. | 03-26-2015 |
20150086926 | SULFONIUM SALT, CHEMICALLY AMPLIFIED RESIST COMPOSITION, AND PATTERN FORMING PROCESS - A carboxylic acid sulfonium salt having formula (1) is provided wherein R | 03-26-2015 |
20150086927 | PHOTORESIST COMPOSITION - A photoresist composition comprising:
| 03-26-2015 |
20150093704 | RADIATION-SENSITIVE RESIN COMPOSITION, RESIST-PATTERNING METHOD, AND BLOCK COPOLYMER - A radiation-sensitive resin composition includes (A) a block copolymer, and (B) an acid-generating agent. The block copolymer (A) includes a polymer block (I), a polymer block (II), and a moiety contained in the polymer block (I), the polymer block ( | 04-02-2015 |
20150099229 | NEGATIVE-WORKING LITHOGRAPHIC PRINTING PLATE PRECURSOR - Negative-working lithographic printing plate precursors have improved bakeability and good shelf life and can be imaged using either UV or infrared radiation. These precursors have a negative-working imageable layer that has a unique polymeric binder comprising a polymeric backbone and further comprising at least (a) and (b) pendant groups distributed in random order along the polymeric backbone. The (a) pendant groups are ethylenically unsaturated polymerizable groups, and the (b) pendant groups are defined by Structures (I), (II), and (III) described in the disclosure. | 04-09-2015 |
20150099230 | COPOLYMER FOR LITHOGRAPHY AND METHOD OF MANUFACTURING THE SAME, RESIST COMPOSITION, AND METHOD OF MANUFACTURING SUBSTRATE - Provided is a copolymer for lithography containing a monomer containing an acid leaving group and a monomer not containing an acid leaving group, in which N(v | 04-09-2015 |
20150111156 | Photosensitive Resin Composition and Light Blocking Layer Using the Same - A photosensitive resin composition includes (A) a cardo-based resin including a repeating unit represented by the following Chemical Formula 1; (B) a reactive unsaturated compound; (C) a pigment; (D) an initiator; and (E) a solvent. A light blocking layer can be made using the same. | 04-23-2015 |
20150111157 | METHOD OF FORMING PATTERN AND ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION FOR USE IN THE METHOD - Provided is a method of forming a pattern, including forming a film comprising an actinic-ray- or radiation-sensitive resin composition comprising, resin (A) comprising any of repeating units of general formula (I) below, which resin when acted on by an acid, decreases its solubility in a developer comprising an organic solvent, and a compound (B) expressed by any of general formulae (B-1) to (B-3) below, which compound when exposed to actinic rays or radiation, generates an acid, exposing the film to actinic rays or radiation, and developing the exposed film with a developer comprising an organic solvent to thereby obtain a negative pattern. | 04-23-2015 |
20150118621 | METHOD OF FORMING PATTERN AND ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION FOR USE IN THE METHOD - Provided is a method of forming a pattern, including (a) forming a film comprising an actinic-ray- or radiation-sensitive resin composition comprising a resin (P) containing a repeating unit (P1) with a cyclic carbonic acid ester structure and any of repeating units (P2) of general formula (P2-1) below, and a compound (B) that when exposed to actinic rays or radiation, generates an acid, (b) exposing the film to actinic rays or radiation, and (c) developing the exposed film with a developer comprising an organic solvent to thereby obtain a negative pattern. | 04-30-2015 |
20150125794 | RESIST COMPOSITION AND PATTERNING PROCESS - A photoresist film containing a sulfonium or iodonium salt of carboxylic acid having an amino group has a high dissolution contrast and offers improved resolution, wide focus margin and minimal LWR when used as a positive resist film adapted for alkaline development and a negative resist film adapted for organic solvent development. | 05-07-2015 |
20150132699 | BRANCHED FLUORINATED PHOTOPOLYMERS - A fluorinated photopolymer composition is disclosed having a branched copolymer provided in a fluorinated solvent. The copolymer includes a branching unit, a first repeating unit having a fluorine-containing group, and a second repeating unit having a solubility-altering reactive group. The branched fluorinated photopolymer composition is particularly suited for the fabrication of organic electronic and bioelectronic devices, or other devices having sensitive active organic materials. | 05-14-2015 |
20150140490 | OVERLAY FILM FORMING COMPOSITION AND RESIST PATTERN FORMATION METHOD USING SAME - An object of the present invention is to provide a composition enabling to form a topcoat layer capable of preventing outgassing and of keeping deep UV light from impairing pattern shape in a lithographic process with extreme UV light. The object can be achieved by a composition of the invention for forming a topcoat layer. The composition contains a water-soluble polymer comprising hydrophilic groups and deep-UV absorbing groups absorbing light of 170 to 300 nm, and an aqueous solvent. The solvent comprises 70 weight % or more of water. The composition is cast on a resist layer and heated to harden, and thereafter the resist layer is subjected to exposure by use of extreme UV light and then developed to form a pattern. | 05-21-2015 |
20150140491 | Composition of Matter and Molecular Resist Made Therefrom - Disclosed herein is a composition of matter having a general structure chosen from (I), (II), (III) or (IV); at least one photo acid generator; at least one crosslinker; and at least one solvent; | 05-21-2015 |
20150147696 | METHOD FOR PRODUCING POLYMER COMPOUND, POLYMER COMPOUND, AND PHOTORESIST RESIN COMPOSITION - Provided is a method for producing a polymer compound that has very low contents of impurities such as metal components and exhibits excellent storage stability. The production method gives such a polymer compound. The polymer compound is incorporated into a photoresist resin composition. | 05-28-2015 |
20150147697 | RESIST COMPOSITION AND PATTERN FORMING PROCESS - A resist composition comprising a polymer comprising recurring units (a) of formula (1) and having a Mw of 1,000-500,000 as base resin is provided. R | 05-28-2015 |
20150147698 | NEGATIVE RESIST COMPOSITION AND PATTERN FORMING PROCESS - A negative resist composition comprising a polymer comprising recurring units (a) of formula (1) and having a Mw of 1,000-500,000 as base resin is provided. R | 05-28-2015 |
20150147699 | PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE - The pattern forming method of the present invention includes (i) forming a film using an actinic ray-sensitive or radiation-sensitive resin composition which contains a resin (A) which has a repeating unit including a group capable of generating a polar group by being decomposed due to an action of an acid and a repeating unit including a carboxyl group, a compound (B) which generates an acid according to irradiation with actinic rays or radiation, and a solvent (C); (ii) exposing the film using a KrF excimer laser, extreme ultraviolet rays, or an electron beam; and (iii) forming a negative tonetone pattern by developing the exposed film using a developer which includes an organic solvent. | 05-28-2015 |
20150293443 | PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE ELEMENT, METHOD FOR FORMING RESIST PATTERN, AND METHOD FOR MANUFACTURING PRINTED WIRING BOARD - The invention provides a photosensitive resin that includes: a binder polymer that has a structural unit derived from (meth)acrylic acid, a structural unit derived from styrene or α-methyl styrene, and a structural unit derived from benzyl (meth)acrylate; a photopolymerizable compound including a first bisphenol di(meth)acrylate that has an ethyleneoxy group and a propyleneoxy group, in which a number of structural units of the ethyleneoxy group is from 1 to 20 and a number of structural units of the propyleneoxy group is from 2 to 7, and in which a total number of structural units of the ethyleneoxy group and the propyleneoxy group is more than 10; and a photopolymerization initiator. | 10-15-2015 |
20150301449 | PHOTOACID GENERATOR, CHEMICALLY AMPLIFIED RESIST COMPOSITION, AND PATTERNING PROCESS - An onium salt having an anion moiety of a specific bis-sulfonate structure is an effective photoacid generator. A resist composition comprising the PAG forms a pattern with a good balance of sensitivity and MEF, and minimal defects and offers a precise micropatterning resist material. | 10-22-2015 |
20150309406 | PHOTORESIST COMPOSITION, RESIST PATTERN-FORMING METHOD, ACID DIFFUSION CONTROL AGENT, AND COMPOUND - A photoresist composition containing: a polymer including an acid-labile group; a radiation-sensitive acid generator; and an acid diffusion control agent that contains a compound represented by a formula (1). In the formula (1), R | 10-29-2015 |
20150323865 | MONOMER, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A polymer for resist use is obtainable from a monomer having formula (1) wherein R | 11-12-2015 |
20150323866 | RADIATION-SENSITIVE RESIN COMPOSITION, RESIST PATTERN-FORMING METHOD, POLYMER, AND METHOD FOR PRODUCING COMPOUND - A radiation-sensitive resin composition contains: a polymer having a structural unit that includes a group represented by formula (1); a radiation-sensitive acid generator; and an organic solvent. In the formula (1), R | 11-12-2015 |
20150331318 | BINDER COMPOSITION AND PROCESSES FOR THE PREPARATION THEREOF - The general inventive concepts relate to an alkali soluble binder which is obtained by copolymerizing main polymerization monomer(s) and functional polymerization monomer, wherein said main polymerization monomer is selected from the group consisting of (meth)acrylic acid and esters thereof, and said functional polymer monomer comprises (meth)acrylic acid C14-C22 alkyl ester and C4-C6 alkenyl anhydride or dianhydride. The general inventive concepts further relate to a photosensitive binder composition and a process for producing the alkali soluble binder and a process for producing the photosensitive binder composition. | 11-19-2015 |
20150344696 | Polymeric Dye Compound, Photosensitive Resin Composition Comprising Same and Use Thereof - A polymeric dye compound, photosensitive resin composition comprising the same and use thereof are disclosed. The polymeric dye compound comprises three kinds of monomeric units. The photosensitive resin composition comprises a colorant, an alkali-soluble resin, a polymerizable monomer and a polymerization initiator, wherein the colorant comprises the polymeric dye compound and a pigment. The polymeric dye compound is prepared by copolymerization of styrenic monomer and other monomers, such that a colored layer having excellent heat resistance, light resistance and high transmittance can be formed. | 12-03-2015 |
20150346599 | PHOTO-DESTROYABLE QUENCHER AND ASSOCIATED PHOTORESIST COMPOSITION, AND DEVICE-FORMING METHOD - A photo-destroyable quencher has the structure | 12-03-2015 |
20150355539 | RADIATION-SENSITIVE RESIN COMPOSITION, RESIST PATTERN-FORMING METHOD, ACID DIFFUSION CONTROL AGENT, COMPOUND, AND METHOD FOR PRODUCING COMPOUND - A radiation-sensitive resin composition includes a polymer including a structural unit that includes an acid-labile group; and a compound represented by formula (1). R | 12-10-2015 |
20150378253 | CYCLODEXTRIN DERIVATIVES AND METHOD FOR PREPARING THE SAME, PHOTORESIST COMPOSITION AND DISPLAY DEVICE - The present invention relates to a cyclodextrin derivative, a method for preparing the same, a photoresist composition, a color photoresist and a display device. The cyclodextrin derivative particularly is a α-cyclodextrin modified polymerizable monomer represented by the following formula I or I′, wherein k is any integer selected from 2-6, n is any integer selected from 1-3, R is an aromatic ring having 5 to 30 carbon atoms, R′ is a multivalent straight or branched carbon chain having 5 to 30 carbon atoms which may optionally be interrupted by O. The α-cyclodextrin modified polymerizable monomer can be applied to a photoresist composition which has small aberration and high transmittance, thereby improving the display quality of the display apparatus. | 12-31-2015 |
20150378254 | Modified Epoxy Acrylate, Photoresist Composition and Method for Producing the Same, Transparent Photoresist - The present invention relates to a modified epoxy acrylate and a method for producing the same, a photoresist composition and a method for producing the same, and a transparent photoresist formed from the photoresist composition. The modified epoxy acrylate is an epoxy acrylate modified with phosphate monomer which has a structure represented by Formula I | 12-31-2015 |
20160070169 | NEGATIVE-TONE RESIST COMPOSITIONS AND MULTIFUNCTIONAL POLYMERS THEREIN - A negative-tone resist composition is provided that contains a free photoacid generator and a multifunctional polymer covalently bound to a photoacid-generating moiety, where the composition is substantially free of cross-linking agents. Multifunctional polymers useful in conjunction with the resist composition are also provided, as is a process for generating a resist image on a substrate using the present compositions and polymers. | 03-10-2016 |
20160131971 | COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition includes (A1) a resin having an acid-labile group, (A2) a resin which includes a structural unit represented by formula (I), and an acid generator: | 05-12-2016 |
20160147150 | CHEMICALLY AMPLIFIED RESIST COMPOSITION AND PATTERNING PROCESS - A chemically amplified resist composition comprising a base polymer, an acid generator, and a basic compound which is a cholanoate having an acid labile group-protected amino group has a high contrast of alkaline dissolution rate before and after exposure and high resolution and forms a pattern of satisfactory profile with minimal roughness. | 05-26-2016 |
20160154305 | POLYETHER COMPOUND, METHOD FOR PREPARING SAME AND PHOTORESIST COMPOSITION | 06-02-2016 |
20160170298 | SALT, ACID GENERATOR, PHOTORESIST COMPOSITION, AND METHOD FOR PRODUCING PHOTORESIST PATTERN | 06-16-2016 |
20160179002 | MONOMER, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS | 06-23-2016 |
20170235224 | PHOTOSENSITIVE RESIN COMPOSITION, COLOR FILTER, AND LIQUID CRYSTAL DISPLAY ELEMENT THEREOF | 08-17-2017 |